KR20230036578A - 광 근접 보정 방법. 그것을 이용하는 마스크 제작 방법 및 반도체 칩 - Google Patents

광 근접 보정 방법. 그것을 이용하는 마스크 제작 방법 및 반도체 칩 Download PDF

Info

Publication number
KR20230036578A
KR20230036578A KR1020210118357A KR20210118357A KR20230036578A KR 20230036578 A KR20230036578 A KR 20230036578A KR 1020210118357 A KR1020210118357 A KR 1020210118357A KR 20210118357 A KR20210118357 A KR 20210118357A KR 20230036578 A KR20230036578 A KR 20230036578A
Authority
KR
South Korea
Prior art keywords
opc
mask
edge
pattern
simulation
Prior art date
Application number
KR1020210118357A
Other languages
English (en)
Inventor
임원주
정노영
이상화
Original Assignee
삼성전자주식회사
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 삼성전자주식회사 filed Critical 삼성전자주식회사
Priority to KR1020210118357A priority Critical patent/KR20230036578A/ko
Priority to US17/739,752 priority patent/US20230071777A1/en
Priority to CN202211036958.7A priority patent/CN115774375A/zh
Publication of KR20230036578A publication Critical patent/KR20230036578A/ko

Links

Images

Classifications

    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/70425Imaging strategies, e.g. for increasing throughput or resolution, printing product fields larger than the image field or compensating lithography- or non-lithography errors, e.g. proximity correction, mix-and-match, stitching or double patterning
    • G03F7/70433Layout for increasing efficiency or for compensating imaging errors, e.g. layout of exposure fields for reducing focus errors; Use of mask features for increasing efficiency or for compensating imaging errors
    • G03F7/70441Optical proximity correction [OPC]
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F1/00Originals for photomechanical production of textured or patterned surfaces, e.g., masks, photo-masks, reticles; Mask blanks or pellicles therefor; Containers specially adapted therefor; Preparation thereof
    • G03F1/36Masks having proximity correction features; Preparation thereof, e.g. optical proximity correction [OPC] design processes
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/70483Information management; Active and passive control; Testing; Wafer monitoring, e.g. pattern monitoring
    • G03F7/70491Information management, e.g. software; Active and passive control, e.g. details of controlling exposure processes or exposure tool monitoring processes
    • G03F7/705Modelling or simulating from physical phenomena up to complete wafer processes or whole workflow in wafer productions
    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06FELECTRIC DIGITAL DATA PROCESSING
    • G06F30/00Computer-aided design [CAD]
    • G06F30/30Circuit design
    • G06F30/39Circuit design at the physical level
    • G06F30/398Design verification or optimisation, e.g. using design rule check [DRC], layout versus schematics [LVS] or finite element methods [FEM]
    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06FELECTRIC DIGITAL DATA PROCESSING
    • G06F2119/00Details relating to the type or aim of the analysis or the optimisation
    • G06F2119/18Manufacturability analysis or optimisation for manufacturability

Landscapes

  • Physics & Mathematics (AREA)
  • General Physics & Mathematics (AREA)
  • Engineering & Computer Science (AREA)
  • Computer Hardware Design (AREA)
  • Theoretical Computer Science (AREA)
  • Evolutionary Computation (AREA)
  • Geometry (AREA)
  • General Engineering & Computer Science (AREA)
  • Preparing Plates And Mask In Photomechanical Process (AREA)

Abstract

본 발명에 따른 반도체 칩을 제조하는 방법은, 반도체 칩에 대한 레이아웃을 설계하는 단계, 상기 레이아웃에 대한 OPC(Optical Proximity Correction)을 수행하는 단계, 상기 OPC를 수행한 후, 마스크를 제조하는 단계, 및 상기 마스크를 이용하여 상기 반도체 칩을 제조하는 단계를 포함하고, 상기 마스크의 직사각형 패턴에 대응하는 복수의 OPC 형상들이 포함되고, 상기 복수의 OPC 형상들 중에서 적어도 하나는 멀티-엣지 코너 라운딩(multi-edge corner rounding) OPC 형상을 포함할 수 있다.

Description

광 근접 보정 방법. 그것을 이용하는 마스크 제작 방법 및 반도체 칩{OPTICAL PROXIMITY CORRECTION METHDOD, MASK MANUFACTURING METHOD AND SEMICONDUCTOR CHIP MANUFACTURING METHED USING THE SAME}
본 발명은 광 근접 보정, 그것을 이용하는 마스크 제작 방법 및 반도체 칩 제조 방법에 관한 것이다.
일반적으로, 반도체 칩의 패턴들은 포토 리소그래피 공정 및 식각 공정에 의해 형성된다. 먼저, 웨이퍼상에 형성될 반도체 칩의 패턴에 대한 레이아웃을 설계한다. 마스크상의 회로패턴을 포토 리소그래피 공정을 통해 웨이퍼상에 전사시켜 웨이퍼 상에 회로 패턴(이하 "전사 회로 패턴")을 형성하는 경우, 웨이퍼 상의 전사 회로 패턴과 실제 설계 회로패턴 간에 격차가 생기게 된다. 이러한 격차는 포토 리소그래피 공정에서의 광 근접 효과(optical proximity effect) 혹은 식각 공정에서의 로딩효과 등에 기인한다. 마스크상의 회로패턴을 웨이퍼상에 정확하게 전사시켜 주기 위한 방법으로, 웨이퍼상의 전사 회로 패턴의 변형을 고려하여 보정하는 공정 근접 보정(PPC, process proximity correction) 기술이 이용되고 있다. 공정 근접 보정 기술은 광 근접 효과 및 로딩 효과를 미리 예측 및 분석하고, 분석 결과에 따라 마스크상의 회로패턴의 레이아웃을 보정하는 방식으로서, 주로 포토 리소그래피 공정에서의 광 근접 보정(Optical Proximity Correction) 방식이 이용되고 있다. OPC 방식은 모델 기반 OPC와 룰 기반 OPC 로 구분된다. 모델 기반 OPC는 웨이퍼 상의 모든 칩(full-chip)에 대해 하나의 모델을 적용하여 마스크의 회로패턴을 보정하는 방식이다. 룰 기반 OPC는 웨이퍼상의 모든 칩에 대해 하나의 룰을 적용하여 마스크의 회로패턴을 보정하는 방식이다.
본 발명의 목적은, 빔의 회절 한계를 극복하기 위한 광 근접 보정 방법, 그것을 이용하는 마스크 제작 방법 및 반도체 칩 제조 방법을 제공하는 데 있다.
본 발명의 목적은, 공정 단가를 줄이는 광 근접 보정 방법, 그것을 이용하는 마스크 제작 방법 및 반도체 칩 제조 방법을 제공하는 데 있다.
본 발명의 실시 예에 따른 반도체 칩을 제조하는 방법은, 반도체 칩에 대한 레이아웃을 설계하는 단계; 상기 레이아웃에 대한 OPC(Optical Proximity Correction)을 수행하는 단계; 상기 OPC를 수행한 후, 마스크를 제조하는 단계; 및 상기 마스크를 이용하여 상기 반도체 칩을 제조하는 단계를 포함하고, 상기 마스크의 직사각형 패턴에 대응하는 복수의 OPC 형상들이 포함되고, 상기 복수의 OPC 형상들 중에서 적어도 하나는 멀티-엣지 코너 라운딩(multi-edge corner rounding) OPC 형상을 포함할 수 있다.
본 발명의 실시 예에 따른 반도체 칩을 제조하기 위한 광 근정 보정 방법은, 직사각형 패턴들 중에서 멀티-엣지 대상 패턴을 선택하는 단계; 상기 선택된 직사각형 형상에 코너 쵸핑함으로써 멀티-엣지를 생성하는 단계; 각 멀티-엣지 위에 평가 포인트를 생성하는 단계; 각 앵글에서 라운딩함으로써 OPC(Optical Proximity Correction) 시뮬레이션을 수행하는 단계; 상기 평가 포인트에서 EPE(Edge Placement Error)를 계산하는 단계; 상기 계산된 값이 이전 반복값보다 낮은지 판별하는 단계; 상기 계산된 값이 상기 이전 반복값보다 낮을 때, 상기 시뮬레이션의 진행 횟수가 마지막 반복인 지를 판별하는 단계; 상기 시뮬레이션의 진행 횟수가 마지막 반복일 때, MRC(Mask Rule Check) 위반이 없는 지를 판별하는 단계; 상기 MRC 위반이 없을 때, 최종 OPC 형상을 결정하는 단계를 포함할 수 있다.
본 발명의 실시 예에 따른 반도체 칩을 위한 마스크 제조 방법은, 레이아웃에 대한 OPC(Optical Proximity Correction)을 수행하는 단계; 상기 OPC를 수행한 후, MTO(Mask Tape-Out) 디자인 데이터를 입력받는 단계; 상기 MTO 디자인 데이터를 입력 받은 후, 마스크 데이터 준비를 수행하는 단계; 상기 마스크 데이터 준비를 수행한 후, 전자 빔을 이용하여 마스크 데이터를 기반으로 마스크용 기판에 대한 노광 공정을 수행하는 단계; 및 상기 노광 공정을 수행한 후, 현상, 식각 혹은 세정의 공정을 진행함으로써 마스크를 형성하는 단계를 포함하고, 상기 OPC를 수행하는 단계는, 직사각형 패턴들 중에서 제 1 OPC를 수행할 제 1 대상들을 선택하는 단계; 상기 제 1 대상들 중에서 제 2 OPC를 수행할 제 2 대상들을 선택하는 단계; 상기 제 2 대상들을 제외한 상기 제 1 대상들에 대하여 제 1 OPC를 수행하는 단계; 및 상기 제 2 대상들에 대하여 제 2 OPC를 수행하는 단계를 포함할 수 있다.
본 발명의 실시 예에 따른 광 근접 보정을 수행하는 컴퓨팅 장치는, 적어도 하나의 인스트럭션을 저장하는 적어도 하나의 메모리; 및 적어도 하나의 인스트럭션을 실행하는 적어도 하나의 프로세서를 포함하고, 상기 적어도 하나의 프로세서는, 레이아웃에서 멀티-엣지 코너 라운딩 OPC(Optical Proximity Correction) 형상 대상을 선택하고; 및 상기 선택된 대상에 대한 멀티-엣지 코너 라운딩 OPC을 수행하도록, 적어도 하나의 인스트럭션을 실행하는 것을 특징으로 한다.
본 발명의 실시 예에 따른 광 근접 보정 방법, 그것을 이용한 마스크 제작 방법 및 반도체 칩 제조 방법은, 직사각형 패턴에 대하여 멀티-엣지 코너 라운딩 OPC를 수행함으로써 저비용 고효율의 패터닝을 수행할 수 있다.
이하에 첨부되는 도면들은 본 실시 예에 관한 이해를 돕기 위한 것으로, 상세한 설명과 함께 실시 예들을 제공한다.
도 1은 본 발명의 실시 예에 따른 OPC(Optical Proximity Correction)을 수행하는 컴퓨팅 시스템(1000)을 나타내는 블록도이다.
도 2는 본 발명의 실시 예에 따른 반도체 칩의 제조 방법을 보여주는 흐름도이다.
도 3은 도 2에 도시된 OPC 방법을 예시적으로 보여주는 흐름도이다.
도 4a은 일반적인 마스크 상의 패턴의 형상을 보여주고, 도 4b는 본 발명의 실시 예에 따른 마스크 상의 패턴의 곡선(curvilinear)를 보여주는 도면이다.
도 5a, 도 5b, 도 5c, 및 도 5d는 본 발명의 실시 예에 따른 OPC 형상에 대한 예시적으로 보여주는 도면들이다.
도 6은 본 발명의 실시 예에 따른 OPC 방법을 예시적으로 보여주는 흐름도이다.
도 7은 본 발명의 실시 예에 따른 OPC 방법을 예시적을 보여주는 흐름도이다.
도 8a 및 도 8b는 제 1 포인트에 관련한 OPC 시뮬레이션 결과를 비교한 도면들이다.
도 9a 및 도 9b는 제 2 포인트에 관련한 OPC 시뮬레이션 결과를 비교한 도면들이다.
도 10은 본 발명의 실시 예에 따른 OPC 모델을 생성하는 과정을 개념적으로 보여주는 도면이다.
도 11은 본 발명의 따른 다른 실시 예에 따른 OPC 방법을 예시적으로 보여주는 흐름도이다.
도 12는 본 발명의 실시 예에 따른 EUV 마스크 제조방법의 과정을 보여주는 흐름도이다.
도 13은 본 발명의 다른 실시 예에 따른 EUV 마스크 제조 방법을 보여주는 흐름도이다.
도 14는 본 발명의 실시 예에 따른 반도체 칩 제조 방법을 예시적으로 보여주는 흐름도이다.
아래에서는 도면들을 이용하여 본 발명의 기술 분야에서 통상의 지식을 가진 자가 용이하게 실시 할 수 있을 정도로 본 발명의 내용을 명확하고 상세하게 기재할 것이다.
도 1은 본 발명의 실시 예에 따른 OPC(Optical Proximity Correction)을 수행하는 컴퓨팅 시스템(1000)을 나타내는 블록도이다. 도 1을 참조하면, 컴퓨팅 시스템(1000)은, 시스템 버스(1001)에 연결된 적어도 하나의 프로세서(1100), 워킹 메모리(1200), 입출력 장치(1300), 및 보조 저장 장치(1400)를 포함할 수 있다.
예를 들어, 컴퓨팅 시스템(1000)은 OPC 모델을 생성/보정하는 방법을 위한 전용 장치이거나, 이를 포함하는 반도체 설계를 수행하기 위한 전용 장치로 제공될 수 있다. 예를 들어, 컴퓨팅 시스템(1000)은 다양한 설계 및 검증 시뮬레이션 프로그램을 구비할 수 있다. 시스템 버스(1001)를 통해서 프로세서(1100), 워킹 메모리(1200), 입출력 장치(1300) 및 보조 저장 장치(1400)가 전기적으로 연결되고 상호 데이터를 교환할 수 있다. 한편, 시스템 버스(1001)의 구성은 상술된 설명에 제한되지 않고, 효율적인 관리를 위한 중재 수단들을 더 포함할 수 있다.
프로세서(1100)는 적어도 하나의 인스트럭션을 실행하도록 구현될 수 있다. 예를 들어, 프로세서(1100)는 컴퓨팅 시스템(1000)에서 수행될 소프트웨어(응용 프로그램, 운영 체제, 장치 드라이버들)를 실행하도록 구현될 수 있다. 프로세서(1100)는 워킹 메모리(1200)에 로드(load)되는 운영 체제(Operating System)를 실행할 수 있다. 프로세서(1100)는 운영 체제 기반에서 구동될 다양한 응용 프로그램들(application program)을 실행할 수 있다. 예를 들어, 프로세서(1100)는 CPU(Central Processing Unit), 마이크로프로세서, AP(Application Processor) 혹은 이와 유사한 임의의 프로세싱 장치일 수 있다.
워킹 메모리(1200)는 적어도 하나의 인스트럭션을 저장하도록 구현될 수 있다. 예를 들어, 워킹 메모리(1200)는 운영 체제나 응용 프로그램들이 로드 될 수 있다. 컴퓨팅 시스템(1000)의 부팅 시에 보조 저장 장치(1400)에 저장된 OS 이미지가 부팅 시퀀스에 의거하여 워킹 메모리(1200)로 로드 될 수 있다. 운영 체제에 의해서 컴퓨팅 시스템(1000)의 제반 입출력 동작들이 지원될 수 있다. 유사하게, 사용자의 의하여 선택되거나 기본적인 서비스 제공을 위해서 응용 프로그램들이 워킹 메모리(1200)에 로드 될 수 있다. 특히, 상술된 바와 같이, 반도체 설계를 위한 디자인 툴(1210) 혹은 레이아웃 패턴 분할 방법 및 광 근접 보정 방법을 위한 OPC 툴(1220)이 보조 저장 장치(1400)로부터 워킹 메모리(1200)에 로드 될 수 있다.
또한, 워킹 메모리(1200)는 DRAM(Dynamic Random Access Memory), SRAM(Static Random Access Memory) 등과 같은 휘발성 메모리이거나 플래시 메모리(flash memory), PRAM(Phase Change Random Access Memory), RRAM(Resistance Random Access Memory), NFGM(Nano Floating Gate Memory), PoRAM(Polymer Random Access Memory), MRAM(Magnetic Random Access Memory), FRAM(Ferroelectric Random Access Memory) 등과 같은 비휘발성 메모리일 수 있다.
디자인 툴(1210)은 특정 레이아웃 패턴들의 형상 및 위치를 디자인 룰에 의해서 정의된 것과 다르게 변경하는 기능을 수행할 수 있다. 또한, 디자인 툴(1210)은 변경된 바이어스 데이터 조건에서 설계 규칙 검사(design rule check; DRC)를 수행할 수 있다.
OPC 툴(1220)은 레이아웃 패턴에 대하여 OPC 기능을 수행할 수 있다. 예를 들어, OPC 툴(1220)은 직사각형(rectangular) 패턴에 대하여 조건에 따라 복수의 OPC 형상들 중에서 어느 하나로 보정할 수 있다.
실시 예에 있어서, OPC 툴(1220)은 직사각형 패턴들 중에서 제 1 OPC를 수행할 제 1 대상들을 선택하고, 선택된 제 1 대상들 중에서 제 2 OPC를 수행할 제 2 대상들을 선택할 수 있다. 실시 예에 있어서, OPC 툴(1220)은 제 2 대상들을 제외한 제 1 대상들에 대하여 제 1 OPC를 수행하고, 제 2 대상들에 대하여 제 2 OPC를 수행할 수 있다. 여기서 제 1 OPC는 ME-OPC(Multi-Edge Optical Proximity Correction)이고, 제 2 OPC는 ME-CROPC(Multi-Edge Corner Rounding Optical Proximity Correction)일 수 있다. 실시 예에 있어서, 이미지 파라미터 혹은 MRC(Mask Rule Check)을 이용하여 제 2 대상들이 선택될 수 있다.
입출력 장치(1300)는 사용자 인터페이스 장치들로부터의 사용자 입력 및 출력을 제어할 수 있다. 예를 들어, 입출력 장치(1300)는 키보드, 키패드, 마우스, 터치 스크린 등과 같은 입력 수단을 구비하여 설계자로부터 정보를 입력 받을 수 있다. 입출력 장치(1300)를 사용하여 설계자는 조정된 동작 특성을 요구하는 반도체 영역이나 데이터 경로들에 대한 정보를 입력 받을 수 있다. 또한, 입출력 장치(1300)는 프린터, 디스플레이 등과 같은 출력 수단을 구비하여 디자인 툴(1210) 혹은 OPC 툴(1220)의 처리 과정 및 결과 등을 표시할 수 있다.
보조 저장 장치(1400)는 컴퓨팅 시스템(1000)의 저장 매체(storage medium)로서 제공될 수 있다. 보조 저장 장치(1400)는 응용 프로그램들, OS 이미지 및 각종 데이터를 저장할 수 있다. 보조 저장 장치(1400)는 메모리 카드(MMC, eMMC, SD, Micro SD 등), HDD(Hard Disk Drive), SSD(Solid State Drive), UFS(Universal Flash Storage) 등과 같은 대용량 저장 장치의 형상으로 제공될 수 있다.
일반적으로 OPC 형상은 피치(pitch) 감소로 인하여 회절(diffraction)의 한계를 갖는다. 이러한 한계를 극복하기 위하여 2개 이상의 마스크를 이용할 수 있지만, 추가된 마스크만큼 공정 단가가 상승한다. 또한 싱글 마스크 패터닝을 위한 ILT(Inverse Lithography Technique)를 이용할 수도 있지만, 회절 효율(diffraction efficiency) 저하로 IPU(isoproturon)가 열화 될 수 있다. 이는 패턴 불량을 야기할 수 있다. 일반적인 OPC의 geometry 한계로 인하여 추가적인 마스크 제작 및 ILT 도입이 필요하지만, 상술된 바와 같이 비용 절감과 양산성을 확보하기 위한 새로운 OPC 방법이 필요하다.
본 발명의 실시 예에 따른 새로운 OPC를 수행하는 컴퓨팅 장치(1000)는, 레이아웃에서 ME-CROPC 형상 대상을 선택하고, 선택된 대상에 대한 ME-CROPC을 수행함으로써, 싱글 마스크를 사용하면서도 저비용 고효율의 패터닝을 수행할 수 있다.
도 2는 본 발명의 실시 예에 따른 반도체 칩의 제조 방법을 보여주는 흐름도이다. 도 2를 참조하면, 반도체 칩의 제조 방법은, 반도체 칩의 설계 레이아웃을 디자인하는 단계(S10), 설계 레이아웃에 대한 광 근접 보정(OPC) 및 위치 보정을 수행하는 단계(S20), 보정된 설계 레이아웃을 이용하여 마스크를 제조하는 단계(S30), 및 마스크를 이용하여 반도체 칩을 제조하는 단계(S40)를 포함할 수 있다.
반도체 칩의 설계 레이아웃을 디자인하는 단계(S10)에서, 웨이퍼 상에 형성하고자 하는 반도체 칩의 회로 패턴에 대응하는 설계 레이아웃이 반도체 제조 설비의 호스트 컴퓨터 혹은 서버로부터 제공될 수 있다. 구체적으로, 레이아웃은 반도체 칩에 대해 디자인된 회로가 웨이퍼 상으로 전사될 수 있는 물리적인 표시로서, 다수의 패턴들을 포함할 수 있다. 예를 들어, 설계 레이아웃은 CAD(Computer Aided Design) 시스템으로부터 설계 레이아웃을 이루는 패턴들의 컨투어(contour)의 좌표값으로 제공될 수 있다. 특히, 패턴들은 동일한 형상이 반복되는 복 패턴들을 포함할 수 있으며, 패턴들은 삼각형 혹은 사각형과 같은 다각형들의 조합의 형상으로 제공할 수 있다.
설계 레이아웃에 대한 광 근접 보정(OPC) 및 위치 보정을 수행하는 단계(S20)는, 별도의 단계로 각각 수행되는 광 근접 보정 단계 및 위치 보정 단계를 포함할 수 있다. 먼저, 광 근접 보정은, 광 근접 효과(OPE)에 따른 오차를 반영하여 설계 레이아웃에 포함된 패턴들을 경하는 보정을 의미한다. 패턴이 미세화됨에 따라, 노광 과정 중에 이웃하는 패턴들 간의 영향에 의한 광 근접 현상이 발생할 수 있다. 따라서, 설계 레이아웃을 보정하는 광 근접 보정을 수행함으로써, 광 근접 효과의 발생을 억제할 수 있다. 예를 들어, 광 근접 보정은, 설계 레이아웃을 이루는 패턴들의 전체적인 크기를 확장하고 코너(corner) 부분을 처리하는 것을 포함할 수 있다. 예를 들어, 광 근접 보정은 각 패턴의 모서리들을 이동시키거나 추가적인 다각형들을 부가하는 것을 포함할 수 있다. 광 근접 보정에 의해, 노광 시에 발생하는 빛의 회절, 간섭 등에 의한 패턴의 왜곡 현상을 보정하고, 패턴 밀도에 의해 기인한 오차를 보정할 수 있다. 광 근접 보정 단계 후에, 광 근접 보정 검증 단계를 더 수행할 수 있다.
위치 보정은, 패턴들이 정렬 되어야 하는 하부 구조물의 물리적 변형 및 변경을 고려하여 광 근접 보정된 패턴의 위치를 이동하는 것을 포함할 수 있다. 하부 구조물의 변형은 반도체 칩의 제조 공정 중의 요인으로 인하여 발생하며, 이에 의해, 하부 구조물의 패턴들이 원래의 레이아웃으로부터 실제 패턴 위치가 변경되는 진행성 오정렬(misalignment)이 발생할 수 있다. 위치 보정은 광 근접 보정된 패턴의 형상을 변경하지 않고, 위치만 이동하는 것일 수 있다.
광 근접 보정 및 위치 보정에 의해 보정된 최종적인 설계 레이아웃 데이터는 포토마스크 및 전자빔 마스크와 같은 리소그래피 공정을 위한 마스크의 제조를 위한 노광 설비에 전송될 수 있다. 보정된 설계 레이아웃을 이용하여 마스크를 제조하는 단계(S30)는, 보정된 설계 레이아웃 데이터에 따라 마스크를 제조하는 단계일 수 있다. 보정된 설계 레이아웃 데이터를 이용하여 마스크 기판 상에 노광 공정을 수행함으로써, 마스크를 제조할 수 있다. 노광 공정 후에, 예를 들어, 현상(development), 식각, 세정, 및 베이크(bake) 등의 일련의 공정들을 더 수행하여 마스크를 형성할 수 있다. 실시 에 있어서, 보정된 설계 레이아웃 데이터를 전송하기 전에, 보정된 설계 레이아웃 데이터에 대한 검증 단계를 더 진행할 수 있다.
마스크를 이용하여 반도체 칩을 제조하는 단계(S40)는, 마스크를 이용하여 리소그래피 공정을 수행하는 단계를 포함할 수 있다. 반도체 칩은, DRAM(dynamic random access memory), SRAM(static random access memory) 등과 같은 휘발성 메모리, 혹은 플래시 메모리 등과 같은 비휘발성 메모리를 포함할 수 있으며, 마이크로 프로세서(micro-processor)와 같은 로직 반도체 소자, 예를 들어 중앙처리장치(central processing unit, CPU), 컨트롤러(controller), 혹은 주문형 반도체(application specific integrated circuit, ASIC) 등을 포함할 수 있다. 특히, 반도체 칩은 제 1 반복 패턴들을 포함하는 하부 구조물 상에 제 2 반복 패턴들을 포함하는 형성하는 공정을 수행함으로써 의해 제조될 수 있다. 제 2 반복 패턴들은 마스크에 의해 제 1 반복 패턴들에 높은 정확도로 정렬되어 형성될 수 있다. 반도체 칩은, 리소그래피 공정 외에, 증착 공정, 식각 공정, 이온 공정, 세정 공정 등을 더 수행함으로써 최종적으로 제조 될 수 있다.
일반적으로 OPC 방법은 패턴이 미세화됨에 따라 이웃하는 패턴들 간의 영향에 의한 광 근접 현상(Optical Proximity Effect: OPE)이 노광 공정 중에 발생하고, 이를 극복하기 위해서 패턴의 레이아웃을 보정하여 OPE 발생을 억제하는 방법을 말한다. 이러한 OPC 방법은 크게 두 가지로 나누어지는데, 하나는 룰 베이스(rule-based) OPC 방법이고, 다른 하나는 시뮬레이션 베이스 혹은 모델 베이스(model-based) OPC 방법이다. 본 실시예의 OPC 방법은 예를 들어, 모델 베이스 OPC 방법일 수 있다. 모델 베이스 OPC 방법은 대량의 테스트 패턴들 모두를 측정할 필요가 없이 대표 패턴들의 측정 결과만을 이용하므로 시간 및 비용 면에서 유리할 수 있다.
이후, OPC 모델에 마스크 데이터를 입력하여 시뮬레이션을 통해 타겟 패턴의 컨투어(contour)를 예측한다. OPC 모델은 타겟 패턴의 컨투어를 예측하기 위한 시뮬레이션 모델로서, OPC 모델에는 다양한 기본 데이터가 입력 데이터로 입력될 수 있다. 여기서, 기본 데이터는, 프래그먼트에 대한 마스크 데이터를 포함할 수 있다. 또한, 기본 데이터는 PR(Photo Resist)에 대한 두께, 굴절률, 유전 상수 등의 정보 데이터를 포함하고, 조명계(illumination system) 형상에 대한 소스 맵의 데이터를 포함할 수 있다. 물론, 기본 데이터가 예시된 데이터들에 한정되는 것은 아니다. 한편, 마스크 데이터는 프래그먼트의 데이터뿐만 아니라 패턴들의 형상, 패턴들의 위치, 패턴들의 측정(스페이스(space) 혹은 라인(line)에 대한 측정)의 종류, 및 기본 측정값 등의 데이터를 포함할 수 있다.
도 3은 도 2에 도시된 OPC 방법을 예시적으로 보여주는 흐름도이다.
도 3를 참조하면, 본 실시의 실시 예의 따른 OPC 방법은, 먼저, 마스크 상의 패턴의 레이아웃에 대한 엣지들을 추출한다(S21). 여기서, 마스크 상의 패턴은, 노광 공정을 통해 웨이퍼와 같은 기판 상에 전사되어, 기판 상에 타겟 패턴을 형성할 수 있는 패턴을 의미할 수 있다. 노광 공정의 특성상 일반적으로 기판 상의 타겟 패턴의 형상과 마스크 상의 패턴의 형상은 다를 수 있다. 또한, 마스크 상의 패턴은 축소 투영되어 기판 상에 전사되므로, 마스크 상의 패턴은 기판 상의 타겟 패턴보다는 큰 사이즈를 가질 수 있다.
한편, 마스크 상의 패턴의 레이아웃은 1D 혹은 2D의 형상을 가질 수 있다. 여기서, 1D 형상은 면적이 없는 선을 의미하는 것이 아니라 라인 앤 스페이스(line & space) 패턴과 같이 일 방향으로 연장하는 형상을 의미할 수 있다. 엣지들은 패턴의 레이아웃의 외곽을 둘러싸는 라인들에 해당할 수 있다. 실시 예에 따라, 엣지들은 패턴의 레이아웃들 간의 스페이스를 둘러싸는 라인들에 해당할 수도 있다. 또한, 엣지들은 패턴의 레이아웃의 외곽을 둘러싸는 라인들과 스페이스를 둘러싸는 라인들 둘 다에 해당할 수도 있다.
다음, 추출된 엣지들에 엣지 필터(edge filter)를 적용하여 광학적 이미지를 생성한다(S22). 여기서, 광학적 이미지는 광이 마스크를 통과한 직후의 마스크 후면 상에서 계산된 마스크 근접장 이미지(mask near field image)일 수 있다. 엣지 필터는 엣지들에 대응하는 부분의 광학적 이미지를 생성할 수 있는 필터로서, 엣지들의 위치 및 특성에 따라 엣지 필터의 값이 달라질 수 있다. 엣지들 각각에 대응하는 엣지 필터를 적용함으로써, 엣지들 각각에 해당하는 광학적 이미지를 생성할 수 있다.
마스크 상의 패턴에 대한 광학적 이미지의 생성과 관련하여, 좀더 구체적으로 설명하면, 최근 패터닝 한계의 극복과 공정 마진 확보를 위해, 마스크 상의 패턴의 형상이 도 4a와 같은 직각(orthogonal)의 형상에서, 도 4b와 같은 곡선(curvilinear)의 형상으로 확장하고 있다. 또한, 이러한 곡선 형상의 패턴에 대한 OPC 방법에 대한 연구가 활발해지고 있다. 한편, 일반적으로 마스크 상의 패턴은 노광 파장에 비교하여 무시할 수 없는 수준의 두께를 가질 수 있다. 그에 따라, 정밀한 OPC를 위해서, 마스크 토포그라피(mask topography) 효과, 즉, 마스크 3D 효과를 고려한 광학적 이미지의 계산이 필수적이다. 대면적의 마스크에 대해 OPC를 수행하기 위해서는, 광학적 이미지의 계산을 빠른 시간 내에 수행해야 한다. 따라서, 계산 시간이 오래 걸리는 엄격한(rigorous) 시뮬레이션 방법을 광학적 이미지 계산에 사용하는 적은 적절하지 않다. 여기서, 엄격한 시뮬레이션 방법은 예를 들어, RCWA(Rigorous Coupled-Wave Analysis), 혹은 FDTD(Finite Difference Time Domain) 시뮬레이션과 같은 전자기장 시뮬레이션 방법을 의미할 수 있다. 이러한 엄격한 시뮬레이션 방법의 경우, 마스크 상의 패턴의 형상을 정확하게 반영한 마스크 근접장 이미지를 구할 수 있다.
이에 콤팩트 시뮬레이션 모델(compact simulation model)을 이용하여, 마스크의 광학적 이미지를 계산하게 되는데, 실제 많이 쓰이는 방법은 DDM(Domain Decomposition Method)이다. DDM은 마스크 상의 패턴을 각 영역(domain)으로 나누고, 엣지 필터 근사(edge filter approximation)를 이용하여 광학적 이미지를 구하는 방법이다.
예를 들어, DDM은 미리 FDTD 시뮬레이션을 통하여 패턴의 폭/간격(width/space) 별로 계산한 엣지 필터 값을 필터 라이브러리(filter library)에 저장하고, 각 패턴에 대한 광학적 이미지를 계산할 때, 각 엣지에 해당하는 엣지 필터 값을 필터 라이브러리에서 가져와서 이용함으로써, 엄격한 시뮬레이션을 통해 계산한 광학적 이미지와 거의 유사한 광학적 이미지를 계산할 수 있는 방법이다.
따라서, DDM은 마스크의 3D 효과를 효과적으로 보정한 광학적 이미지를 생성할 수 있다. 여기서, 엣지 필터 근사(edge filter approximation)를 이용한 광학적 이미지는 얇은 마스크 근사(Thin Mask Approximation: TMA)에 의한 제 1 광학적 이미지와 엣지 필터를 이용한 제 2 광학적 이미지를 포함할 수 있다. 다시 말해서, 제 1 광학적 이미지와 제 2 광학적 이미지를 합쳐 해당 패턴에 대한 최종적인 광학적 이미지를 생성할 수 있다. 참고로, 얇은 마스크 근사는, 키르히호프 근사(Kirchhoff approximation)라고도 하며, 마스크의 두께가 거의 없을 정도로 매우 얇고, 뚫린 부분은 광이 완전히 통과하고 막힌 부분은 광이 완전히 차단된다고 가정하여, 계산한 근접장 이미지를 의미할 수 있다.
OPC 방법은, 곡선의 엣지의 부분에 대응하는 애니-앵글 필터를 적용하여 광학적 이미지를 생성할 수 있고, 그에 따라, 마스크 3D 효과가 효과적으로 보정된 광학적 이미지를 생성할 수 있다. 또한, 이러한 광학적 이미지에 기초하여 OPC 모델을 생성하고, OPC 모델을 이용한 시뮬레이션을 수행함으로써, 마스크에 대한 최적의 디자인 데이터를 획득할 수 있다. 더 나아가, 본 실시예의 OPC 방법은 OPC 방법을 이용하여 획득한 마스크에 대한 최적의 디자인 데이터에 기초하여, 신뢰성 있는 고 품질의 마스크를 제조할 수 있도록 하며, 궁극적으로, 제품의 패터닝에 마스크를 이용함으로써, 제품의 성능과 신뢰성을 향상시키는데 크게 기여할 수 있다.
본 발명의 실시 예에 따른 OPC 방법은 서로 다른 복수의 OPC들을 수행할 수 있다. 복수의 OPC들을 수행에 따라 직사각형 패턴에 대응하는 복수의 OPC 형상들이 존재할 수 있다. 실시 예에 있어서, 복수의 OPC 형상들 중에서 MEOPC 형상 및 ME-CROPC 형상을 포함할 수 있다. 실시 예에 있어서, OPC를 수행하는 것은, 레이아웃에서 ME-CROPC 형상 대상을 선택하는 것과, 선택된 대상에 대한 ME-CROPC를 수행하는 것을 포함할 수 있다. 실시 예에 있어서, 적어도 하나의 이미지 파라미터와 MRC(Mask Rule Check)을 이용하여 ME-CROPC 형상의 대상이 선택될 수 있다. 여기서, 적어도 하나의 이미지 파라미터는 NILS(Normalized Image Log Slope) 혹은 MEEF(Mask Error Enhancement factor)를 포함할 수 있다.
광학적 이미지 생성 후, 광학적 이미지에 기초하여 OPC 모델을 생성한다(S23). 광학적 OPC 모델 생성 후, PR에 대한 OPC 모델을 생성한다. PR에 대한 OPC 모델의 생성은 PR의 문턱값의 최적화를 포함할 수 있다. 여기서, PR의 문턱값은 노광 공정에서 화학적 변화가 일어나는 문턱값을 의미하며, 예컨대, 문턱값은 노광 광의 세기(Intensity)로 주어질 수 있다. PR에 대한 OPC 모델의 생성은 또한, 여러 PR 모델 폼들에서 적절한 모델 폼을 선택하는 것을 포함할 수 있다. 광학적 OPC 모델과 PR에 대한 OPC 모델을 합쳐서 일반적으로 OPC 모델이라고 한다. 따라서, 광학적 OPC 모델의 생성 과정과 PR에 대한 OPC 모델의 생성 과정 둘 다를 합쳐 OPC 모델의 생성 과정, 즉 OPC 모델링 과정이라고 할 수 있다. 아래에서, OPC 모델은 광학적 OPC 모델과 PR에 대한 OPC 모델을 합한 개념으로 사용한다.
이후, OPC 모델을 이용한 시뮬레이션을 통해 마스크에 대한 디자인 데이터를 획득한다(S24). OPC 모델 생성 후, OPC 모델을 검증한다. OPC 모델의 검증은 CD 에러에 대한 RMS(Root Mean Square) 계산, EPE(Edge Placement Error) 체크 등을 통해 수행될 수 있다. OPC 모델이 설정된 스펙 내에 포함되면, OPC 모델 검증이 완료되고, 해당 OPC 모델이 시뮬레이션을 위한 OPC 모델로서 선택될 수 있다. 만약, OPC 모델이 설정된 스펙 내에 포함되지 않는 경우, OPC 모델을 생성하는 과정, 즉 광학적 OPC 모델 혹은 PR에 대한 OPC 모델을 생성하는 과정을 다시 수행한다.
OPC 모델의 검증 후, 해당 OPC 모델을 이용하여 시뮬레이션을 수행한다. 이러한 OPC 모델을 이용한 시뮬레이션 수행을 통해 실측에 가까운 마스크의 디자인 데이터가 획득될 수 있다. 시뮬레이션을 통해 획득한 마스크의 디자인 데이터는 이후에 마스크 제작을 위하여 MTO(Mask Tape-Out) 디자인 데이터로서 마스크 제작팀으로 전달될 수 있다.
상술된 바와 같이, 광학적 이미지 생성, OPC 모델 생성, 및 디자인 데이터를 획득하는 과정을 OPC 방법이라 부른다. 본 발명의 실시 예에 따른 OPC 방법은 직사각형 패턴에 대하여 복수의 OPC 형상들을 가질 수 있다.
도 5a, 도 5b, 도 5c, 및 도 5d는 본 발명의 실시 예에 따른 OPC 형상에 대한 예시적으로 보여주는 도면들이다. 여기서 점선은 타겟 패턴을 지시하고, 실선은 멀티-엣지를 지시하고, 점은 멀티-제어 포인트를 지시하고, 해칭 부분은 최종적인 OPC를 지시한다.
도 5a을 참조하면, 일반적인 OPC 형상들은 각 모서리에 멀티-제어 포인트를 갖는다. 도 5b를 참조하면, ME(Multi-Edge) OPC 형상들은 1개에서 3개의 절단면을 갖고, 각 모서리에 멀티-제어 포인트를 갖는다. 도 5c를 참조하면, CR(Corner Rounding) OPC 형상들을, 타겟 패턴과 최종 OPC 형상이 만나는 지점에 멀티-제어 포인트를 갖는다. 도 5d를 참조하면, ME-CROPC 형상들은, 도 5b에 도시된 MEOPC 형상들에서 각각의 멀티-제어 포인트 사이를 곡선(curvilinear) 처리된 형태이다.
도 6은 본 발명의 실시 예에 따른 OPC 방법을 예시적으로 보여주는 흐름도이다. 도 6을 참조하면, OPC 방법은 다음과 같이 진행될 수 있다. 레이아웃 패턴에서 MR-CROPC 대상이 선택될 수 있다(S110). Full chip 내 모든 pattern에 ME-CROPC를 적용할 경우 runtime이 길고 CPU(Central Processing Unit) 용량이 크게 증가하므로, 빠르고 효율적인 OPC를 위해 대상 pattern만 define하여 적용할 수 있다.
Contact/Via의 경우, center to center(C2C) pitch가 작아지면서 Mask rule check(MRC) 중 특히 C2C 제약으로 인해 OPC convergence가 부족하거나, NILS(Normalized Image Log Slope)/MEEF(Mask Error Enhancement Factor)가 열화 될 수 있다. 이러한 열화로 인하여 산포 불량과 distortion이 발생하며 short/missing 마진이 부족하다. 따라서 이러한 pattern searching을 위해 이미지 파라미터(예를 들어, NILS/MEEF)와 MRC를 이용한 패턴 탐색 알고리즘이 적용될 수 있다.
이 때, NILS는 N, MEEF는 M, MRC C2C는 C라 하고, design rule 기반으로 양산성을 갖는 각각의 spec x, y, z 기준으로 다음과 같이 대상을 결정한다. 아래의 수학식에 의해 MR-CROPC 대상이 결정될 수 있다.
Figure pat00001
즉, NILS가 작고 MEEF가 크며 MRC C2C가 특정 값 미만인 pattern들을 hot spot으로 define하여 ME-CROPC 대상으로 선정한다.
선택된 MR-CROPC 대상에 대한 MR-CROPC가 수행될 수 있다(S120). 패턴 탐색 알고리즘으로 selection 된 pattern에 대해 ME-CROPC 수행을 위해 target의 corner를 대각선 방향으로 cutting 함으로써 moving이 가능한 multi-edge가 생성될 수 있다. rectangle의 경우 4개의 edge로 correction을 진행하지만, multi-edge를 생성할 경우 pattern 환경에 따라 멀티-엣지는 최대 8개까지 생성될 수 있다. 각 edge 위에 control point를 추가할 수 있다. 각 point에서 target 대비 contour의 차이가 작아지도록 EPE (contour - target)가 산출될 수 있다. 이 때 모든 angle서 설정한 radius 값만큼 rounding을 적용한 OPC 형상으로 simulation을 진행함으로써 contour가 생성될 수 있다. 상술된 과정을 설정한 반복(iteration) 횟수만큼 반복 수행함으로써, EPE를 최소로 하는 curvilinear OPC 모양으로 최종 OPC 출력이 결정될 수 있다. 이에 따라 최종 OPC 결과는 제한된 mask 제작 기준을 지키면서 multi-edge의 slope을 개선시켜 diffraction efficiency를 최대한 확보할 수 있다.
도 7은 본 발명의 실시 예에 따른 OPC 방법을 예시적을 보여주는 흐름도이다.
도 7을 참조하면, OPC 방법은 다음과 같이 진행될 수 있다. ME-CRCOPC 대상 선택을 위한 직사각형의 레이아웃 패턴이 선택될 수 있다(S210). 선택된 직사각형 형상에 코너 쵸핑(corner chopping)함으로써, 멀티-엣지들이 생성될 수 있다(S220). 각 멀티-엣지 위에 평가 포인트(evaluation point)가 생성될 수 있다(S230). OPC 수행 한 후, 각 앵클(angle)에서 라운딩(rounding)함으로써, 시뮬레이션이 수행될 수 있다(S240). 이후에, 평가 포인트에서 EPE가 계산될 수 있다(S250). 비용 함수의 계산값이 이전의 계산값보다 작은지 판별될 수 있다(S260). 만일, 비용 함수의 계산값이 이전값보다 작지 않다면, S240 단계가 진행될 수 있다. 반면에, 비용 함수의 계산값이 이전 값보다 작으면, 반복 횟수가 마지막인지 판별될 수 있다(S270). 만일, 반복 횟수가 마지막이 아니라면, S240 단계가 진행될 수 있다. 반면에, 반복 횟수가 마지막이라면, MRC 위반이 없는지가 확인될 수 있다(S280). 최종 OPC 형상이 결정되고 MDP가 진행될 수 있다(S290).
실시 예에 있어서, ME-CROPC 대상으로 선택된 직사각형 패턴에 대응하는 멀티-엣지의 개수는 5 내지 8 일 수 있다. 실시 예에 있어서, 시뮬레이션을 수행할 때, 각 앵글에 대한 반지름 값이 설정되고, 설정된 반지름 값을 이용하여 라운딩이 수행될 수 있다.
도 8a 및 도 8b는 제 1 포인트에 관련한 OPC 시뮬레이션 결과를 비교한 도면들이다. NILS 지표는 ME-CROPC, CROPC, MEOPC 순으로 높다. MEEF 지표는 ME-CROPC, MEOPC, CROPC 순으로 낮다. PV band는 ME-CROPC, MEOPC, CROPC 순으로 좁다.
도 9a 및 도 9b는 제 2 포인트에 관련한 OPC 시뮬레이션 결과를 비교한 도면들이다. NILS 지표는 ME-CROPC, CROPC, MEOPC 순으로 높다. MEEF 지표는 ME-CROPC, MEOPC, CROPC 순으로 낮다. PV band는 ME-CROPC, MEOPC, CROPC 순으로 좁다.
도 8b 및 도 9b를 참조하면, MRC relaxation solution인 MEOPC, CROPC 대비 diffraction efficiency를 극대화 한 ME-CROPC에서 이미지 파라미터(NILS/MEEF) 와 공정 variation (PV band) 개선이 확인되고 있다.
한편, 본 발명의 실시 예에 따른 OPC 방법은, 슬릿 중심을 기준으로 CD 데이터를 예측하는 모델을 우선적으로 생성 한 후에, 웨이퍼에서 실제 발생하고 있는 슬릿의 위치별로 세기(intensity)를 나타내는 아포디제이션(apodization) 테이블을 OPC 모델에 적용할 수 있다. 이후에, 본 발명의 OPC 방법은 대응하는 아포디제이션 테이블을 통해 슬릿 위치별로 세기를 각각 보정하고 있다.
도 10은 본 발명의 실시 예에 따른 OPC 모델을 생성하는 과정을 개념적으로 보여주는 도면이다. 도 10을 참조하면, 슬릿들(SLT1 ~ SLTm, m은 2 이상의 정수)의 각각은 대응하는 TCC(TCC1 ~ TCCm)와 대응하는 아포디제이션(apodization) 테이블(AT1 ~ ATm)을 이용하여 OPC 모델이 제작될 수 있다. 실시 예에 있어서, TCC는 OPC(Optical Proximity Correction) 방법에 이용되는 커널들(kernels)을 계산하는 전송함수일 수 있다. TCC는 광원과 퓨필(pupil)에 대한 정보를 포함할 수 있다.
아포디제이션(apodization) 테이블(AT1 ~ ATm)은 슬릿의 위치에 따른 아포디제이션 값을 포함할 수 있다. 실시 예에 있어서, 아포디제이션 값은 설비에 따라 슬릿 위치별 측정된 값일 수 있다. 다른 실시 예에 있어서, 아포디제이션 값은 슬릿 위치별 측정된 값을 이용한 추세 그래프의 값일 수 있다.
일반적으로 패턴이 미세화됨에 따라 이웃하는 패턴들 간의 영향에 의한 광 근접 현상(Optical Proximity Effect: OPE)이 노광 과정 중에 발생하고 있다. OPC 방법은 패턴을 전사하는 마스크 상의 패턴 레이아웃(layout)을 보정함으로써 OPE 발생을 억제할 수 있다.
도 11은 본 발명의 따른 다른 실시 예에 따른 OPC 방법을 예시적으로 보여주는 흐름도이다. 도 10 및 도 11을 참조하면, OPC 방법은 EUV 노광 공정에 이용되는 마스크 상의 패턴 레이아웃을 보정하는 방법을 포함할 수 있다.
슬릿의 영역별로 TCC(Transmission Cross Coefficient)가 분할될 수 있다(S310). EUV 노광 공정은 22㎚ 미만의 파장, 예를 들어 13.5㎚의 단파장을 이용하기 때문에 회절 현상이 크지 않다. 이에 따라 기존에 193㎚을 이용한 DUV 노광 공정에서의 OPC와 비교하여 회절 현상에 대한 OPC의 비중이 크지 않을 수 있다. 반면에, EUV 노광 공정은 미러 등의 결함에 의해 플레어(flare) 효과나 마스크 패턴의 두께에 의해 발생하는 쉐도우잉 효과를 야기할 수 있다. 이러한 플레어 효과나 쉐도우잉 효과를 반영한 OPC 방법이 필요하다. 일반적으로, 플레어 효과는 거울의 표면 거칠기에 따른 산란에 의해 발생하고 있다. 플레어 효과는 산란이 파장의 제곱에 반비례하기 때문에 파장이 짧은 EUV에서 더욱 두드러질 수 있다. 한편, EUV 노광 공정에서, 광이 법선으로부터 6°로 입사되는 것과 관련하여 다음과 같은 문제가 야기될 수 있다. 예를 들어, DUV 노광 공정에서는 슬릿의 구조가 직사각형 구조를 가졌지만, EUV 노광 공정에서의 슬릿은 소정 곡률을 갖는 원호의 구조를 가질 수 있다. 법선으로부터 6°로 입사되는 광은 원호구조의 곡선형 슬릿을 통과하면서, 그 방위각이 슬릿의 위치에 따라 달라질 수 있다. 따라서, 슬릿을 통과한 광은 슬릿의 위치에 따라 광의 세기와 위상들이 달라질 수 이다. 이는 CD를 야기할 수 있다. 이러한 슬릿의 위치에 따른 CD의 발생은, TCC의 에러를 유발하고, 그에 따라 OPC 방법 혹은 그에 따른 OPC 모델의 에러를 유발할 수 있다.
기존에, DUV 노광 공정에서 이용되는 슬릿은 직사각형의 직선형 구조를 가지므로, 슬릿을 통과한 광의 방위각의 변화가 없고, 슬릿의 위치에 따른 수차가 거의 발생하지 않을 수 있다. 따라서, 슬릿의 중심 영역의 TCC만을 계산하고 전 영역에 동일한 TCC를 반영하여 OPC를 수행하여도 문제가 발생하지 않을 수 있다. 하지만, EUV 노광 공정의 경우, 원호 구조의 곡선형의 슬릿을 사용하기 때문에, 슬릿의 각 위치에 따른 TCC가 달라진다. 기존 DUV 노광 공정에서와 같이 슬릿의 중심 영역만의 TCC 계산을 통해 OPC 방법을 수행하는 경우, 정확한 OPC 모델을 생성할 수 없다. 이러한 부정확한 OPC 모델은 EUV 마스크의 패턴 불량, 및 그에 따른 다수의 불량 칩들을 발생시킴으로써, 전체 반도체 공정의 수율을 낮추는 원인이 될 수 있다.
EUV 노광 공정에서, 곡선형의 슬릿 형상에 불구하고 중심 부분만의 TCC를 이용하여 OPC를 진행하는 경우에 슬릿의 엣지에 해당하는 부분의 EUV 마스크의 패턴들 및 그에 대응하는 칩들에서 에러가 발생할 수 있다. 이러한 슬릿의 구조에 따른 에러를 슬릿 에러 혹은 스캐너 에러라고 한다. 이에 따라, OPC 방법은 TCC를 슬릿의 중심 부분에서만 계산하는 것이 아니라 슬릿의 영역별로 TCC 분할하여 계산할 수 있다.
한편, 마스크 패턴의 프로파일은 프로파일 함수의 컨투어(contour)에 의해 결정될 수 있다. 프로파일 함수는 이미지 세기와 가우시안 함수의 컨볼루션 적분에 의해 표현될 수 있다. 결국, TCC의 커널들을 계산하여 이미지 세기를 계산함으로써, 마스크 패턴 프로파일에 대한 OPC 모델이 생성될 수 있다. TCC를 분할하는 것은 슬릿을 영역별로 분할함으로써, 각각의 영역에 대한 TCC를 계산하는 것을 포함할 수 있다. 실시 예에 있어서, 슬릿의 좌표에 따른 수차, 위상, 세기, 극성, 및 아포디제이션 값 중에서 적어도 하나를 이용하여 TCC가 분할될 수 있다.
슬릿의 영역별로 TCC 분할 후에, 분할된 TCC를 반영하여 OPC 모델이 생성 될 수 있다(S320). 슬릿의 각 영역 별 TCC를 슬릿의 각각의 영역에 반영하여, 슬릿의 영역별 OPC 모델들을 생성한다. 슬릿의 위치에 따라 CD 가 존재하므로, 슬릿의 각 영역별 TCC가 달라질 수 있다. 따라서, 슬릿의 각 영역의 OPC 모델들도 달라질 수 있다.
OPC 모델을 생성한 후에, OPC가 수행될 수 있다(S330). 여기서 OPC 수행 동작은 도 1 내지 도 9에서 설명된 OPC 방법으로 진행될 수 있다. 실시 예에 있어서, 각각의 TCC를 반영한 OPC 모델을 생성한 후에, OPC 모델을 기반으로 시뮬레이션을 통해 마스크의 패턴을 획득하고, 획득한 마스크 패턴이 타겟 마스크 패턴과 일치하는지 비교하여 차이가 있는 경우에 타겟 마스크 패턴에 맞도록 OPC를 수정할 수 있다. 예를 들어, 타겟 마스크 패턴이 정사각형이고, OPC 모델을 정사각형으로 생성했는데, 시뮬레이션을 통해 획득한 마스크 패턴은 원형으로 나올 수 있다. 그러한 경우에, 정사각형의 OPC 모델의 각 모서리에 형상을 추가하는 수정을 할 수 있다. 여기서, OPC 수정은 단순히 모델 형상을 수정한다는 개념이라기보다는 OPC 레시피, 모델 조정(calibration), 수평 및 수직 바이어스 등 전반적인 파라미터들을 반영하여 요구되는 모델 형상이 나오도록 프로그램을 수정한다는 개념일수 있다.
특히, 본 발명은 아포디제이션 테이블(AT1 ~ ATM)을 이용하여 슬릿들(SLT1 ~ SLTm)의 각각에 대응하는 OPC 보정이 수행될 수 있다. 이러한 OPC를 수정한 후, OPC 검증 모델이 생성될 수 있다(S340). OPC 검증 모델은 결국, OPC를 수정하여 만들어진 결과물일 수 있다. 이후에 OPC 검증 모델을 생성 후에, OPC 검증이 수행될 수 있다(S350). OPC 검증은 OPC 검증 모델을 기반으로 시뮬레이션을 수행할 수 있다. 이러한 OPC 검증은 시뮬레이션을 통해 얻은 마스크 패턴이 타겟 마스크 패턴과 일치하는지 검사하는 과정을 포함할 수 있다.
일반적으로 OPC 검증은 앞서 OPC 수정이 적절히 수행되었는지 여부를 패턴의 시뮬레이션 등고선(simulation contour)을 통해 검증하는 것을 의미한다. 예를 들어, OPC 검증 모델을 통한 시뮬레이션 등고선이 에러 수용 범위(error tolerance) 내인 경우에 OPC 방법을 종료하고, MTO(Mask Tape-Out) 단계로 이동할 수 있다. 한편, OPC 검증을 통한 시뮬레이션 등고선이 에러 수용 범위를 벗어난 경우, 모델 조정, OPC 레시피, 바이어스 등의 파라미터 수정을 통해 OPC를 다시 수정하고, OPC 검증 모델을 생성하여 다시 OPC 검증을 수행하는 식으로 진행할 수 있다. 또한, MOT는 OPC 방법이 완료된 마스크 디자인 데이터를 넘겨 마스크 제작을 의뢰하는 것을 포함할 수 있다. 따라서, OPC 방법이 완료된 마스크 디자인 데이터를 MTO 디자인 데이터라고 부를 수 있다.
실시 예에 따른 OPC 방법은, 슬릿의 영역별로 TCC를 분할하고, 분할된 TCC를 반영하여 OPC 모델을 생성하고, 아포디제이션 값에 따라 OPC 보정함으로써, 슬릿 효과에 따른 슬릿 엣지에 대응하는 부분의 패턴 에러를 보정하는 EUV 마스크를 제조할 수 있다. 또한, 그러한 EUV 마스크를 통해 노광 공정을 진행함에 따라, 슬릿 엣지에 대응하는 부분의 칩들의 불량을 방지할 수 있다. EUV 노광 공정에서의 산포를 개선하고, 수율을 획기적으로 개선할 수 있다.
도 12는 본 발명의 실시 예에 따른 EUV 마스크 제조방법의 과정을 보여주는 흐름도이다. 도 12를 참조하면, OPC가 수행된다(S410). 여기서, 슬릿의 영역별로 TCC를 분할하고, 분할된 TCC을 반영하여 OPC 모델이 생성되고, 아포디제이션 테이블과 OPC 모델을 기반으로 OPC가 수행될 수 있다. 이후에 OPC 검증 모델 생성하여 OPC 검증 등의 일련의 과정들이 추가로 수행될 수 있다.
본 발명의 OPC를 수행하는 것은, 직사각형 패턴들 중에서 제 1 OPC를 수행할 제 1 대상들을 선택하는 것과, 제 1 대상들 중에서 제 2 OPC를 수행할 제 2 대상들을 선택하는 것, 제 2 대상들을 제외한 제 1 대상들에 대하여 제 1 OPC를 수행하는 것과 제 2 대상들에 대하여 제 2 OPC를 수행하는 것을 포함할 수 있다. 여기서 제 1 OPC는 MEOPC(Multi-Edge Optical Proximity Correction)이고, 제 2 OPC는 ME-CROPC(Multi-Edge Corner Rounding Optical Proximity Correction)일 수 있다.
실시 예에 있어서, 이미지 파라미터 혹은 MRC(Mask Rule Check)을 이용하여 상기 제 2 대상들이 선택될 수 있다. 실시 예에 있어서, 슬릿 영역별로 TCC(Transmission Cross Coefficient)가 분할될 수 있다. 실시 예에 있어서, 슬릿 위치별 빔의 세기를 지시하는 아포디제이션(apodization) 값이 설정될 수 있다.
상술된 바와 같이, OPC를 수행한 후, MTO 디자인 데이터를 입력 받는다(S420). 일반적으로, MTO는 OPC 단계가 완료된 마스크 디자인 데이터를 넘겨 마스크 제작을 의뢰하는 것을 포함할 수 있다. 따라서, MTO 디자인 데이터는 결국, OPC 단계가 완료된 마스크 디자인 데이터라고 볼 수 있다. 이러한 MTO 디자인 데이터는 전자 설계 자동화(Electronic Design Automation: EDA) 소프트웨어 등에서 사용되는 그래픽 데이터 포맷을 가질 수 있다. 예를 들어, MTO 디자인 데이터는 GDS2(Graphic Data System), ASIS(Open Artwork System Interchange Standard) 등의 데이터 포맷을 가질 수 있다.
MTO 디자인 데이터를 입력 받은 후, 마스크 데이터 준비(Mask Data Preparation: MDP)를 수행한다(S430). 마스크 데이터 준비는 예를 들어, 분할(fracturing)로 불리는 포맷 변환, 기계식 판독을 위한 바코드, 검사용 표준 마스크 패턴, 잡-덱(job deck) 등의 추가(augmentation), 그리고 자동 및 수동 방식의 검증을 포함할 수 있다. 여기서 잡-덱은 다중 마스크 파일들의 배치정보, 기준 도우즈(dose), 노광 속도나 방식 등의 일련의 지령에 관한 텍스트 파일을 만드는 것을 포함할 수 있다.
한편, 포맷 변환, 즉 분할(fracturing)은 MTO 디자인 데이터를 각 영역별로 분할하여 전자빔 노광기용 포맷으로 변경하는 공정을 포함할 수 있다. 예를 들어, 분할은 크기 조절(Scaling), 데이터의 정립(sizing), 데이터의 회전, 패턴 반사, 색상 반전 등의 데이터 조작이 포함될 수 있다. 분할을 통한 변환 과정에서, 설계 데이터로부터 웨이퍼 상의 이미지로의 전달과정 중의 발생할 수 있는 수많은 계통 오차들(systematic errors)에 대한 데이터가 보정될 수 있다. 이러한 계통 오차들에 대한 데이터 보정 공정을 마스크 프로세스 보정(Mask Process Correction: MPC)이라고 부른다. 예를 들어 CD 조절이라고 부르는 선폭 조절 및 패턴 배치 정밀도를 높이는 작업 등이 데이터 보정 공정에 포함될 수 있다. 또한, 마스크 프로세스 보정을 위해 선행적으로 수행되는 공정일 수 있다. 여기서, 계통 오차들은 노광 공정, 마스크 현상(development) 및 에칭(etching) 공정, 그리고 웨이퍼 이미징 공정 등에서 발생하는 왜곡에 의해서 유발될 수 있다.
한편, 마스크 데이터 준비는 전술한 MPC를 포함할 수 있다. MPC는 노광 공정 중에 발생하는 에러, 즉 계통 오차를 보정하는 공정을 말한다. 여기서, 노광 공정은 MPC 공정은 전자빔 쓰기(Writing), 현상, 에칭, 베이크(bake) 등을 전반적으로 포함하는 개념일 수 있다. 덧붙여, 노광 공정 전에 데이터 프로세싱이 수행될 수 있다. 데이터 프로세싱은 일종의 마스크 데이터에 대한 전처리 과정으로서, 마스크 데이터에 대한 문법 체크, 노광 시간 예측 등을 포함할 수 있다.
마스크 데이터 준비 후, 마스크 데이터를 기반으로 하여 마스크용 기판을 노광 한다(S440). 여기서, 노광은 예를 들어, 전자빔 쓰기를 포함할 수 있다. 여기서, 전자빔 쓰기는 예를 들어, 멀티-빔 마스크 노광기(Multi-Beam Mask Writer: MBMW)를 이용한 그레이 노광(Gray Writing) 방식으로 진행할 수 있다. 또한, 전자빔 쓰기는 가변 형상빔(Variable Shape Beam: VSB) 노광기를 이용하여 수행할 수도 있다.
한편, 마스크 데이터 준비 단계 이후, 노광 공정 전에 마스크 데이터를 픽셀 데이터로 변환하는 과정이 수행될 수 있다. 픽셀 데이터는 실제의 노광에 직접 이용되는 데이터로서, 노광 대상이 되는 형상에 대한 데이터와 그 각각에 할당된 도우즈에 대한 데이터를 포함할 수 있다. 여기서, 형상에 대한 데이터는 벡터 데이터인 형상 데이터가 래스터라이제이션(rasterization) 등을 통해 변환된 비트-맵(bit-map) 데이터일 수 있다.
노광 공정 후, 일련의 공정들을 진행하여 마스크를 형성한다(S450). 일련의 공정들은 예를 들어, 현상, 식각, 및 세정 등의 공정을 포함할 수 있다. 또한, 마스크 형성을 위한 일련의 공정에는 계측 공정, 결함 검사나 결함 수리 공정이 포함될 수 있다. 또한, 펠리클(pellicle) 도포 공정이 포함될 수도 있다. 여기서 펠리클 도포 공정은 최종 세척과 검사를 통해서 오염입자나 화학적 얼룩이 없다고 확인이 되면, 마스크 표면을 마스크의 배송 및 마스크의 가용수명 기간 동안 후속적인 오염으로부터 마스크를 보호하기 위해서 펠리클을 부착하는 공정을 포함할 수 있다.
본 발명의 실시 예에 따른 EUV 마스크 제조 방법은, MR-CROPC를 수행함으로써, 회절 효율을 개선하면서 동시에 공정 비용을 현저하게 줄일 수 있다.
도 13은 본 발명의 다른 실시 예에 따른 EUV 마스크 제조 방법을 보여주는 흐름도이다. 도 13을 참조하면, 본 발명의 EUV 마스크 제조방법은 도 12와 유사하나 OPC 수행하는 단계(S510), MTO 디자인 데이터 입력하는 단계(S520), 마스크 데이터 준비 단계(S530) 이후에, 마스크 데이터에 대한 근접 효과 보정(Proximity Effect Correction: PEC)을 수행하는 단계(S535)를 더 포함할 수 있다. 이러한 PEC는 전자빔 근접효과, 즉 전자빔의 산란에 의한 에러를 보정하는 공정을 말한다. 구체적으로, 전자빔 노광 공정에서, 전자빔을 생성하기 위해 사용되는 높은 가속전압이 전자들에 높은 운동에너지를 부가함에 따라, 레지스트와 그 아래에 위치한 소재의 원자들과 함께 산란되는 현상이 발생하는데, 이러한 현상을 일반적으로 전자빔 근접효과라고 한다. 이러한 전자빔 근접효과는 두 가우시안 함수로 모델링 하거나 혹은 경험적으로 결정된 근접함수로 모델링 될 수 있고, 그러한 함수들을 기반으로 하여 전자빔 근접 효과에 대한 보정이 가능하다.
전자빔 근접 효과에 의해 발생하는 에러를 보정하기 위하여 가장 일반적으로 사용하는 근접 효과 보정은, 실제 노광시의 도우즈를 변화시켜 산란에 의해 변화된 도우즈를 보상하는 방법이다. 예를 들어, 높은 패턴밀도를 갖는 영역은 상대적으로 낮은 도우즈가 할당되고, 상대적으로 고립되고 작은 형상들에는 비교적 높은 도우즈가 할당 될 수 있다. 여기서, 도우즈는 전자빔의 조사량을 포함할 수 있다. 한편, 근접 효과 보정은 패턴 형상의 모서리를 수정하거나 패턴 형상의 사이즈를 변경하는 방법을 포함할 수 있다. PEC 수행 후에 마스크용 기판 노광 단계(S540) 및 EUV 마스크 형성 단계(S550)를 수행하여 EUV 마스크를 제조한다.
도 14는 본 발명의 실시 예에 따른 반도체 칩 제조 방법을 예시적으로 보여주는 흐름도이다. 도 14를 참조하면, 도 13에 도시된 일련의 단계들(S610 ~ S650)을 거쳐 EUV 마스크 형성 단계(S660)를 수행하여 EUV 마스크가 제조될 수 있다. EUV 마스크가 제조되면, 제조된 EUV 마스크를 이용하여 웨이퍼 등과 같은 반도체 기판 상에 다양한 반도체 공정을 진행하여 반도체 소자가 형성될 수 있다(S670). 참고로, EUV 마스크를 이용하는 공정은 대표적으로 EUV 노광 공정을 통한 패터닝 공정을 포함할 수 있다. 이러한 EUV 마스크를 이용한 패터닝 공정을 통해 반도체 기판이나 물질층 상에 원하는 패턴을 형성할 수 있다.
한편, 반도체 공정은 증착 공정, 식각 공정, 이온 공정, 세정 공정 등을 포함할 수 있다. 여기서, 증착 공정은 CVD, 스퍼터링, 스핀 코팅 등 다양한 물질층 형성 공정을 포함할 수 있다. 이온 공정은 이온 주입, 확산, 열처리 등의 공정을 포함할 수 있다. 한편, 반도체 공정은 반도체 소자를 PCB 상에 실장하고 밀봉재로 밀봉하는 패키징 공정을 포함할 수도 있고, 또한 반도체 공정에 반도체 소자나 패키지에 대해 테스트를 하는 테스트 공정이 포함될 수도 있다.
본 발명은 일반적인 OPC의 geometric limitation pattern define 및 multi-moving edge를 이용한 cost effective OPC solution을 개시하고 있다. 실시 예에 있어서, 이미지 파라미터를 기반으로 한 pattern search algorithm을 이용하여 ME-CROPC 적용 대상 pattern이 결정될 수 있다. 실시 예에 있어서, multi-edge 생성하여 multiple target point로 multiple correctable edge가 구현될 수 있다. corner rounding OPC 적용하여 EPE(Edge Placement Error) converge 및 multiple edge slope이 개선될 수 있다.
본 발명은 ME-CROPC의 MRC Check 후 MDP 진행을 통한 mask 제작 진행할 수 있다. 실시 예에 있어서, hot spot define 위한 geometry search algorithm이 개시된다. 실시 예에 있어서, multi-moving edge 생성으로 multi-edge slope 개선을 통한 diffraction 한계 극복한 OPC solution이 개시된다. Effective diffraction을 위한 rounding shape으로 ideal OPC shape가 구현될 수 있다. 본 발명의 실시 예에 따른 OPC 방법은 Double(LELE) patterning scheme을 single mask patterning으로 공정 단가를 줄일 수 있다.
본 발명의 실시 예에 따른 OPC 방법은 이미지 파라미터와 MRC를 동시에 고려한 hot spot searching 알고리즘을 이용할 수 있다. 실시 예에 있어서, double 혹은 triple mask가 요구되는 Contact/Via의 C2C 42.5nm 이하 pitch에서 MRC 및 diffraction limitation 극복하는 single exposure patterning 기술로 이용 가능하다. 실시 예에 있어서, multi-edge와 corner rounding OPC를 통해 ILT(Inverse Lithography Technique) 이점을 cost effective solution으로 구현 가능하다.
본 발명의 실시 예에 따른 ME-CROPC 방법은 OPC RUNTIME penalty없이 ILT OPC의 효과를 확보할 수 있다.
본 발명의 실시 예에 따른 ME-CROPC 방법은, edge slope 개선을 극대화 한 curvilinear shape을 구현함으로써, 이미지 파라미터 및 process variation을 개선 할 수 있다. 본 발명의 실시 예에 따른 ME-CROPC 방법은, single exposure 공정 개발로 mask 추가 제작 비용을 절감할 수 있다. 본 발명의 실시 예에 따른 ME-CROPC 방법은 cost effective 기술 개발로 Product DB의 양산성을 확보할 수 있다.
한편, 상술된 본 발명의 내용은 발명을 실시하기 위한 구체적인 실시 예들에 불과하다. 본 발명은 구체적이고 실제로 이용할 수 있는 수단 자체뿐 아니라, 장차 기술로 활용 할 수 있는 추상적이고 개념적인 아이디어인 기술적 사상을 포함 할 것이다.
1000: 컴퓨팅 시스템
1110: 프로세서
1200: 워킹 메모리
1300: 입출력 장치
1400: 보조 저장 장치

Claims (20)

  1. 반도체 칩을 제조하는 방법에 있어서,
    반도체 칩에 대한 레이아웃을 설계하는 단계;
    상기 레이아웃에 대한 OPC(Optical Proximity Correction)을 수행하는 단계;
    상기 OPC를 수행한 후, 마스크를 제조하는 단계; 및
    상기 마스크를 이용하여 상기 반도체 칩을 제조하는 단계를 포함하고,
    상기 마스크의 직사각형 패턴에 대응하는 복수의 OPC 형상들이 포함되고,
    상기 복수의 OPC 형상들 중에서 적어도 하나는 멀티-엣지 코너 라운딩(multi-edge corner rounding) OPC 형상을 포함하는 방법.
  2. 제 1 항에 있어서,
    상기 복수의 OPC 형상들 중에서 다른 적어도 하나는 멀티-엣지 OPC 형상을 포함하는 방법.
  3. 제 1 항에 있어서,
    상기 OPC를 수행하는 단계는,
    상기 레이아웃에서 상기 멀티-엣지 코너 라운딩 OPC 형상 대상을 선택하는 단계; 및
    상기 선택된 대상에 대한 멀티-엣지 코너 라운딩 OPC을 수행하는 단계를 포함하는 방법.
  4. 제 3 항에 있어서,
    상기 멀티-엣지 코너 라운딩 OPC 형상의 대상을 선택하는 단계는,
    적어도 하나의 이미지 파라미터와 MRC(Mask Rule Check)을 이용하여 상기 멀티-엣지 코너 라운딩 OPC 형상의 대상을 선택하는 단계를 포함하는 방법.
  5. 제 4 항에 있어서,
    상기 적어도 하나의 이미지 파라미터는 NILS(Normalized Image Log Slope) 혹은 MEEF(Mask Error Enhancement factor)를 포함하는 방법.
  6. 제 4 항에 있어서,
    상기 멀티-엣지 코너 라운딩 OPC 형상의 대상을 선택하는 단계는,
    상기 레이아웃의 직사각형 패턴들 중에서 NILS(Normalized Image Log Slope)가 제 1 값보다 작고, MEEF(Mask Error Enhancement Factor)가 제 2 값보다 크고, MRC가 제 3 값보다 작은 패턴을 핫 스팟(hot spot)으로 결정하는 단계를 포함하는 방법.
  7. 제 3 항에 있어서,
    상기 멀티-엣지 코너 라운딩 OPC을 수행하는 단계는,
    상기 선택된 대상의 코너를 대각선 방형으로 컷팅함으로써 이동 가능한 멀티-엣지를 생성하는 단계; 및
    각각의 엣지 위에 제어 포인트를 추가하는 단계를 포함하는 방법.
  8. 제 7 항에 있어서,
    상기 멀티-엣지 코너 라운딩 OPC을 수행하는 단계는,
    각각의 제어 포인트에서 EPE(Edge Placement Error)를 계산하는 단계를 더 포함하는 방법.
  9. 제 7 항에 있어서,
    상기 멀티-엣지 코너 라운딩 OPC을 수행하는 단계는,
    모든 앵글에서 설정한 반지름(radius)만큼 라운딩을 적용하여 컨투어(contour)를 생성하는 단계를 더 포함하는 방법.
  10. 제 7 항에 있어서,
    EPE(Edge Placement Error)가 최소가 될 때까지, 상기 멀티-엣지 코너 라운딩 OPC를 수행하는 단계가 반복되는 것을 특징으로 하는 방법.
  11. 반도체 칩을 제조하기 위한 광 근정 보정 방법에 있어서,
    직사각형 패턴들 중에서 멀티-엣지 대상 패턴을 선택하는 단계;
    상기 선택된 직사각형 형상에 코너 쵸핑함으로써 멀티-엣지를 생성하는 단계;
    각 멀티-엣지 위에 평가 포인트를 생성하는 단계;
    각 앵글에서 라운딩함으로써 OPC(Optical Proximity Correction) 시뮬레이션을 수행하는 단계;
    상기 평가 포인트에서 EPE(Edge Placement Error)를 계산하는 단계;
    상기 계산된 값이 이전 반복값보다 낮은지 판별하는 단계;
    상기 계산된 값이 상기 이전 반복값보다 낮을 때, 상기 시뮬레이션의 진행 횟수가 마지막 반복인 지를 판별하는 단계;
    상기 시뮬레이션의 진행 횟수가 마지막 반복일 때, MRC(Mask Rule Check) 위반이 없는 지를 판별하는 단계; 및
    상기 MRC 위반이 없을 때, 최종 OPC 형상을 결정하는 단계를 포함하는 방법.
  12. 제 11 항에 있어서,
    상기 선택된 직사각형 패턴에 대응하는 멀티-엣지의 개수는 5 내지 8 인 것을 특징으로 하는 방법.
  13. 제 11 항에 있어서,
    상기 시뮬레이션을 수행한 결과에 따라 생성된 컨투어(contour)와 타겟 사이의 차이가 작아지도록, 상기 계산된 값이 이전 반복값보다 작지 않을 때 상기 시뮬레이션을 수행하는 동작을 반복하는 단계를 더 포함하는 방법.
  14. 제 11 항에 있어서,
    상기 시뮬레이션을 수행한 결과에 따라 생성된 컨투어(contour)와 타겟 사이의 차이가 작아지도록, 상기 계산된 값이 이전 반복값보다 작고, 상기 시뮬레이션 진행 횟수가 상기 마지막 반복이 아닐 때 상기 시뮬레이션을 수행하는 동작을 반복하는 단계를 더 포함하는 방법.
  15. 제 11 항에 있어서,
    상기 시뮬레이션을 수행하는 단계는,
    각 앵글에 대한 반지름 값을 설정하는 단계; 및
    상기 설정된 반지름 값을 이용하여 라운딩을 수행하는 단계를 더 포함하는 방법.
  16. 반도체 칩을 위한 마스크 제조 방법에 있어서,
    레이아웃에 대한 OPC(Optical Proximity Correction)을 수행하는 단계;
    상기 OPC를 수행한 후, MTO(Mask Tape-Out) 디자인 데이터를 입력받는 단계;
    상기 MTO 디자인 데이터를 입력 받은 후, 마스크 데이터 준비를 수행하는 단계;
    상기 마스크 데이터 준비를 수행한 후, 전자 빔을 이용하여 마스크 데이터를 기반으로 마스크용 기판에 대한 노광 공정을 수행하는 단계; 및
    상기 노광 공정을 수행한 후, 현상, 식각 혹은 세정의 공정을 진행함으로써 마스크를 형성하는 단계를 포함하고,
    상기 OPC를 수행하는 단계는,
    직사각형 패턴들 중에서 제 1 OPC를 수행할 제 1 대상들을 선택하는 단계;
    상기 제 1 대상들 중에서 제 2 OPC를 수행할 제 2 대상들을 선택하는 단계;
    상기 제 2 대상들을 제외한 상기 제 1 대상들에 대하여 제 1 OPC를 수행하는 단계; 및
    상기 제 2 대상들에 대하여 제 2 OPC를 수행하는 단계를 포함하는 방법.
  17. 제 16 항에 있어서,
    상기 제 1 OPC는 MEOPC(Multi-Edge Optical Proximity Correction)이고,
    상기 제 2 OPC는 ME-CROPC(Multi-Edge Corner Rounding Optical Proximity Correction)인 것을 특징으로 하는 방법.
  18. 제 16 항에 있어서,
    상기 제 2 대상들을 선택하는 단계는,
    이미지 파라미터 혹은 MRC(Mask Rule Check)을 이용하여 상기 제 2 대상들을 선택하는 단계를 포함하는 방법.
  19. 제 16 항에 있어서,
    상기 OPC를 수행하는 단계는,
    슬릿 영역별로 TCC(Transmission Cross Coefficient)를 분할하는 단계를 더 포함하는 방법.
  20. 제 16 항에 있어서,
    상기 OPC를 수행하는 단계는,
    슬릿 위치별 빔의 세기를 지시하는 아포디제이션(apodization) 값을 설정하는 단계를 더 포함하는 방법.
KR1020210118357A 2021-09-06 2021-09-06 광 근접 보정 방법. 그것을 이용하는 마스크 제작 방법 및 반도체 칩 KR20230036578A (ko)

Priority Applications (3)

Application Number Priority Date Filing Date Title
KR1020210118357A KR20230036578A (ko) 2021-09-06 2021-09-06 광 근접 보정 방법. 그것을 이용하는 마스크 제작 방법 및 반도체 칩
US17/739,752 US20230071777A1 (en) 2021-09-06 2022-05-09 Optical proximity correction method, mask manufacturing method and semiconductor chip manufacturing method using the same
CN202211036958.7A CN115774375A (zh) 2021-09-06 2022-08-26 光学邻近校正方法、掩模制造方法及半导体芯片制造方法

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
KR1020210118357A KR20230036578A (ko) 2021-09-06 2021-09-06 광 근접 보정 방법. 그것을 이용하는 마스크 제작 방법 및 반도체 칩

Publications (1)

Publication Number Publication Date
KR20230036578A true KR20230036578A (ko) 2023-03-15

Family

ID=85385871

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1020210118357A KR20230036578A (ko) 2021-09-06 2021-09-06 광 근접 보정 방법. 그것을 이용하는 마스크 제작 방법 및 반도체 칩

Country Status (3)

Country Link
US (1) US20230071777A1 (ko)
KR (1) KR20230036578A (ko)
CN (1) CN115774375A (ko)

Families Citing this family (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN116699939B (zh) * 2023-08-08 2023-11-07 华芯程(杭州)科技有限公司 一种掩膜版优化方法、装置、设备及计算机可读存储介质
CN117434785B (zh) * 2023-12-21 2024-03-01 华芯程(杭州)科技有限公司 一种掩膜图案校正方法、装置、电子设备和可读存储介质

Also Published As

Publication number Publication date
CN115774375A (zh) 2023-03-10
US20230071777A1 (en) 2023-03-09

Similar Documents

Publication Publication Date Title
JP5008681B2 (ja) リソグラフィシミュレーションのための装置
US11947254B2 (en) Method of mask data synthesis and mask making
KR102491578B1 (ko) Opc 방법 및 그 opc 방법을 이용한 마스크 제조방법
US11054736B2 (en) Extreme ultraviolet (EUV) mask for lithography and associated methods
US20230071777A1 (en) Optical proximity correction method, mask manufacturing method and semiconductor chip manufacturing method using the same
KR20170047101A (ko) Opc 이용한 마스크 제조방법 및 반도체 소자 제조방법
US11675958B2 (en) Lithography simulation method
US20220292669A1 (en) Stochastic contour prediction system, method of providing the stochastic contour prediction system, and method of providing euv mask using the stochastic contour prediction system
US20180284597A1 (en) Etch kernel definition for etch modeling
CN110879507B (zh) 用于执行光学邻近校正的方法和使用其制造掩模的方法
US20230205092A1 (en) Optical proximity correction method, mask manufacturing method, semiconductor chip manufacturing method using the same and computing device
US20230418260A1 (en) Lithography model generating method based on deep learning, and mask manufacturing method including the lithography model generating method
US11740550B2 (en) Method of performing optical proximity correction and method of manufacturing lithographic mask by using the same
US20230324881A1 (en) Machine learning (ml)-based process proximity correction (ppc) method and semiconductor device manufacturing method including the same
US20220155674A1 (en) Optical proximity correction method and mask manufacturing method of lithography system
TWI782372B (zh) 改善設計布局的方法及其半導體布局系統
US20230359805A1 (en) Layout method for semiconductor chip, method of manufacturing semiconductor chip using the same, and computing device
US11960212B2 (en) Extreme ultraviolet lithography device and method of operating extreme ultraviolet lithography device
US20230168576A1 (en) Full-chip cell critical dimension correction method and method of manufacturing mask using the same
CN116203803A (zh) 用于光学邻近校正的方法和制造半导体器件的方法
CN114721217A (zh) 改善光学近端校正技术的方法及系统