DE112018002123T5 - Bestimmen einer einheitlichkeit einer wirksamen dosis bei einer lithographie - Google Patents

Bestimmen einer einheitlichkeit einer wirksamen dosis bei einer lithographie Download PDF

Info

Publication number
DE112018002123T5
DE112018002123T5 DE112018002123.8T DE112018002123T DE112018002123T5 DE 112018002123 T5 DE112018002123 T5 DE 112018002123T5 DE 112018002123 T DE112018002123 T DE 112018002123T DE 112018002123 T5 DE112018002123 T5 DE 112018002123T5
Authority
DE
Germany
Prior art keywords
substrate
image file
exposure
lithography tool
graphic image
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
DE112018002123.8T
Other languages
English (en)
Other versions
DE112018002123B4 (de
Inventor
Christopher Frederick Robinson
Dan Corliss
Luciana Meli Thompson
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
International Business Machines Corp
Original Assignee
International Business Machines Corp
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by International Business Machines Corp filed Critical International Business Machines Corp
Publication of DE112018002123T5 publication Critical patent/DE112018002123T5/de
Application granted granted Critical
Publication of DE112018002123B4 publication Critical patent/DE112018002123B4/de
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Classifications

    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/70483Information management; Active and passive control; Testing; Wafer monitoring, e.g. pattern monitoring
    • G03F7/70605Workpiece metrology
    • G03F7/70616Monitoring the printed patterns
    • G03F7/70625Dimensions, e.g. line width, critical dimension [CD], profile, sidewall angle or edge roughness
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/70483Information management; Active and passive control; Testing; Wafer monitoring, e.g. pattern monitoring
    • G03F7/7055Exposure light control in all parts of the microlithographic apparatus, e.g. pulse length control or light interruption
    • G03F7/70558Dose control, i.e. achievement of a desired dose
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/70058Mask illumination systems
    • G03F7/70133Measurement of illumination distribution, in pupil plane or field plane

Landscapes

  • Physics & Mathematics (AREA)
  • General Physics & Mathematics (AREA)
  • Exposure Of Semiconductors, Excluding Electron Or Ion Beam Exposure (AREA)
  • Exposure And Positioning Against Photoresist Photosensitive Materials (AREA)

Abstract

Ausführungsformen sind auf ein Verfahren und System zum Bestimmen einer wirksamen Dosis eines Lithographie-Werkzeugs gerichtet. Das Verfahren beinhaltet ein Durchführen einer Reihe von Open-Frame-Belichtungen (Flutbelichtungen) mit dem Lithographie-Werkzeug auf einem Substrat, um einen Satz Blöcke mit gesteuerter Belichtungsdosis im Resist zu erzeugen, und ein anschließendes Erhitzen und Entwickeln des belichteten Substrats. Das Verfahren beinhaltet ferner ein Scannen der sich ergebenden Open-Frame-Bilder mit Schräglicht und ein Erfassen des von der Substratoberfläche aus zerstreuten Lichts. Das Verfahren beinhaltet außerdem ein Erstellen einer „Haze Map“ aus dem Hintergrundsignal der Streulichtdaten, ein Umwandeln der Haze Map in eine grafische Bilddatei und ein Analysieren der grafischen Bilddatei, um eine wirksame Dosis des Lithographie-Werkzeugs zu bestimmen, wobei eine Helligkeit der grafischen Bilddatei mit einer wirksamen Dosis des Lithographie-Werkzeugs in Zusammenhang steht.

Description

  • TECHNISCHES GEBIET
  • Die vorliegende Erfindung betrifft allgemein das Gebiet der Lithographie. Insbesondere betreffen Ausführungsformen der vorliegenden Erfindung das Bestimmen der Gleichmäßigkeit und Einheitlichkeit einer wirksamen Dosis bei einer Lithographie.
  • HINTERGRUND
  • Lithographie ist ein Prozess, der zum Übertragen einer Struktur, beispielsweise einer Struktur einer integrierten Schaltung oder dergleichen, auf ein Substrat verwendet wird. Lithographie beinhaltet einen Belichtungsprozess, bei dem eine Schicht Resist (auch als Photoresist bekannt) auf einem Substrat Strahlung ausgesetzt wird, bei der es sich um Elektronen, Ionen, Photonen weicher Röntgenstrahlung (soft x-ray photons, auch bekannt als EUV-Photonen) oder optische Photonen handeln kann, die mithilfe einer strukturierten Maske moduliert werden. Der Photoresist wird dann entwickelt, um dessen belichteten Anteil (in dem Fall eines positiven Photoresist) oder dessen nicht belichteten Anteil (in dem Fall eines negativen Photoresist) zu entfernen, wodurch eine Photoresiststruktur gebildet wird. Anschließend wird eine unter der Photoresiststruktur liegende Materialschicht geätzt, wobei die Photoresiststruktur als eine Maske verwendet wird. Als Ergebnis wird eine der Struktur der Maske entsprechende Struktur auf das Substrat übertragen. Die Struktur kann zum Erstellen von Strukturen integrierter Schaltungen verwendet werden.
  • Die Dosis und Intensität der Belichtungsstrahlung sollten derart gesteuert werden, dass sie während des Belichtungsprozesses einheitlich sind. Zu diesem Zweck können für die Belichtungsstrahlung relevante Rückmeldungen ausgewertet werden, um die Gleichmäßigkeit und Einheitlichkeit des Lithographie-Prozesses zu bestimmen. In dieser Hinsicht ist es wünschenswert, die dem Substrat zugeführte Belichtungsenergie genau zu charakterisieren. Eine Steuerung der Erhitzungs- und Entwicklungsbedingungen des Resist nach einer Belichtung sind ebenfalls von Bedeutung, um eine gleichmäßige Dosis-Wirkung sicherzustellen. Es wäre wünschenswert, die Wiederholbarkeit und Einheitlichkeit des Lithographie-Prozesses zu bestimmen. Insofern ist es wünschenswert, die dem Substrat zugeführte wirksame Dosis genau zu charakterisieren, einschließlich der Auswirkungen einer Belichtungsdosis und der Erhitzungs- und Entwicklungsprozesse nach einer Belichtung.
  • Aus diesem Grund besteht in dem Fachgebiet das Bedürfnis, sich mit dem vorstehend erwähnten Problem zu befassen.
  • KURZDARSTELLUNG
  • Unter einem ersten Gesichtspunkt betrachtet, wird durch die vorliegende Erfindung ein Verfahren zum Bestimmen einer Gleichmäßigkeit und Einheitlichkeit einer wirksamen Dosis eines Lithographie-Werkzeugs bereitgestellt, wobei das Verfahren aufweist: ein Durchführen einer Reihe von Open-Frame-Belichtungen (Flutbelichtungen) mit dem Lithographie-Werkzeug auf einem Substrat, um einen Satz Blöcke mit gesteuerter Belichtungsdosis im Resist zu erzeugen; Erhitzen und Entwickeln des belichteten Substrats; Scannen der sich ergebenden Open-Frame-Bilder mit Schräglicht und Erfassen des von der Substratoberfläche aus zerstreuten Lichts unter Verwendung einer Schräglicht-Prüfeinheit; Erstellen einer „Haze Map“ aus dem Hintergrundsignal der erfassten Streulichtdaten; Umwandeln der Haze Map in eine grafische Bilddatei und Analysieren der grafischen Bilddatei, um eine wirksame Dosis des Lithographie-Werkzeugs zu bestimmen, wobei eine Helligkeit der grafischen Bilddatei mit einer wirksamen Dosis des Lithographie-Werkzeugs in Zusammenhang steht.
  • Unter einem weiteren Gesichtspunkt betrachtet, wird durch die vorliegende Erfindung ein System zum Bestimmen einer wirksamen Dosis eines Lithographie-Werkzeugs bereitgestellt, wobei das System aufweist: ein Lithographie-Werkzeug, das derart eingerichtet ist, dass es eine Reihe von Open-Frame-Belichtungen auf einem Substrat durchführt, um einen Satz Blöcke mit gesteuerter Belichtungsdosis im Resist zu erzeugen; Prozesswerkzeuge zum Erhitzen und Entwickeln des belichteten Substrats; ein Prüfwerkzeug zum Scannen der sich ergebenden Open-Frame-Bilder mit Schräglicht und Erfassen des von der Substratoberfläche aus zerstreuten Lichts; Software zum Erstellen einer Haze Map aus dem Hintergrundsignal der Streulichtdaten und Umwandeln der Haze Map in eine grafische Bilddatei; und Offline-Analyse, um eine wirksame Dosis des Lithographie-Werkzeugs ausgehend von der grafischen Bilddatei zu bestimmen, wobei eine Helligkeit der grafischen Bilddatei mit einer wirksamen Dosis des Lithographie-Werkzeugs in Zusammenhang steht.
  • Unter einem weiteren Gesichtspunkt betrachtet, wird durch die vorliegende Erfindung ein Computerprogrammprodukt zum Bestimmen einer Gleichmäßigkeit und Einheitlichkeit einer wirksamen Dosis eines Lithographie-Werkzeugs bereitgestellt, wobei das Computerprogrammprodukt ein computerlesbares Speichermedium aufweist, das durch eine Verarbeitungsschaltung lesbar ist und von der Verarbeitungsschaltung auszuführende Anweisungen zum Durchführen eines Verfahrens zum Durchführen der Schritte der Erfindung speichert.
  • Unter einem weiteren Gesichtspunkt betrachtet, wird durch die vorliegende Erfindung ein Softwarecodeabschnitte enthaltendes Computerprogramm bereitgestellt, das auf einem computerlesbaren Medium gespeichert ist und in den internen Speicher eines digitalen Computers geladen werden kann, um die Schritte der Erfindung durchzuführen.
  • Ausführungsformen der vorliegenden Erfindung sind auf ein Verfahren und System zum Bestimmen der wirksamen Dosis eines Lithographie-Werkzeugs gerichtet. Das Verfahren beinhaltet ein Durchführen einer Reihe von Open-Frame-Belichtungen mit dem Lithographie-Werkzeug auf einem Substrat, um einen Satz Blöcke mit gesteuerter Belichtungsdosis im Resist zu erzeugen. Das Verfahren beinhaltet ferner ein Erhitzen und Entwickeln des belichteten Substrats. Das Verfahren beinhaltet außerdem ein Scannen der sich ergebenden Open-Frame-Bilder mit Schräglicht und ein Erfassen des von der Substratoberfläche aus zerstreuten Lichts. Das Verfahren beinhaltet ferner ein Erstellen einer Haze Map aus dem Hintergrundsignal der Streulichtdaten, ein Umwandeln der Haze Map in eine grafische Bilddatei und ein Analysieren der grafischen Bilddatei, um eine wirksame Dosis des Lithographie-Werkzeugs zu bestimmen. Eine Helligkeit der grafischen Bilddatei steht mit der wirksamen Dosis des Lithographie-Werkzeugs in Zusammenhang. Ausführungsformen der vorliegenden Erfindung sind ferner auf ein System zum Bestimmen einer wirksamen Dosis eines Lithographie-Werkzeugs gerichtet. Zu dem System zählen ein Lithographie-Werkzeug, das derart eingerichtet ist, dass es eine Reihe von Open-Frame-Belichtungen auf einem Substrat durchführt, um einen Satz Blöcke mit gesteuerter Belichtungsdosis im Resist zu erzeugen, sowie Prozesswerkzeuge zum Erhitzen und Entwickeln des belichteten Substrats. Das System ist ferner derart eingerichtet, dass es ein Prüfwerkzeug zum Scannen der sich ergebenden Open-Frame-Bilder mit Schräglicht und Erfassen des von der Substratoberfläche aus zerstreuten Lichts beinhaltet. Das System ist außerdem derart eingerichtet, dass es Software zum Erstellen einer Haze Map aus dem Hintergrundsignal der Streulichtdaten, ein Umwandeln der Haze Map in eine grafische Bilddatei und ein Durchführen einer Offline-Analyse enthält, um eine wirksame Dosis des Lithographie-Werkzeugs ausgehend von der grafischen Bilddatei zu bestimmen. Eine Helligkeit der grafischen Bilddatei steht mit der wirksamen Dosis des Lithographie-Werkzeugs in Zusammenhang.
  • Ausführungsformen der vorliegenden Erfindung sind ferner auf ein Computerprogrammprodukt zum Bestimmen einer wirksamen Dosis eines Lithographie-Werkzeugs gerichtet. Das Computerprogrammprodukt beinhaltet ein computerlesbares Speichermedium, auf dem computerlesbare Programmanweisungen verkörpert sind. Die Programmanweisungen sind durch ein Prozessorsystem lesbar, um den Prozessor zu veranlassen, eine Reihe von Open-Frame-Belichtungen mit dem Lithographie-Werkzeug auf einem Substrat durchzuführen, um einen Satz Blöcke mit gesteuerter Belichtungsdosis im Resist zu erzeugen, und anschließend das belichtete Substrat zu erhitzen und zu entwickeln. Der Prozessor ist ferner derart eingerichtet, dass er die sich ergebenden Open-Frame-Bilder mit Schräglicht scannt und das von der Substratoberfläche aus zerstreute Licht erfasst. Der Prozessor ist außerdem derart eingerichtet, dass er eine Haze Map aus dem Hintergrundsignal der Streulichtdaten erstellt, die Haze Map in eine grafische Bilddatei umwandelt und die grafische Bilddatei analysiert, um eine wirksame Dosis des Lithographie-Werkzeugs zu bestimmen. Eine Helligkeit der grafischen Bilddatei steht mit einer wirksamen Dosis des Lithographie-Werkzeugs in Zusammenhang.
  • Weitere Merkmale und Vorteile werden mithilfe hier beschriebener Techniken verwirklicht. Andere Ausführungsformen und Aspekte der vorliegenden Erfindung werden hier detailliert beschrieben. Für ein besseres Verständnis sollten die Beschreibung und die Zeichnungen herangezogen werden.
  • Figurenliste
  • Die vorliegende Erfindung wird nun lediglich beispielhaft unter Bezugnahme auf bevorzugte Ausführungsformen beschrieben, die in den folgenden Figuren veranschaulicht sind:
    • 1 ist eine bildliche Darstellung eines beispielhaften Scanner-Systems nach dem Stand der Technik, in dem eine bevorzugte Ausführungsform der vorliegenden Erfindung realisiert werden kann;
    • 2 ist eine bildliche Darstellung eines Substrats zur Verwendung in einem beispielhaften Scanner-System nach dem Stand der Technik, in dem eine bevorzugte Ausführungsform der vorliegenden Erfindung realisiert werden kann;
    • 3A ist ein Diagramm einer nicht einheitlichen Energieverteilung von Belichtungsstrahlung eines beispielhaften Systems nach dem Stand der Technik, in dem eine bevorzugte Ausführungsform der vorliegenden Erfindung realisiert werden kann;
    • 3B ist ein Diagramm einer einheitlichen Energieverteilung von Belichtungsstrahlung eines beispielhaften Systems nach dem Stand der Technik, in dem eine bevorzugte Ausführungsform der vorliegenden Erfindung realisiert werden kann;
    • 4 ist ein Ablaufplan, der ein Verfahren zum Charakterisieren eines beispielhaften Scanner-Systems gemäß einer oder mehreren Ausführungsformen der Erfindung veranschaulicht;
    • 5A ist ein Abschnitt einer beispielhaften grafischen Bilddatei, erstellt durch eine oder mehrere Ausführungsformen der vorliegenden Erfindung;
    • 5B ist eine Analyse der durch eine oder mehrere Ausführungsformen der vorliegenden Erfindung erstellten beispielhaften Grafikdatei;
    • 5C ist ein Diagramm, das gemäß einer bevorzugten Ausführungsform der vorliegenden Erfindung ein Intensitätsprofil veranschaulicht, das durch eine integrierte (on-board) Scanner-Kalibrierung erstellt wurde;
    • 5D ist ein Diagramm, das gemäß einer bevorzugten Ausführungsform der vorliegenden Erfindung eine Intensitätsanalyse veranschaulicht, die durch Messen belichteter Bilder von dem Scanner auf einem Rasterelektronenmikroskop (REM; engl.: scanning electron microscope, SEM) durchgeführt wird;
    • 6A ist eine beispielhafte grafische Bilddatei, erstellt durch eine oder mehrere Ausführungsformen der vorliegenden Erfindung;
    • 6B stellt gemäß einer bevorzugten Ausführungsform der vorliegenden Erfindung einen Abschnitt der beispielhaften grafischen Bilddatei detaillierter dar;
    • 7 ist ein Blockschaltbild eines Computersystems, das in der Lage ist, eine oder mehrere Ausführungsformen der vorliegenden Erfindung durchzuführen; und
    • 8 ist ein Blockschaubild eines Computerprogrammprodukts, das in der Lage ist, eine oder mehrere Ausführungsformen der vorliegenden Erfindung durchzuführen.
  • Die Zeichnungen sind nicht notwendigerweise maßstabsgerecht. Die Zeichnungen, von denen einige lediglich bildliche und schematische Darstellungen sind, sollen keine konkreten Parameter der Erfindung darstellen. Die Zeichnungen sollen lediglich typische Ausführungsformen der Erfindung darstellen, und sollten daher nicht als einschränkend betrachtet werden. Gleiche Elemente sind in den Zeichnungen mit gleichen Bezugszahlen versehen.
  • AUSFÜHRLICHE BESCHREIBUNG
  • Verschiedene Ausführungsformen der vorliegenden Erfindung werden nun unter Bezugnahme auf die damit in Zusammenhang stehenden Zeichnungen beschrieben. Alternative Ausführungsformen der vorliegenden Erfindung können erdacht werden, ohne von dem Schutzbereich der Erfindung abzuweichen. Verschiedene Verbindungen können zwischen Elementen in der folgenden Beschreibung und den Zeichnungen dargelegt werden. Diese Verbindungen können, sofern nicht anders angegeben, direkt oder indirekt sein, und die vorliegende Beschreibung soll in dieser Hinsicht nicht einschränkend sein. Dementsprechend kann sich ein Koppeln von Entitäten entweder auf eine direkte oder eine indirekte Verbindung beziehen.
  • Die hier verwendete Terminologie dient ausschließlich dem Zweck einer Beschreibung bestimmter Ausführungsformen der vorliegenden Erfindung und soll nicht einschränkend sein. Die Singularformen „ein“, „eine“ und „der“, „die“, „das“ sollen hier ebenfalls die Pluralformen beinhalten, solange der Kontext nicht klar auf etwas anderes hinweist. Darüber hinaus bezeichnet die Verwendung der Begriffe „ein“, „eine“ usw. keine Einschränkung einer Anzahl beziehungsweise Menge, sondern bezeichnet lediglich das Vorhandensein von mindestens einem der Elemente, auf die Bezug genommen wird. Ferner versteht sich, dass die Begriffe „aufweist“ und/oder „aufweisend“ beziehungsweise „enthält“ und/oder „enthaltend“ in dieser Beschreibung das Vorhandensein von behaupteten Merkmalen, Bereichen, Ganzzahlen, Schritten, Operationen, Elementen und/oder Komponenten angeben, aber das Vorhandensein oder Hinzufügen von einem/einer oder mehreren anderen Merkmalen, Bereichen, Ganzzahlen, Schritten, Operationen, Elementen, Komponenten und/oder Gruppen aus diesen nicht ausschließen.
  • Des Weiteren versteht sich, dass, wenn ein Element wie beispielsweise eine Schicht, ein Bereich oder ein Substrat als sich „auf“ oder „über“ oder „angeordnet auf“ einem anderen Element befindend beschrieben wird, sich dieses Element direkt auf dem anderen Element befinden kann oder auch dazwischen liegende Elemente vorhanden sein können. Wird im Gegensatz dazu ein Element als „direkt auf“, „direkt über“ oder „angeordnet in der Nähe von“ einem anderen Element bezeichnet, sind keine dazwischen liegenden Elemente vorhanden. Es versteht sich außerdem, dass, wenn ein Element als mit einem anderen Element „verbunden“ oder mit diesem „gekoppelt“ bezeichnet wird, es direkt mit dem anderen Element verbunden oder direkt mit diesem gekoppelt sein kann, oder dass dazwischen liegende Elemente vorhanden sein können. Wird im Gegensatz dazu ein Element als mit einem anderen Element „direkt verbunden“ oder „direkt gekoppelt“ bezeichnet, sind keine dazwischen liegenden Elemente vorhanden.
  • Der Kürze halber werden herkömmliche Techniken, die eine Herstellung von Halbleitereinheiten und integrierten Schaltungen betreffen, hier möglicherweise nicht detailliert beschrieben. Darüber hinaus können die hier beschriebenen Aufgaben und Prozessschritte in eine umfassendere Prozedur oder einen umfassenderen Prozess einbezogen werden, die/der hier nicht im Detail beschriebene zusätzliche Schritte oder Funktionalität aufweist. Insbesondere sind verschiedene Schritte bei der Herstellung von Halbleitereinheiten und auf Halbleitern beruhenden integrierten Schaltungen gut bekannt, und daher werden der Kürze halber zahlreiche herkömmliche Schritte hier nur kurz erwähnt oder werden ohne Angabe der gut bekannten Prozessdetails vollständig weggelassen.
  • Bei einem Überblick über Technologien, die relevanter für Aspekte der Erfindung sind, wird nun eine allgemeine Beschreibung der Herstellungsprozesse für Halbleitereinheiten gegeben, die bei einem Realisieren einer oder mehrerer Ausführungsformen der vorliegenden Erfindung genutzt werden können. Obwohl spezielle bei einer Realisierung einer oder mehrerer Ausführungsformen der vorliegenden Erfindung verwendete Herstellungsoperationen einzeln bekannt sein können, sind die offenbarte Kombination von Operationen und/oder sich ergebenden Strukturen einzigartig. Daher wird bei der einzigartigen Kombination der in Verbindung mit der Herstellung von Halbleitereinheiten beschriebenen Operationen eine Vielfalt von einzeln bekannten physikalischen und chemischen Prozessen genutzt, die auf einem Halbleiter- (z.B. Silicium-) Substrat durchgeführt werden, von denen einige in den unmittelbar folgenden Abschnitten beschrieben werden.
  • Im Allgemeinen fallen die verschiedenen Prozesse, die zum Ausbilden eines Mikrochips verwendet werden, der in einer integrierten Schaltung untergebracht werden soll, in vier allgemeine Kategorien, nämlich Dünnschichtauftragung, Entfernen/Ätzen, Halbleiterdotierung und Strukturierung/Lithographie. Auftragung ist jeder Prozess, bei dem ein Material auf dem Wafer aufgewachsen wird, dieser damit beschichtet wird oder auf andere Weise ein Material auf den Wafer übertragen wird. Zu verfügbaren Technologien zählen unter anderem physikalische Gasphasenabscheidung (physical vapor deposition, PVD), chemische Gasphasenabscheidung (chemical vapor deposition, CVD), elektrochemische Abscheidung (electrochemical deposition, ECD), Molekularstrahlepitaxie (molecular beam epitaxy, MBE) sowie in jüngerer Zeit Atomlagenabscheidung (atomic layer deposition, ALD). Entfernen/Ätzen ist jeder Prozess, durch den Material von dem Wafer entfernt wird. Zu Beispielen zählen Ätzprozesse (entweder nass oder trocken) sowie chemisch-mechanische Planarisierung (CMP) und dergleichen. Halbleiterdotierung ist die Modifikation elektrischer Eigenschaften durch Dotieren von beispielsweise Transistor-Sources und -Drains, im Allgemeinen durch Diffusion und/oder durch Ionenimplantation. Auf diese Dotierungsprozesse folgt Ofenausheilung oder schnelle thermische Ausheilung (rapid thermal annealing, RTA). Ausheilung dient zum Aktivieren der implantierten Dotierstoffe. Dünnschichten aus Leitern (z.B. Polysilicium, Aluminium, Kupfer usw.) und Isolatoren (z.B. verschiedene Formen von Siliciumdioxid, Siliciumnitrid usw.) werden zum Verbinden und Isolieren von Transistoren und deren Komponenten verwendet. Selektive Dotierung verschiedener Bereiche des Halbleitersubstrats ermöglicht, die Leitfähigkeit des Substrats mit dem Anlegen von Spannung zu verändern. Durch Erstellen von Strukturen dieser verschiedenen Komponenten können Millionen oder sogar Milliarden Transistoren gebaut und miteinander verdrahtet werden, um die komplexe Schaltungsanordnung einer modernen mikroelektronischen Einheit zu bilden.
  • Ein wichtiger Aspekt der vorstehend beschriebenen Herstellungsprozesse ist Halbleiter-Lithographie, d.h. das Ausbilden dreidimensionaler Reliefbilder oder -strukturen auf dem Halbleitersubstrat zum anschließenden Übertragen der Struktur auf das Substrat. Bei Halbleiter-Lithographie werden die Strukturen auf einem als ein Photoresist bezeichneten strahlungsempfindlichen Polymer platziert. Um die komplexen Strukturen zu bauen, aus denen ein Transistor und die zahlreichen Leitungen bestehen, die die Millionen Transistoren einer Schaltung verbinden, werden Lithographie- und Ätzstrukturübertragungs-Schritte mehrere Male wiederholt. Jede auf den Wafer gedruckte Struktur wird in Bezug auf die zuvor ausgebildeten Strukturen ausgerichtet und langsam werden die Leiter, Isolatoren und selektiv dotierten Bereiche aufgebaut, um die endgültige Halbleitereinheit zu bilden.
  • Bei Lithographie können verschiedene Arten von Belichtungsvorrichtungen verwendet werden. Zum Beispiel werden bei einer scannerartigen Belichtungsvorrichtung eines Lithographie-Systems ein Belichtungsschlitz, der die zu dem Belichtungsprozess beitragende Strahlung definiert, und eine Anordnung genutzt, bei der die Maske und ein das Substrat stützender Tisch derart relativ zueinander bewegt werden, dass der Resist durch die Belichtungsstrahlung gescannt wird. Im Allgemeinen sollten sowohl die Dosis als auch die Intensität der Belichtungsstrahlung in dem Schlitz einheitlich sein, wenn der Belichtungsprozess wirksam ausgeführt werden soll. Andere Belichtungsvorrichtungen können ebenfalls verwendet werden. In ähnlicher Weise ist es von Bedeutung, dass die Erhitzungs- und Entwicklungsprozesse nach einer Belichtung gleichmäßig und einheitlich sind, da diese Prozesse auch die wirksame Substratdosis beeinflussen.
  • Ein in die scannerartige Belichtungsvorrichtung integrierter Sensor kann verwendet werden, um die Energieverteilung der Belichtungsstrahlung zu messen. Für eine umfassende Charakterisierung benötigt ein derartiger Sensor möglicherweise eine zweistellige Anzahl von Minuten, um die Energieverteilung einer Strahlung zu messen. Das Belichtungssystem wird während dieser Zeit nicht betrieben. Das heißt, es gibt bei dem von einer scannerartigen Belichtungsvorrichtung durchgeführten Belichtungsprozess eine Stillstandszeit, in der die Belichtungsstrahlung auf Grundlage von unter Verwendung eines Sensors erlangten Messungen gesteuert wird.
  • Beispiele für alternative Techniken zum Quantifizieren der Energieverteilung von Belichtungsstrahlung in einer scannerartigen Belichtungsvorrichtung (hier im Folgenden einfach als ein „Scanner“ bezeichnet) sowie ein Lithographie-Verfahren, bei dem eine derartige Technik genutzt wird, werden nun detailliert unter Bezugnahme auf die angefügten Zeichnungen beschrieben.
  • Als Erstes wird auf 1 Bezug genommen: Zu einem beispielhaften Scanner 10 zählen eine Kondensorlinse 12 zum Bündeln von Strahlung, die von einer Strahlungsquelle (nicht gezeigt) abgegeben wird, eine Maske 16, die eine Struktur aufweist, die einer auf einem Halbleitersubstrat auszubildenden Schaltungsstruktur entspricht, ein Schlitz 14, der die durch die Kondensorlinse 12 gebündelte Strahlung auf einen begrenzten (erwünschten) Bereich der Maske 16 festlegt, ein Projektionslinsensystem 18 zum Verkleinern des Bildes der durch die Maske 16 übertragenen Strahlung und Projizieren des verkleinerten Bildes auf ein Substrat, und ein Wafer-Tisch 20, der unter dem Projektionslinsensystem 18 zum Stützen des Substrats bereitgestellt wird. Der Scanner 10 kann auch als ein „Stepper“ oder ein „Scanning Stepper“ bezeichnet werden.
  • Es soll nun mit Bezug auf 1 und 2 ein Verfahren zum Belichten eines Substrats 20 unter Verwendung des Scanners 10 beschrieben werden. 2 ist eine bildliche Darstellung eines Substrats, das in einem beispielhaften Scannersystem platziert ist. Ein Substrat 50 in 2 kann ein Äquivalent des Substrats 20 aus 1 sein. Das Substrat 50 ist in eine Mehrzahl von Bereichen 52 unterteilt, die als „Felder“ bezeichnet werden, und die Felder 52 des Substrats 50 werden der Reihe nach durch die Belichtungsstrahlung belichtet. In jedem Feld 52 wird eine Fläche A (Belichtungsschlitz) auf das Scan-Substrat 50 projiziert, das Substrat 50 wird relativ zu dem Belichtungssystem 10 derart bewegt, dass der Belichtungsschlitz A in der durch die Pfeile in 2 bezeichneten Richtung bewegt wird.
  • Genauer ausgedrückt, weist bei einigen Ausführungsformen der vorliegenden Erfindung die Länge des Belichtungsschlitzes A dieselbe Abmessung wie jedes Feld 52 in einer gegebenen Richtung (der Richtung der X-Achse in 2) auf. Während der Belichtungsschlitz A in einem Feld belichtet wird, wird das Substrat 50 relativ zu dem Belichtungssystem 10 in der BreitenRichtung des Belichtungsschlitzes A, d.h. in der Richtung der Y-Achse, kontinuierlich durch die Länge des Feldes 52 bewegt, und der Belichtungsprozess wird anschließend erneut in Bezug auf das nächste Belichtungsfeld 52 durchgeführt. Nachdem jedes Feld 52 belichtet wurde, kann das Substrat 50 relativ zu dem Belichtungssystem 10 in der Richtung der X-Achse bewegt werden, um den Belichtungsschlitz in dem benachbarten Feld 52 anzuordnen, und anschließend wird der benachbarte Feldbereich wie vorstehend beschrieben belichtet, indem der Belichtungsschlitz A in der Richtung der Y-Achse bewegt wird. Daher wird das Substrat 50 in einer Richtung entlang der Y-Achse bewegt, während ein erstes Feld 52 belichtet wird, und das Substrat 50 kann in die andere Richtung entlang der Y-Achse (d.h. in der entgegengesetzten Richtung) bewegt werden, während das nächste Feld 52 belichtet wird.
  • Auf diese Weise wird eine Resist-Schicht belichtet, die über einem durch die Felder 52 gebildeten Gesamtbereich des Substrats 50 ausgebildet wird. Anschließend wird, wie vorstehend erwähnt, die Resist-Schicht entwickelt, um dadurch eine Resist-Struktur auszubilden.
  • Nochmals mit Bezug auf 1 und 2: Die Belichtungsstrahlung, die durch den Schlitz 14 des Belichtungssystems 10 definiert wird, belichtet die Schicht aus Resist über jedem Belichtungsfeld 52. Die Energieverteilung der Belichtungsstrahlung entlang dem Schlitz 14, das heißt, an jeder Position entlang der Länge des Schlitzes 14, sollte einheitlich sein, wenn die durch den Belichtungsprozess auszubildende Resist-Struktur einheitliche Eigenschaften aufweisen soll.
  • 3A zeigt eine nicht einheitliche Energieverteilung von Belichtungsenergie entlang dem Schlitz 14. In 3A stellt die x-Achse 310 die Entfernung von dem Ausgangspunkt dar, die y-Achse 320 stellt die Strahlungsintensität dar, und das Diagramm 330 ist die graphische Darstellung von Strahlungsintensität an einem bestimmten Punkt. Andererseits zeigt 3B eine einheitliche Energieverteilung entlang dem Schlitz 14. In 3B stellt die x-Achse 360 die Entfernung von dem Ausgangspunkt dar, die y-Achse 370 stellt die Strahlungsintensität dar, und das Diagramm 380 ist die graphische Darstellung von Strahlungsintensität an einem bestimmten Punkt. In dem Fall, in dem der Scanner 10 Belichtungsstrahlung mit einer nicht einheitlichen Energieverteilung erzeugt, wie in 3A veranschaulicht, wird der Scanner 10 derart eingestellt oder gesteuert, dass die Belichtungsstrahlung eine einheitlichere Energieverteilung aufweist, die der in 3B veranschaulichten näherkommt. Zu diesem Zweck wird die Verteilung der Intensität der den Schlitz 14 passierenden Belichtungsstrahlung entlang der Länge des Schlitzes 14 gemessen.
  • Gemäß einem Aspekt des erfinderischen Konzepts werden Beziehungen zwischen der Intensität der Belichtungsstrahlung, dem von dem verbleibenden Resist in den Open-Frame-Belichtungsblöcken nach dem Entwicklungsprozess zerstreuten Schräglicht sowie Farbeigenschaften der Pixel des grafischen Haze-Map-Bildes bestimmt und werden anschließend verwendet, um die Verteilung der Intensität der den Schlitz 14 definierenden Belichtungsstrahlung zu bestimmen beziehungsweise zu „messen“. Diese von den derzeitigen Erfindern erdachten Beziehungen werden als Erstes detaillierter beschrieben.
  • Wenn eine Schicht eines positiven Photoresist (hier im Folgenden einfach als eine „Photoresist-Schicht“ bezeichnet) unter Verwendung einer scannerartigen Belichtungsvorrichtung belichtet wird, durchläuft der belichtete Abschnitt der Photoresist-Schicht eine Reaktion, die den belichteten Abschnitt in einer Entwicklerlösung löslicher macht. In einigen Fällen folgt auf die Belichtung ein Erhitzungsprozess, um die Belichtungsreaktion zu beschleunigen. Daher kann der belichtete Abschnitt der Photoresist-Schicht durch Durchführen eines Entwicklungsprozesses, bei dem die belichtete Photoresist-Schicht durch die Entwicklerlösung befeuchtet wird, selektiv entfernt werden. In dieser Hinsicht hängt der Auflösungsgrad von der Dosierung (Energieniveau) der Belichtungsstrahlung ab. Darüber hinaus nimmt, wenn eine Schicht aus Photoresist entwickelt wird, die Dicke einer Schicht aus entwickeltem Photoresist ab. Wenn die zugeführte Strahlungsdosis geringer als die für eine vollständige Auflösung erforderliche ist, hängen die verbleibende Dicke, Rauheit sowie andere Oberflächeneigenschaften von der Dosierung der Belichtungsstrahlung ab.
  • Genauer ausgedrückt tritt Resist-Auflösung nicht ein, wenn die Intensität der Belichtungsstrahlung einen relativ geringen Wert aufweist. In diesem Fall wird die Dicke der Photoresist-Schicht durch den Entwicklungsprozess kaum beeinflusst. Andererseits tritt Auflösung durch die gesamte Dicke der Schicht aus Photoresist auf, wenn die Intensität der Belichtungsstrahlung einen gewissen Wert aufweist, E0, bekannt als die „dose-to-clear“ (Dosis zum vollständigen Entfernen des Resist). Eine E0-Dosierung hat eine vollständige Auflösung und ein vollständiges Entfernen der Photoresist-Dünnschicht zur Folge. Bei Strahlungsdosen weit oberhalb von E0 wird der Resist ebenfalls entfernt, obwohl durch die Haze Map Belege für Oberflächenenergie-Veränderungen erkannt werden, die auf Interaktionen mit dem Substrat beruhen könnten. Das Endergebnis ist, dass Merkmale einer verbleibenden Dünnschicht bei geringfügig von der E0-Dosis abweichenden Belichtungsdosen für eine sehr empfindliche Metrik von Strahlungsdosis-Abwandlungen sorgen können.
  • Ein übliches Verfahren zum Testen von Lithographie-Systemen ist die Verwendung eines Rasterelektronenmikroskops (REM) zum Messen der Merkmalsgröße entwickelter CD-Bilder (CD = critical dimension, kritische Abmessung). Bei einer alternativen Charakterisierungspraxis wurden an einem Wafer durchgeführte Open-Frame-Belichtungen (Belichtungen ohne eine Maskenstruktur) genutzt. Die entwickelten Open-Frame-Bilder wurden qualitativ mithilfe optischer Mikroskopie oder quantitativ durch Abtasten verbleibender Resist-Dicken ausgewertet. Derartige Methodologien weisen eine Vielfalt von Unzulänglichkeiten auf. CD-REM-Messungen können durch Merkmalsabtastungsdichte und Messdurchsatz beschränkt sein und werden durch nicht dosisabhängige Faktoren wie beispielsweise Bilddefokussierung beeinflusst. Des Weiteren ist eine optische mikroskopische Auswertung von Open-Frame-Belichtungen subjektiv und bietet keine ausreichende Empfindlichkeit. Dünnschichtdicken-Messtechnologie von Open-Frame-Belichtungen bietet objektivere Ergebnisse, aber die räumliche Häufigkeit der gesammelten Daten ist durch die diskontinuierliche Art des Abtastens sowie den niedrigen Durchsatz der Messungen begrenzt.
  • Es wird nun ein Überblick über die Aspekte der Erfindung gegeben: Eine oder mehrere Ausführungsformen der Erfindung befassen sich mit den vorstehend beschriebenen Unzulänglichkeiten des Standes der Technik, indem ein schnelles und genaues Verfahren und System zum Analysieren von Dosisaspekten der Lithographie bereitgestellt wird. Die Unzulänglichkeiten des Standes der Technik werden durch grafisches Analysieren von Schräglicht überwunden, das während eines Oberflächen-Scans eines Wafers mit Open-Frame-Bildern zerstreut wird. Diese Technik bietet eine hohe Empfindlichkeit, während sie gleichzeitig eine Analyse einer großen Fläche des Wafers ermöglicht. Durch eine Analyse von Oberflächenprüfungs-Haze-Daten mit hoher räumlicher Häufigkeit, die aus dem Hintergrund- „Rausch-“ Signal des Streulichts abgeleitet werden, kann der Open-Frame-Test zu einer sehr leistungsfähigen quantitativen Dosisbeurteilungstechnik gemacht werden.
  • In einer nun folgenden ausführlicheren Beschreibung von Aspekten der vorliegenden Erfindung ist in 4 ein Ablaufplan dargestellt, der ein Verfahren 400 veranschaulicht. Das Verfahren 400 ist lediglich beispielhaft und nicht auf die hier dargestellten Ausführungsformen der vorliegenden Erfindung beschränkt. Das Verfahren 400 kann bei zahlreichen unterschiedlichen Ausführungsformen oder Beispielen der vorliegenden Erfindung genutzt werden, die hier nicht speziell gezeigt oder beschrieben werden. Bei einigen Ausführungsformen können die Prozeduren, Prozesse und/oder Aktivitäten des Verfahrens 400 in der dargestellten Reihenfolge durchgeführt werden. Bei anderen Ausführungsformen der vorliegenden Erfindung können eine(r) oder mehrere der Prozeduren, Prozesse und/oder Aktivitäten des Verfahrens 400 kombiniert oder übersprungen werden. Bei einer oder mehreren Ausführungsformen der vorliegenden Erfindung wird das Verfahren 400 von einem Prozessor durchgeführt, während er Anweisungen ausführt und einen beispielhaften Scanner steuert.
  • Eine Open-Frame-Belichtung wird an einem Wafer oder Substrat durchgeführt (Block 402). Bei der Open-Frame-Belichtung des Wafers handelt es sich um eine herkömmliche Belichtung, die auf eine jetzt bekannte oder in der Zukunft entwickelte Weise vorgenommen wird. Eine Schicht Photoresist kann auf dem Wafer vor der Open-Frame-Belichtung des Wafers platziert werden. Es wird keine Maskenstruktur verwendet, wenn die Open-Frame-Belichtung des Wafers vorgenommen wird.
  • Durch eine vollständige Wafer-Belichtung kann ein Satz Blöcke mit dosisabhängig abgestufter Dicke nach Resist-Erhitzungs- und Entwicklungsschritten (Block 403) erzeugt werden, die nach einer Belichtung erfolgen. Der Wafer kann in mehrere Felder, Reihen oder Spalten unterteilt werden, wie nachstehend ausführlicher beschrieben wird. Die entwickelten Bilder werden in einem Fehlerprüfwerkzeug gescannt, das von der Substratoberfläche aus zerstreutes Schräglicht erkennt. Die Signal-Hintergrundpegel oder das während der Fehlerprüfung des verarbeiteten Open-Frame-Wafers erkannte Rauschen wird manchmal als ein Haze-Daten bezeichnet. Durch die „Haze Map“ (Block 404) werden diese Rauschdaten aus einem Oberflächen-Scan des gesamten Wafers gesammelt.
  • Die Haze Map wird in eine Grauwert-Pixelmatrix umgewandelt (Block 406). Dies kann auf eine aus einer Vielfalt verschiedener Weisen durchgeführt werden. Bei einigen Ausführungsformen der vorliegenden Erfindung kann die Grauwert-Pixelmatrix direkt von dem Fehlerprüfwerkzeug ausgegeben werden. Bei einigen Ausführungsformen der vorliegenden Erfindung wird eine hochaufgelöste grafische Bilddatei des Wafers ausgegeben, die die Details zu den Grauwert-Pixeln enthält. Bei einigen Ausführungsformen der vorliegenden Erfindung werden von dem Fehlerprüfwerkzeugmehrere hochaufgelöste Bilder des Wafers ausgegeben. Bei einigen Ausführungsformen der vorliegenden Erfindung sind die Bilder Grauwertbilder.
  • In dem Bild/den Bildern werden die Oberflächeneigenschaften eines bestimmten Feldes (wie beispielsweise das Feld 52) in der Pixelmatrix als Grauabstufungen dargestellt. Die Helligkeit des Bildes an einem Punkt steht in Zusammenhang mit den Eigenschaften (z.B. Dicke, Rauheit, Oberflächenenergie) der verbleibenden Resist-Dünnschicht an diesem Punkt und steht daher mit der an diesem Punkt empfangenen Energie in Zusammenhang. Bei einem 8-Bit-Beispiel kann die Grauskala von 255 (was E0 darstellt, eine Dosis, die für ein vollständiges Entfernen durch den Entwicklungsprozess ausreicht) bis 0 (was eine Dosis beträchtlich größer oder kleiner als E0 darstellt) reichen. Es sollte beachtet werden, dass andere Bit-Tiefen verwendet werden können. Zum Beispiel könnten 10-Bit-Grafikdateien verwendet werden, wo 1024 verschiedene Graustufen bestimmt werden können. Andere Arten von Grafikdateien können erstellt werden. Es sollte außerdem beachtet werden, dass Farbbilder anstelle von Grauwertbildern erstellt werden können. Auf eine solche Weise wird die Haze Map schnell von in ein grafisches Bild umgewandelt, das dann unter Verwendung von einem aus einer Anzahl unterschiedlicher Grafikwerkzeuge analysiert werden kann.
  • Die Pixelmatrix kann anschließend unter Verwendung von einer aus verschiedenen unterschiedlichen Techniken analysiert werden (Block 408). Da die Matrix nun eine Grafikdatei in einem aus einer Vielfalt unterschiedlicher Formate ist (zum Beispiel jpeg, png, gif, bmp, tiff und dergleichen), kann eine Analyse der Grafikdatei auf verschiedene Weise durchgeführt werden. Zum Beispiel kann Analysesoftware wie beispielsweise MATLAB zum Analysieren der Einheitlichkeit der Grafikdatei verwendet werden. Durch die Analyse kann die Grafikdatei in mehrere zweidimensionale Diagramme übersetzt werden. Danach können die Gleichförmigkeit und Einheitlichkeit der Scanner-Energie innerhalb eines Feldes charakterisiert werden. Dies ermöglicht ein besseres Verständnis der Einschränkungen der Scanner-Leistung und kann zum Überprüfen von Strategien zur Scanner-Optimierung genutzt werden.
  • 6A veranschaulicht eine beispielhafte Grafikdatei, erstellt aus einer Haze Map eines lithographischen Wafers, gefüllt mit einer Matrix aus Open-Frame-Belichtungen. Der beispielhafte Wafer 620 weist 9 Reihen Felder auf. Die Reihen sind mit 611 bis 619 gekennzeichnet. Wie zu sehen ist, weist bei dieser Ausführungsform der vorliegenden Erfindung jedes Feld einen anderen Grad an Belichtung auf. Jede Methodologie kann verwendet werden, um einen anderen Belichtungsgrad für jedes Feld, jede Reihe von Feldern oder jede Spalte von Feldern zu erzeugen. In 6A haben die Felder in Reihe 611 die geringste wirksame Dosis erhalten, was zu einem dunkleren Haze-Map-Bildern führte. Felder in höheren Reihen erhielten eine größere wirksame Dosis. Die den Resist entfernende Dosis wird in Reihe 615 erreicht, was zu sehr hellen Bildern führt. Noch höhere Dosen führen aufgrund zusätzlicher Oberflächeninteraktionen, das heißt, wie die Oberfläche das einfallende Schräglicht zerstreut, zu dunkleren Haze-Map-Bildern. Daher steht die Helligkeit der grafischen Bilddatei mit der wirksamen Dosis des Lithographie-Werkzeugs in Zusammenhang.
  • Von besonderem Interesse sind bei dieser beispielhaften Analyse die Felder, die etwas mehr oder weniger als die den Resist entfernende wirksame Dosis erhalten, wie beispielsweise Reihe 614. Die in Reihe 614 gezeigten (in Kasten 644 hervorgehobenen) dazwischen liegenden Graustufen führen zu einer erhöhten Analyseempfindlichkeit. Dies wird detaillierter in 6B gezeigt, wo vertikale helle Streifen zu sehen sind, die Dosisänderungen im Schlitz entsprechen. Es sollte beachtet werden, dass die Analysen an verschiedenen Feldern mit derselben Dosis oder einer Reihe wirksamer Dosen durchgeführt werden können, um Änderungen einer wirksamen Dosis von Wafer zu Wafer, Feld zu Feld oder innerhalb eines Feldes zu charakterisieren. Des Weiteren ist das Identifizieren der entfernenden Dosis, E0, innerhalb der Belichtungsmatrix selbst ein leistungsfähiges Werkzeug zum Quantifizieren der Scanner-Dosis-Wiederholbarkeit von Wafer zu Wafer.
  • 6B ist eine vergrößerte Ansicht von fünf aneinander angrenzenden Feldern der Reihe 614. Die Felder sind mit 621 bis 625 gekennzeichnet. Eine genaue Analyse jedes Feldes kann aufzeigen, dass das Feld, anstatt eine einheitliche Graustufe aufzuweisen, Flächen des Feldes aufweisen kann, die dunkler oder heller als angrenzende Flächen sind. Wie zuvor beschrieben, zeigen dunklere oder hellere Flächen Flächen an, die eine geringere oder größere Menge an Belichtungsenergie erhalten haben. Jedes der Felder kann durch Heranzoomen, um mehr Einzelheiten zu zeigen, detaillierter untersucht werden. Dies wird in 5A gezeigt, die eine vergrößerte Ansicht eines Feldes 624 ist.
  • Theoretisch sollte 5A einheitlich sein. Jedoch ist zu sehen, dass 5A nicht einheitlich ist. Stattdessen sind in 5A verschiedene Grauabstufungen vorhanden. Durch Analysieren der grafischen Bilddatei können die Grauabstufungen in die Menge an Scanner-Dosis umgewandelt werden, die an diesem bestimmten Punkt zugeführt wurde.
  • 5A veranschaulicht eine beispielhafte Grafikdatei 510, die unter Verwendung des Feldes 624 erstellt wurde. Unter Bezugnahme auf 5B: Ein Diagramm 520 ist ein Scan von links nach rechts für den Durchschnitt aller vertikalen Positionen in der Grafikdatei 510. Man könnte dies auch über ein Schlitzprofil an einer bestimmten vertikalen Position der Grafikdatei 510 auftragen. Die X-Achse 522 des Diagramms 520 veranschaulicht die Entfernung entlang dem Belichtungsschlitz ab einem gewählten Nullpunkt. Der gewählte Nullpunkt in dem Diagramm 520 befindet sich an dem linken Ende der Grafikdatei 510. Die Y-Achse 524 veranschaulicht die Graustufe an diesem bestimmten Punkt. Wie vorstehend erläutert, können in einer 8-Bit-Grafikdatei 256 Grauabstufungen vorkommen, die unterschiedliche wirksame Dosen darstellen. Die Graustufe in der Datei für eine bestimmte Schlitzposition steht in Zusammenhang mit der an dieser Position empfangenen Energiemenge. Es ist zu sehen, dass das Diagramm 520 der Haze Map 510 folgt, wobei hellere Flächen der Haze Map 510 mit niedrigeren Punkten des Diagramms 520 in Zusammenhang stehen. Ein niedrigerer Punkt des Diagramms 520 zeigt an, dass eine niedrigere Energiemenge den Photoresist an diesem Punkt erreicht hat.
  • Unter Bezugnahme auf 5C: Ein Scanner-Kalibrierungsergebnis 530 des erörterten Scanners wird veranschaulicht. Die Kalibrierung 530 wurde unter Verwendung von integrierter (on-board) Messtechnologie erstellt. Einige für Lithographie verwendete Scanner verfügen über integrierte Werkzeuge, die ein Energieprofil analysieren und erstellen können. Die X-Achse 532 stellt die Entfernung entlang dem Belichtungsschlitz ab dem gewählten Nullpunkt dar, und die Y-Achse 534 stellt die Intensität dar. Das Diagramm 530 stellt daher die Strahlungsintensität über den Belichtungsschlitz dar. Durch Abgleichen des Diagramms 530 mit dem Diagramm 520 ist zu sehen, dass das Diagramm 520, das aus der Grafikbilddatei unter Verwendung einer oder mehrerer Ausführungsformen der vorliegenden Erfindung erstellt wurde, gut mit dem eigenen kalibrierten Intensitätsprofil des Scanners übereinstimmt. Insbesondere stimmen die lokalisierten niedrigen Punkte des Diagramms 520 überwiegend mit niedrigen Punkten des Diagramms 530 überein, und lokalisierte hohe Punkte des Diagramms 520 stimmen überwiegend mit hohen Punkten des Diagramms 530 überein. Jedoch beansprucht integrierte Messtechnologie eine Zeitspanne fest zugeordneter Scanner-Zeit, um eine verkleinerte Matrix von Belichtungsschlitzpositionen der Reihe nach abzutasten, anders als bei der normalen Belichtungssequenz, bei der der gesamte Schlitz gleichzeitig abgebildet wird. Umfassende Messungen könnten den Scanner für einen beträchtlichen Teil einer Stunde dem produktiven Betrieb entziehen. Im Gegensatz dazu wird bei Ausführungsformen der vorliegenden Erfindung unter Verwendung von Open-Frame-Belichtungen die Belichtungseinheitlichkeit unter normalen Belichtungsbedingungen charakterisiert. Nach lediglich Minuten für Wafer-Testbelichtungen kann der Scanner einen produktiven Betrieb wieder aufnehmen, während eine Analyse unter Verwendung einer oder mehrerer Ausführungsformen der vorliegenden Erfindung durchgeführt wird.
  • Unter Bezugnahme auf 5D: Ein Rasterelektronenmikroskop (REM) wurde zum Messen der Breite von Linien zwischen entwickelten Gräben im Resist auf einem Silicium-Wafer verwendet, die auf einem Lithographie-Scanner belichtet wurden. Ein Diagramm 540 beinhaltet eine X-Achse 542, die eine Entfernung entlang dem Belichtungsschlitz ab einem gewählten Nullpunkt darstellt. Eine Y-Achse 544 ist die Messung der kritischen Abmessung (critical dimension, CD) der Linie auf Grundlage der REM-Analyse. Das Diagramm 540 stellt daher die Messung der kritischen Abmessung über den Belichtungsschlitz dar. Es ist zu sehen, dass die Messungen der kritischen Abmessung des Wafers in dem Diagramm 540 gut mit dem Diagramm 530 aus 5C und dem Diagramm 520 aus 5B übereinstimmen. Wie erwartet weisen Positionen, die eine höhere wirksame Dosis erhalten, eine verringerte Linienbreite auf, und Positionen, die eine niedrigere wirksame Dosis erhalten, weisen erhöhte Linienbreiten auf.
  • Allerdings ist das in 5D erstellte CD-REM-Profil sehr viel zeitaufwändiger in der Erstellung als der integrierte Messtechnologieprozess aus 5C und der Grafikbilddatei aus 5B, die unter Verwendung von Ausführungsformen der vorliegenden Erfindung erstellt wurden. Daher ist die Neigung geringer, Schlitzprofile auf einem CD-REM zu erzeugen. Im Gegensatz dazu kann die in 5B erstellte Datei sehr schnell erstellt werden (in der Größenordnung von fünf Minuten für einen gesamten Wafer, der 100 Felder enthält), im Gegensatz zu dem stundenlangen Prozess einer Fertigstellung der erforderlichen Messungen auf einem CD-REM.
  • Des Weiteren können Ausführungsformen der vorliegenden Erfindung eine viel feinere Abtastung aufweisen als REM-Verfahren. CD-REM-Abtastung ist durch die Platzierung geeigneter Messmerkmale eingeschränkt. Bei zahlreichen aktuellen CD-REM-Verfahren stellen 65 Abtastwerte pro Belichtungsfeld eine „dichte“ Abtastung dar. Im Gegensatz dazu sind Ausführungsformen der vorliegenden Erfindung nur durch die Auflösung der Einheit eingeschränkt, die zum Erfassen der Haze Map und Erzeugen der Grafikbilddatei verwendet wird. Bei einigen Ausführungsformen der vorliegenden Erfindung können 20.000 bis 80.000 Pixel in einem bestimmten Belichtungsfeld vorhanden sein, eine Auflösung, die 300- bis 1.200-mal feiner als bei CD-REM-Verfahren ist. Ein weiterer Vorteil besteht darin, dass Ausführungsformen der vorliegenden Erfindung nicht durch nicht dosisabhängige Wirkungen wie beispielsweise Bilddefokussierung beeinflusst werden.
  • 7 zeigt ein Übersichts-Blockschaltbild eines Computersystems 700, das verwendet werden kann, um alle oder einen Teil von einer oder mehreren Ausführungsformen der vorliegenden Erfindung zu realisieren. Genauer ausgedrückt kann das Computersystem 700 verwendet werden, um Hardwarekomponenten von Systemen zu realisieren, die in der Lage sind, hier beschriebene Verfahren durchzuführen. Zum Beispiel kann das Computersystem 700 verwendet werden, um einen Scanner oder ein anderes Lithographie-Werkzeug zu steuern. Das Computersystem 700 kann verwendet werden, um eine Analyse einer Grafikbilddatei durchzuführen, die unter Verwendung einer oder mehrerer Ausführungsformen der vorliegenden Erfindung erstellt wurde. Obwohl ein einziges beispielhaftes Computersystem 700 gezeigt wird, enthält das Computersystem 700 einen Datenübertragungsweg 726, der das Computersystem 700 mit weiteren Systemen (nicht gezeigt) verbindet, und kann ein oder mehrere Weitverkehrsnetzwerke (wide area networks, WANs) und/oder lokale Netzwerke (local area networks, LANs) enthalten, wie beispielsweise das Internet, (ein) Intranet(s) und/oder (ein) drahtlose(s) Datenübertragungsnetzwerk(e). Das Computersystem 700 sowie ein weiteres System stehen über einen Datenübertragungsweg 726 im Datenaustausch, z.B. um untereinander Daten auszutauschen. Das Computersystem 700 kann einen aus verschiedenen unterschiedlichen Formfaktoren aufweisen, wie beispielsweise ein Desktop-Computer, ein Laptop-Computer, ein Tablet, ein e-Reader, ein Smartphone, ein persönlicher digitaler Assistent (PDA) und dergleichen.
  • Das Computersystem 700 enthält einen oder mehrere Prozessoren wie beispielsweise einen Prozessor 702. Der Prozessor 702 ist mit einer Datenübertragungs-infrastruktur 704 (z.B. einem Datenübertragungsbus, einer Kreuzschiene (cross-over bar) oder einem Netzwerk) verbunden. Das Computersystem 700 kann eine Anzeigeschnittstelle 706 enthalten, die grafische Darstellungen, Textinhalt sowie andere Daten von der Datenübertragungsinfrastruktur 704 (oder von einem nicht gezeigten Bildspeicher) zwecks Anzeige auf einer Anzeigeeinheit 708 weiterleitet. Das Computersystem 700 beinhaltet außerdem einen Hauptspeicher 710, bevorzugt einen Direktzugriffsspeicher (random access memory, RAM), und kann einen sekundären Speicher 712 enthalten. Zu dem sekundären Speicher 712 können zum Beispiel ein Festplattenlaufwerk 714 und/oder ein entfernbares Speicherlaufwerk 716 zählen, die zum Beispiel ein Diskettenlaufwerk, ein Magnetbandlaufwerk oder ein optisches Plattenlaufwerk darstellen. Das Festplattenlaufwerk 714 kann die Form eines Halbleiterlaufwerks (solid state drive, SSD), eines herkömmlichen Magnetplattenlaufwerks oder eines Hybriden der beiden aufweisen. Es kann auch mehr als ein Festplattenlaufwerk 714 in dem sekundären Speicher 712 enthalten sein. Das entfernbare Speicherlaufwerk 716 liest auf eine für Fachleute gut bekannte Weise aus einer entfernbaren Speichereinheit 718 und/oder schreibt in diese. Die entfernbare Speichereinheit 718 steht zum Beispiel für eine Diskette, eine Kompaktspeicherplatte, ein Magnetband oder eine optische Speicherplatte usw., die/das durch das entfernbare Speicherlaufwerk 716 gelesen und beschrieben wird. Es sollte beachtet werden, dass die entfernbare Speichereinheit 718 ein computerlesbares Medium beinhaltet, auf dem Computersoftware und/oder Daten gespeichert sind.
  • Bei alternativen Ausführungsformen der vorliegenden Erfindung kann der sekundäre Speicher 712 andere ähnliche Mittel enthalten, um zu ermöglichen, dass Computerprogramme oder andere Anweisungen in das Computersystem geladen werden. Zu derartigen Mitteln können zum Beispiel eine entfernbare Speichereinheit 720 und eine Schnittstelle 722 zählen. Zu Beispielen für derartige Mittel können ein Programmpaket und eine Paketschnittstelle (wie beispielsweise die in Videospieleinheiten zu findende), ein entfernbarer Speicherchip (wie beispielsweise ein EPROM, eine Secure Digital Card (SD-Karte), eine Compact Flash Card (CF-Karte), ein USB-Speicher (USB = universeller serieller Bus) oder ein PROM) und ein zugehöriger Sockel, sowie andere entfernbare Speichereinheiten 720 und Schnittstellen 722 zählen, die ein Übertragen von Software und Daten von der entfernbaren Speichereinheit 720 zu dem Computersystem 700 ermöglichen.
  • Das Computersystem 700 kann außerdem eine Datenübertragungsschnittstelle 724 enthalten. Die Datenübertragungsschnittstelle 724 ermöglicht ein Übertragen von Software und Daten zwischen dem Computersystem und externen Einheiten. Zu Beispielen für die Datenübertragungsschnittstelle 724 können ein Modem, eine Netzwerkschnittstelle (wie beispielsweise eine Ethernet-Karte), ein Datenübertragungsanschluss oder ein PC-Kartensteckplatz samt Karte, ein Anschluss für einen universellen seriellen Bus (USB-Anschluss) und dergleichen zählen. Software und Daten, die über die Datenübertragungsschnittstelle 724 übertragen werden, haben die Form von Signalen, die zum Beispiel elektronische, elektromagnetische, optische oder andere Signale sein können, die von der Datenübertragungsschnittstelle 724 empfangen werden können. Diese Signale werden für die Datenübertragungsschnittstelle 724 über einen Datenübertragungsweg (d.h. Kanal) 726 bereitgestellt. Der Datenübertragungsweg 726 überträgt Signale und kann unter Verwendung von Leitungen oder Kabeln, Lichtwellenleitern, einer Telefonleitung, einer Mobiltelefonverbindung, einer HF-Verbindung und/oder anderen Datenübertragungskanälen realisiert werden.
  • In der vorliegenden Beschreibung werden die Begriffe „Computerprogrammedium“, „durch Computer verwendbares Medium“ und „computerlesbares Medium“ verwendet, um auf Medien wie beispielsweise den Hauptspeicher 710 und den sekundären Speicher 712, das entfernbare Speicherlaufwerk 716 sowie eine in dem Festplattenlaufwerk 714 installierte Festplatte Bezug zu nehmen. Computerprogramme (auch als Computersteuerlogik bezeichnet) werden in dem Hauptspeicher 710 und/oder dem sekundären Speicher 712 gespeichert. Computerprogramme können außerdem über die Datenübertragungsschnittstelle 724 empfangen werden. Derartige Computerprogramme ermöglichen dem Computersystem, wenn sie ausgeführt werden, die hier erörterten Funktionen durchzuführen. Insbesondere ermöglichen die Computerprogramme, wenn sie ausgeführt werden, dem Prozessor 702, die Funktionen des Computersystems durchzuführen. Dementsprechend stellen derartige Computerprogramme Steuereinheiten des Computersystems dar. Daher ist aus der vorstehenden ausführlichen Beschreibung zu ersehen, dass eine oder mehrere Ausführungsformen der vorliegenden Erfindung technischen Nutzen und Vorteile bieten.
  • In 8 wird ein Computerprogrammprodukt 800 gemäß einer oder mehreren Ausführungsformen der vorliegenden Erfindung, zu denen ein computerlesbares Speichermedium 802 sowie Programmanweisungen 804 zählen, allgemein gezeigt.
  • Bei der vorliegenden Erfindung kann es sich um ein System, ein Verfahren und/oder ein Computerprogrammprodukt handeln. Das Computerprogrammprodukt kann (ein) computerlesbare(s) Speichermedium (oder -medien) beinhalten, auf dem/denen computerlesbare Programmanweisungen gespeichert sind, um einen Prozessor zu veranlassen, Aspekte von Ausführungsformen der vorliegenden Erfindung auszuführen.
  • Bei dem computerlesbaren Speichermedium kann es sich um eine physische Einheit handeln, die Anweisungen zur Verwendung durch eine Einheit zum Ausführen von Anweisungen halten und speichern kann. Das computerlesbare Speichermedium kann zum Beispiel, aber ohne darauf beschränkt zu sein, eine elektronische Speichereinheit, eine magnetische Speichereinheit, eine optische Speichereinheit, eine elektromagnetische Speichereinheit, eine Halbleiterspeichereinheit oder jede geeignete Kombination der Vorstehenden sein. Zu einer nicht vollständigen Liste konkreterer Beispiele für das computerlesbare Speichermedium zählen die folgenden: eine tragbare Computerdiskette, eine Festplatte, ein Direktzugriffsspeicher (RAM), ein Nur-Lese-Speicher (read-only memory, ROM), ein löschbarer, programmierbarer Nur-Lese-Speicher (erasable programmable read-only memory, EPROM beziehungsweise Flash-Speicher), ein statischer Direktzugriffsspeicher (static random access memory, SRAM), ein tragbarer Kompaktspeicherplatten-Nur-Lese-Speicher (CD-ROM), eine Digital Versatile Disk (DVD), ein Speicher-Stick, eine Diskette, eine mechanisch codierte Einheit wie beispielsweise Lochkarten oder erhabene Strukturen in einer Rille, auf denen Anweisungen aufgezeichnet sind, sowie jede geeignete Kombination des Vorstehenden. Ein computerlesbares Speichermedium im hier verwendeten Sinn soll nicht als flüchtige Signale an sich aufgefasst werden, wie beispielsweise Funkwellen oder andere sich frei ausbreitende elektromagnetische Wellen, elektromagnetische Wellen, die sich durch einen Wellenleiter oder andere Übertragungsmedien ausbreiten (z.B. Lichtimpulse, die sich durch ein Lichtwellenleiterkabel bewegen), oder durch eine Leitung übertragene elektrische Signale.
  • Hierin beschriebene, computerlesbare Programmanweisungen können von einem computerlesbaren Speichermedium auf jeweilige Datenverarbeitungs-/Verarbeitungseinheiten oder über ein Netzwerk wie zum Beispiel das Internet, ein lokales Netzwerk, ein Weitverkehrsnetzwerk und/oder ein drahtloses Netzwerk auf einen externen Computer oder eine externe Speichereinheit heruntergeladen werden. Das Netzwerk kann Kupfer-Übertragungskabel, Lichtwellenleiter, drahtlose Übertragung, Router, Firewalls, Vermittlungsstellen (switches), Gateway-Computer und/oder Edge-Server enthalten. Eine Netzwerkadapterkarte oder Netzwerkschnittstelle in jeder Datenverarbeitungs-/Verarbeitungseinheit empfängt computerlesbare Programmanweisungen aus dem Netzwerk und leitet die computerlesbaren Programmanweisungen zur Speicherung in einem computerlesbaren Speichermedium innerhalb der entsprechenden Datenverarbeitungs-/Verarbeitungseinheit weiter.
  • Bei computerlesbaren Programmanweisungen zum Ausführen von Ausführungsformen der vorliegenden Erfindung kann es sich um Assembler-Anweisungen, ISA-Anweisungen (ISA = Instruction-Set-Architecture), Maschinenanweisungen, maschinenabhängige Anweisungen, Mikrocode, Firmware-Anweisungen, zustandssetzende Daten oder entweder Quellcode oder Objektcode handeln, die in einer beliebigen Kombination aus einer oder mehreren Programmiersprachen geschrieben werden, darunter eine objektorientierte Programmiersprache wie Smalltalk, C++ oder dergleichen, sowie herkömmliche prozedurale Programmiersprachen wie die Programmiersprache „C“ oder ähnliche Programmiersprachen. Die computerlesbaren Programmanweisungen können vollständig auf dem Computer des Endkunden, teilweise auf dem Computer des Endkunden, als ein eigenständiges Software-Paket, teilweise auf dem Computer des Endkunden und teilweise auf einem entfernt angeordneten Computer oder vollständig auf dem entfernt angeordneten Computer oder Server ausgeführt werden. Bei dem letzteren Szenario kann der entfernt angeordnete Computer mit dem Computer des Endkunden durch eine beliebige Art Netzwerk, darunter ein lokales Netzwerk (LAN) oder ein Weitverkehrsnetzwerk (WAN) verbunden sein, oder die Verbindung kann mit einem externen Computer hergestellt werden (zum Beispiel mithilfe eines Internetdienstanbieters über das Internet). Bei einigen Ausführungsformen der vorliegenden Erfindung können elektronische Schaltungen, darunter zum Beispiel programmierbare Logikschaltungen, feldprogrammierbare Gate-Arrays (FPGAs) oder programmierbare Logik-Arrays (PLAs) die computerlesbaren Programmanweisungen durch Nutzen von Zustandsinformationen der computerlesbaren Programmanweisungen ausführen, um die elektronischen Schaltungen zu personalisieren, um Ausführungsformen der vorliegenden Erfindung durchzuführen.
  • Aspekte verschiedener Ausführungsformen der vorliegenden Erfindung werden hier unter Bezugnahme auf Ablaufpläne und/oder Blockschaltbilder bzw. -schaubilder von Verfahren, Vorrichtungen (Systemen) und Computerprogrammprodukten gemäß verschiedenen Ausführungsformen der vorliegenden Erfindung beschrieben. Es versteht sich, dass jeder Block der Ablaufpläne und/oder Blockschaltbilder bzw. -schaubilder sowie Kombinationen von Blöcken in den Ablaufplänen und/oder Blockschaltbildern bzw. -schaubildern durch computerlesbare Programmanweisungen realisiert werden können.
  • Diese computerlesbaren Programmanweisungen können für einen Prozessor eines Universalcomputers, eines Spezialcomputers oder einer anderen programmierbaren Datenverarbeitungsvorrichtung bereitgestellt werden, um eine Maschine zu erzeugen, sodass die durch den Prozessor des Computers oder der anderen programmierbaren Datenverarbeitungsvorrichtung ausgeführten Anweisungen ein Mittel zum Realisieren der in dem Block oder den Blöcken des Ablaufplans und/oder Blockschaltbilds bzw. -schaubilds angegebenen Funktionen/Handlungen erzeugen. Diese computerlesbaren Programmanweisungen können auch auf einem computerlesbaren Speichermedium gespeichert sein, das einen Computer, eine programmierbare Datenverarbeitungsvorrichtung und/oder andere Einheiten derart steuern kann, dass sie auf eine bestimmte Weise funktionieren, sodass das computerlesbare Speichermedium, auf dem Anweisungen gespeichert sind, ein Herstellungsprodukt samt Anweisungen beinhaltet, mit deren Hilfe Aspekte der in dem Block oder den Blöcken des Ablaufplans und/oder Blockschaltbilds bzw. -schaubilds angegebenen Funktion/Handlung realisiert werden.
  • Die computerlesbaren Programmanweisungen können auch auf einen Computer, eine andere programmierbare Datenverarbeitungsvorrichtung oder eine andere Einheit geladen werden, um ein Durchführen einer Reihe von Prozessschritten auf dem Computer, der anderen programmierbaren Vorrichtung oder der anderen Einheit zu bewirken, um einen durch Computer realisierten Prozess zu schaffen, sodass durch die Anweisungen, die auf dem Computer, der anderen programmierbaren Vorrichtung oder der anderen Einheit ausgeführt werden, die in dem Block oder den Blöcken des Ablaufplans und/oder Blockschaltbilds bzw. -schaubilds angegebenen Funktionen/Handlungen realisiert werden.
  • Die Ablaufpläne und Blockschaltbilder bzw. -schaubilder in den Figuren veranschaulichen die Architektur, die Funktionalität und den Betrieb möglicher Realisierungen von Systemen, Verfahren und Computerprogrammprodukten gemäß verschiedenen Ausführungsformen der vorliegenden Erfindung. In diesem Zusammenhang kann jeder Block in den Ablaufplänen oder Blockschaltbildern bzw. -schaubildern ein Modul, ein Segment oder einen Abschnitt von Anweisungen darstellen, das/der eine oder mehrere ausführbare Anweisungen zum Realisieren der angegebenen Logikfunktion(en) enthält. Bei einigen alternativen Realisierungen können die in dem Block angegebenen Funktionen in einer anderen Reihenfolge als in den Figuren angegeben stattfinden. Zwei nacheinander gezeigte Blöcke können zum Beispiel tatsächlich im Wesentlichen gleichzeitig ausgeführt werden, oder die Blöcke können manchmal in Abhängigkeit von der betreffenden Funktionalität in der umgekehrten Reihenfolge ausgeführt werden. Es ist ferner anzumerken, dass jeder Block der Blockschaltbilder bzw. -schaubilder und/oder des Ablaufplans sowie Kombinationen aus Blöcken in den Blockschaltbildern bzw. -schaubildern und/oder dem Ablaufplan durch Spezialsysteme auf der Grundlage von Hardware realisiert werden können, die die angegebenen Funktionen oder Handlungen ausführen, oder Kombinationen von Spezialhardware und Computeranweisungen ausführen.
  • Die hier verwendete Terminologie dient ausschließlich dem Zweck einer Beschreibung bestimmter Ausführungsformen der vorliegenden Erfindung und soll nicht einschränkend sein. Die Singularformen wie „ein“, „eine“ und „der“, „die“, „das“ sollen ebenfalls die Pluralformen beinhalten, solange der Kontext nicht eindeutig auf etwas anderes hinweist. Ferner versteht sich, dass die Begriffe „aufweist“ und/oder „aufweisend“, wenn sie in dieser Beschreibung verwendet werden, das Vorhandensein von behaupteten Merkmalen, Ganzzahlen, Schritten, Operationen, Elementen und/oder Komponenten angeben, aber das Vorhandensein oder Hinzufügen von einem/einer oder mehreren anderen Merkmalen, Ganzzahlen, Schritten, Operationen, Elementkomponenten und/oder Gruppen aus diesen nicht ausschließen.
  • Die entsprechenden Strukturen, Materialien, Handlungen sowie Äquivalente aller Mittel oder Schritt-plus-Funktion-Elemente in den folgenden Ansprüchen sollen jede beliebige Struktur, jedes beliebige Material oder jede beliebige Handlung zum Durchführen der Funktion in Verbindung mit anderen beanspruchten Elementen als ausdrücklich beansprucht beinhalten. Die Beschreibung wird zum Zweck einer Veranschaulichung und Beschreibung vorgelegt, soll aber nicht vollständig oder auf die offenbarte Form beschränkt sein. Für Fachleute werden zahlreiche Modifikationen und Variationen offensichtlich sein, die aber keine Abweichung von dem Schutzbereich der Erfindung darstellen. Die hier beschriebenen Ausführungsform der vorliegenden Erfindung wurden ausgewählt und beschrieben, um die Grundgedanken von Ausführungsformen der Erfindung und die praktische Anwendung bestmöglich zu erläutern, und um andere Fachleute in die Lage zu versetzen, die verschiedenen Ausführungsformen der vorliegenden Erfindung mit verschiedenen Modifikationen zu verstehen, die für die spezielle, in Betracht gezogene Verwendung geeignet sind.

Claims (17)

  1. Verfahren zum Bestimmen einer Gleichmäßigkeit und Einheitlichkeit einer wirksamen Dosis eines Lithographie-Werkzeugs, wobei das Verfahren aufweist: ein Durchführen einer Reihe von Open-Frame-Belichtungen (Flutbelichtungen) mit dem Lithographie-Werkzeug auf einem Substrat, um einen Satz Blöcke mit gesteuerter Belichtungsdosis im Resist zu erzeugen; ein Erhitzen und Entwickeln des belichteten Substrats; ein Scannen der sich ergebenden Open-Frame-Bilder mit Schräglicht und Erfassen des von der Substratoberfläche aus zerstreuten Lichts unter Verwendung einer Schräglicht-Prüfeinheit; ein Erstellen einer „Haze Map“ aus dem Hintergrundsignal der erfassten Streulichtdaten; ein Umwandeln der Haze Map in eine grafische Bilddatei; und ein Analysieren der grafischen Bilddatei, um eine wirksame Dosis des Lithographie-Werkzeugs zu bestimmen, wobei eine Helligkeit der grafischen Bilddatei mit einer wirksamen Dosis des Lithographie-Werkzeugs in Zusammenhang steht.
  2. Verfahren nach Anspruch 1, wobei ein Durchführen der Open-Frame-Belichtungen aufweist: ein Auftragen von Photoresist auf das Substrat; und ein Durchführen einer strukturlosen Belichtung des Substrats.
  3. Verfahren nach Anspruch 2, wobei das Durchführen einer strukturlosen Belichtung aufweist: ein Unterteilen des Substrats in eine Mehrzahl von Feldern, Reihen oder Spalten; und ein Versehen jedes Feldes, jeder Reihe oder Spalte des Substrats mit einer anderen Menge einer wirksamen Dosis.
  4. Verfahren nach einem der vorhergehenden Ansprüche, wobei ein Analysieren der grafischen Bilddatei aufweist: ein Bestimmen einer Helligkeit der Bilddatei für eine Mehrzahl von Punkten der grafischen Bilddatei; und ein Verwenden der Helligkeit, um eine Energieabgabe des Lithographie-Werkzeugs zu bestimmen.
  5. Verfahren nach Anspruch 4, das ferner aufweist: ein Verwenden der Bestimmung der Energieabgabe, um die Dosisgleichmäßigkeit des Lithographie-Werkzeugs von Wafer zu Wafer zu charakterisieren.
  6. Verfahren nach Anspruch 4, das ferner aufweist: ein Verwenden der Bestimmung der Energieabgabe, um die Dosiseinheitlichkeit des Lithographie-Werkzeugs innerhalb eines Feldes zu charakterisieren.
  7. Verfahren nach einem der vorhergehenden Ansprüche, wobei die Schräglicht-Prüfeinheit ein Fehlerprüfwerkzeug ist.
  8. System zum Bestimmen einer wirksamen Dosis eines Lithographie-Werkzeugs, wobei das System aufweist: ein Lithographie-Werkzeug, das derart eingerichtet ist, dass es eine Reihe von Open-Frame-Belichtungen auf einem Substrat durchführt, um einen Satz Blöcke mit gesteuerter Belichtungsdosis im Resist zu erzeugen; Prozesswerkzeuge zum Erhitzen und Entwickeln des belichteten Substrats; ein Prüfwerkzeug zum Scannen der sich ergebenden Open-Frame-Bilder mit Schräglicht und Erfassen des von der Substratoberfläche aus zerstreuten Lichts; eine Software zum Erstellen einer Haze Map aus dem Hintergrundsignal der Streulichtdaten und ein Umwandeln der Haze Map in eine grafische Bilddatei; und eine Offline-Analyse, um eine wirksame Dosis des Lithographie-Werkzeugs ausgehend von der grafischen Bilddatei zu bestimmen, wobei eine Helligkeit der grafischen Bilddatei mit einer wirksamen Dosis des Lithographie-Werkzeugs in Zusammenhang steht.
  9. System nach Anspruch 8, wobei ein Durchführen der Open-Frame-Belichtung aufweist: ein Auftragen von Photoresist auf das Substrat; und ein Durchführen einer strukturlosen Belichtung des Substrats.
  10. System nach Anspruch 9, wobei ein Durchführen einer strukturlosen Belichtung aufweist: ein Unterteilen des Substrats in eine Mehrzahl von Feldern, Reihen oder Spalten; und ein Versehen jedes Feldes, jeder Reihe oder Spalte des Substrats mit einer anderen Menge einer wirksamen Dosis.
  11. System nach einem der Ansprüche 8 bis 10, wobei die Analyse der grafischen Bilddatei aufweist: ein Bestimmen einer Helligkeit der Bilddatei für eine Mehrzahl von Punkten der grafischen Bilddatei; und ein Verwenden der Helligkeit, um eine Energieabgabe der Lithographie-Werkzeuge zu bestimmen.
  12. System nach Anspruch 11, wobei das System ferner eingerichtet ist zum: Verwenden der Bestimmung der Energieabgabe, um die Dosisgleichmäßigkeit des Lithographie-Werkzeugs von Wafer zu Wafer zu charakterisieren.
  13. System nach Anspruch 11, wobei das System ferner eingerichtet ist zum: Verwenden der Bestimmung der Energieabgabe, um die Dosiseinheitlichkeit des Lithographie-Werkzeugs innerhalb eines Feldes zu charakterisieren.
  14. System nach einem der Ansprüche 8 bis 13, wobei ein Erzeugen der grafischen Haze-Map-Bilddatei aufweist: ein Scannen der sich ergebenden Open-Frame-Bilder mit Schräglicht und Erfassen des von der Substratoberfläche aus zerstreuten Lichts unter Verwendung einer Schräglicht-Prüfeinheit; ein Erstellen einer Haze Map aus dem Hintergrundsignal der Streulichtdaten; ein Umwandeln der Haze Map in eine grafische Bilddatei.
  15. System nach Anspruch 14, wobei die Schräglicht-Prüfeinheit ein Fehlerprüfwerkzeug ist.
  16. Computerprogrammprodukt zum Bestimmen einer Gleichmäßigkeit und Einheitlichkeit einer wirksamen Dosis eines Lithographie-Werkzeugs, wobei das Computerprogrammprodukt aufweist: ein computerlesbares Speichermedium, das durch eine Verarbeitungsschaltung lesbar ist und Anweisungen zum Ausführen durch die Verarbeitungsschaltung speichert, um ein Verfahren nach einem der Ansprüche 1 bis 7 durchzuführen.
  17. Computerprogramm, das Softwarecodeabschnitte aufweist, das auf einem computerlesbaren Medium gespeichert und in den internen Speicher eines digitalen Computers ladbar ist, wenn das Programm auf einem Computer ausgeführt wird, um das Verfahren nach einem der Ansprüche 1 bis 7 durchzuführen.
DE112018002123.8T 2017-06-23 2018-06-11 VERFAHREN ZUM BESTIMMEN EINER GLEICHMÄßIGKEIT UND EINHEITLICHKEIT EINER WIRKSAMEN DOSIS EINES LITHOGRAPHIE-WERKZEUGS UND SYSTEM ZUM BESTIMMEN EINER WIRKSAMEN DOSIS EINES LITHOGRAPHIE-WERKZEUGS Active DE112018002123B4 (de)

Applications Claiming Priority (5)

Application Number Priority Date Filing Date Title
US15/631,617 2017-06-23
US15/631,617 US10274836B2 (en) 2017-06-23 2017-06-23 Determination of lithography effective dose uniformity
US15/822,242 2017-11-27
US15/822,242 US10281826B2 (en) 2017-06-23 2017-11-27 Determination of lithography effective dose uniformity
PCT/IB2018/054197 WO2018234921A1 (en) 2017-06-23 2018-06-11 DETERMINING THE UNIFORMITY OF EFFECTIVE DOSE LITHOGRAPHY

Publications (2)

Publication Number Publication Date
DE112018002123T5 true DE112018002123T5 (de) 2020-01-02
DE112018002123B4 DE112018002123B4 (de) 2020-12-10

Family

ID=64692503

Family Applications (1)

Application Number Title Priority Date Filing Date
DE112018002123.8T Active DE112018002123B4 (de) 2017-06-23 2018-06-11 VERFAHREN ZUM BESTIMMEN EINER GLEICHMÄßIGKEIT UND EINHEITLICHKEIT EINER WIRKSAMEN DOSIS EINES LITHOGRAPHIE-WERKZEUGS UND SYSTEM ZUM BESTIMMEN EINER WIRKSAMEN DOSIS EINES LITHOGRAPHIE-WERKZEUGS

Country Status (6)

Country Link
US (2) US10274836B2 (de)
JP (1) JP7199725B2 (de)
CN (1) CN110709777B (de)
DE (1) DE112018002123B4 (de)
GB (1) GB2577661B (de)
WO (1) WO2018234921A1 (de)

Families Citing this family (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN110298847B (zh) * 2019-06-27 2021-06-04 浙江工业大学 一种长时间背景收集的背景建模方法
US10921716B1 (en) 2019-10-08 2021-02-16 International Business Machines Corporation Lithographic dose characterization
US11194254B2 (en) 2019-11-06 2021-12-07 International Business Machines Corporation Lithography process delay characterization and effective dose compensation
US11561481B2 (en) 2020-07-20 2023-01-24 International Business Machines Corporation Using E0 exposures for track/cluster monitoring
CN113092496B (zh) * 2021-04-06 2022-10-04 深圳市卓兴半导体科技有限公司 一种检测晶圆分布范围的方法、系统及存储介质

Family Cites Families (23)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH04259849A (ja) * 1991-02-15 1992-09-16 Toshiba Corp 検査装置
EP0502679B1 (de) 1991-03-04 2001-03-07 AT&T Corp. Herstellungsverfahren von integrierten Halbleiterschaltungen unter Anwendung von latenten Bildern
JPH05102031A (ja) * 1991-10-04 1993-04-23 Fujitsu Ltd 感光性被膜の感度測定法及び耐蝕性被膜の形成法
US5789124A (en) 1996-10-10 1998-08-04 International Business Machines Corporation Method of monitoring lithographic resist poisoning
US6021009A (en) 1998-06-30 2000-02-01 Intel Corporation Method and apparatus to improve across field dimensional control in a microlithography tool
US6174632B1 (en) * 1999-03-05 2001-01-16 Advanced Micro Devices, Inc. Wafer defect detection method utilizing wafer with development residue attracting area
US6943882B2 (en) 2002-12-19 2005-09-13 Nikon Precision, Inc. Method to diagnose imperfections in illuminator of a lithographic tool
US7794903B2 (en) * 2006-08-15 2010-09-14 Infineon Technologies Ag Metrology systems and methods for lithography processes
US7483804B2 (en) 2006-09-29 2009-01-27 Tokyo Electron Limited Method of real time dynamic CD control
JP5025236B2 (ja) * 2006-11-29 2012-09-12 キヤノン株式会社 露光装置及び方法、並びに、デバイス製造方法
US7907770B2 (en) 2007-06-15 2011-03-15 United Microelectronics Corp. Method for inspecting photomask and real-time online method for inspecting photomask
CN101221371B (zh) * 2008-01-24 2010-06-02 上海微电子装备有限公司 图形定位精度检测装置及其检测方法
NL1036468A1 (nl) * 2008-02-27 2009-08-31 Asml Netherlands Bv Inspection method and apparatus, lithographic apparatus, lithographic processing cell and device manufacturing method.
NL1036647A1 (nl) 2008-04-16 2009-10-19 Asml Netherlands Bv A method of measuring a lithographic projection apparatus.
JP5545782B2 (ja) 2009-07-31 2014-07-09 エーエスエムエル ネザーランズ ビー.ブイ. リソグラフィ装置の焦点測定方法、散乱計、リソグラフィシステム、およびリソグラフィセル
JP5221611B2 (ja) 2010-09-13 2013-06-26 株式会社東芝 ドーズデータ生成装置、露光システム、ドーズデータ生成方法および半導体装置の製造方法
KR101862015B1 (ko) 2011-03-25 2018-07-04 삼성전자주식회사 노광 장치에서 노광 에너지 측정 방법
CN103459538B (zh) * 2011-04-04 2016-12-07 3M创新有限公司 包括粘结剂的光学叠堆
JP2014142368A (ja) * 2011-05-13 2014-08-07 Sharp Corp 光拡散部材およびその製造方法、表示装置
JP5640943B2 (ja) * 2011-10-07 2014-12-17 東京エレクトロン株式会社 露光装置の設定方法、基板撮像装置及び記憶媒体
KR101878578B1 (ko) * 2013-11-27 2018-07-13 도쿄엘렉트론가부시키가이샤 광학 프로젝션을 이용한 기판 튜닝 시스템 및 방법
KR102271772B1 (ko) * 2015-03-11 2021-07-01 삼성전자주식회사 Euv 대역외 광량 분포의 측정 방법 및 이를 이용한 euv 노광기의 성능 검사 방법
CN105446086A (zh) * 2015-12-21 2016-03-30 中国科学院长春光学精密机械与物理研究所 光刻系统中照明均匀性测量方法

Also Published As

Publication number Publication date
GB202000788D0 (en) 2020-03-04
JP7199725B2 (ja) 2023-01-06
US20180373164A1 (en) 2018-12-27
WO2018234921A1 (en) 2018-12-27
CN110709777A (zh) 2020-01-17
GB2577661B (en) 2020-07-15
US20180373165A1 (en) 2018-12-27
GB2577661A (en) 2020-04-01
DE112018002123B4 (de) 2020-12-10
CN110709777B (zh) 2021-06-15
JP2020524816A (ja) 2020-08-20
US10281826B2 (en) 2019-05-07
US10274836B2 (en) 2019-04-30

Similar Documents

Publication Publication Date Title
DE112018002123B4 (de) VERFAHREN ZUM BESTIMMEN EINER GLEICHMÄßIGKEIT UND EINHEITLICHKEIT EINER WIRKSAMEN DOSIS EINES LITHOGRAPHIE-WERKZEUGS UND SYSTEM ZUM BESTIMMEN EINER WIRKSAMEN DOSIS EINES LITHOGRAPHIE-WERKZEUGS
DE112016004904B4 (de) Überprüfungsmethode und Überprüfungsvorrichtung
DE69020484T2 (de) Vorrichtung und Verfahren zur Beschreibung von fotolithografischen Systemen.
DE112017001846T5 (de) Halbleitermetrologie mit Information von mehreren Prozessschritten
DE112016000410T5 (de) Messsystemoptimierung für röntgenbasierte Metrologie
DE102010030758B4 (de) Steuerung kritischer Abmessungen in optischen Abbildungsprozessen für die Halbleiterherstellung durch Extraktion von Abbildungsfehlern auf der Grundlage abbildungsanlagenspezifischer Intensitätsmessungen und Simulationen
DE112016004012B4 (de) Techniken und systeme für modellbasierte messungen der kritischen dimension
DE112017005271T5 (de) Ganzstrahl-metrologie für röntgen-scatterometrie-systeme
DE112017000384T5 (de) Systeme und Verfahren für erweiterte infrarotspektroskopische Ellipsometrie
DE112013003491T5 (de) Modellbildungs- und Analyse-Maschine für eine kombinierte auf Röntgenstrahlung und optisch basierte Metrologie
DE102014101482A1 (de) System und Verfahren zur Dunkelfeldinspektion
DE102014204876A1 (de) Inspektionsverfahren und Inspektionsvorrichtung
DE112020002023T5 (de) Verfahren und systeme zur kombination von röntgenmetrologie-datensätzen zur verbesserung der parameterschätzung
DE112018006828T5 (de) Selbstreferenzierende und selbstkalibrierende interferenzmusterüberlagerungsmessung
DE112018005533T5 (de) Detektion und messung der dimensionen asymmetrischer strukturen
DE102011083774B4 (de) Verfahren zum Bestimmen von Laser korrigierenden Tool-Parametern
DE112013004657T5 (de) Metrologie der modellbasierten Positionsbestimmung und der kritischen Dimension
DE112016000853T5 (de) Optische Metrologie mit reduzierter Empfindlichkeit gegenüber Fokus-Fehlern
DE10297676B4 (de) Verfahren zum Kalibrieren einer Messanlage auf Streumessungsbasis, die zum Messen von Abmessungen von Strukturelementen auf einem Halbleiterbauelement verwendet wird
DE102013213785A1 (de) Verfahren und System zur Bestimmung von Überlappungsprozessfenstern in Halbleitern durch Inspektionstechniken
DE102018103231B4 (de) Verfahren zur optischen nahbereichskorrektur und verfahren zur erzeugung einer maske unter verwendung desselben
DE102015201437A1 (de) Prüfvorrichtung und Prüfverfahren
DE102017220872B4 (de) Verfahren und System zur Qualifizierung einer Maske für die Mikrolithographie
DE102005014793B4 (de) Verfahren und Inspektionssystem zur CD-Messung auf der Grundlage der Bestimmung von Flächenanteilen
DE102007039982B3 (de) Verfahren zur optischen Inspektion und Visualisierung der von scheibenförmigen Objekten gewonnenen optischen Messerwerte

Legal Events

Date Code Title Description
R012 Request for examination validly filed
R082 Change of representative

Representative=s name: RICHARDT PATENTANWAELTE PARTG MBB, DE

R016 Response to examination communication
R018 Grant decision by examination section/examining division
R084 Declaration of willingness to licence
R020 Patent grant now final