KR100932574B1 - 포토마스크 에칭을 위한 엔드포인트 검출 - Google Patents

포토마스크 에칭을 위한 엔드포인트 검출 Download PDF

Info

Publication number
KR100932574B1
KR100932574B1 KR1020070108159A KR20070108159A KR100932574B1 KR 100932574 B1 KR100932574 B1 KR 100932574B1 KR 1020070108159 A KR1020070108159 A KR 1020070108159A KR 20070108159 A KR20070108159 A KR 20070108159A KR 100932574 B1 KR100932574 B1 KR 100932574B1
Authority
KR
South Korea
Prior art keywords
substrate
etching
window
signal
endpoint
Prior art date
Application number
KR1020070108159A
Other languages
English (en)
Other versions
KR20080039251A (ko
Inventor
미첼 그림베르겐
Original Assignee
어플라이드 머티어리얼스, 인코포레이티드
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Priority claimed from US11/844,868 external-priority patent/US20080099436A1/en
Priority claimed from US11/844,838 external-priority patent/US20080099435A1/en
Application filed by 어플라이드 머티어리얼스, 인코포레이티드 filed Critical 어플라이드 머티어리얼스, 인코포레이티드
Publication of KR20080039251A publication Critical patent/KR20080039251A/ko
Application granted granted Critical
Publication of KR100932574B1 publication Critical patent/KR100932574B1/ko

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • H01L21/3065Plasma etching; Reactive-ion etching
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F1/00Originals for photomechanical production of textured or patterned surfaces, e.g., masks, photo-masks, reticles; Mask blanks or pellicles therefor; Containers specially adapted therefor; Preparation thereof
    • G03F1/68Preparation processes not covered by groups G03F1/20 - G03F1/50
    • G03F1/80Etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67017Apparatus for fluid treatment
    • H01L21/67063Apparatus for fluid treatment for etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67017Apparatus for fluid treatment
    • H01L21/67063Apparatus for fluid treatment for etching
    • H01L21/67069Apparatus for fluid treatment for etching for drying etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67242Apparatus for monitoring, sorting or marking
    • H01L21/67253Process monitoring, e.g. flow or thickness monitoring
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L22/00Testing or measuring during manufacture or treatment; Reliability measurements, i.e. testing of parts without further processing to modify the parts as such; Structural arrangements therefor
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L22/00Testing or measuring during manufacture or treatment; Reliability measurements, i.e. testing of parts without further processing to modify the parts as such; Structural arrangements therefor
    • H01L22/20Sequence of activities consisting of a plurality of measurements, corrections, marking or sorting steps
    • H01L22/26Acting in response to an ongoing measurement without interruption of processing, e.g. endpoint detection, in-situ thickness measurement
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/0001Technical content checked by a classifier
    • H01L2924/0002Not covered by any one of groups H01L24/00, H01L24/00 and H01L2224/00

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • General Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Plasma & Fusion (AREA)
  • Drying Of Semiconductors (AREA)
  • Preparing Plates And Mask In Photomechanical Process (AREA)
  • Weting (AREA)

Abstract

포토마스크 에칭을 위한 엔트포인트 탐지 장치 및 방법이 제시된다. 상기 장치는 기판 지지부를 가지는 플라즈마 에칭 챔버를 제공한다. 상기 기판 지지부는 엔트포인트 탐지에 사용하기 위해 배치된 적어도 두 개의 광학 컴포넌트들을 포함한다. 포토마스크 에칭을 위한 향상된 프로세스 모니터링은 포토마스크의 상이한 위치들에서 모니터링하기 위한 다양한 광학 측정 기법들의 이용에 의해 달성된다.

Description

포토마스크 에칭을 위한 엔드포인트 검출{ENDPOINT DETECTION FOR PHOTOMASK ETCHING}
본 발명의 실시예들은 일반적으로 집적 회로의 제조에 관한 것이며, 집적 회로들의 제조에 유용한 포토마스크의 제조에 관한 것이다.
마이크로 전자 제품 또는 집적 회로 소자의 제조는 일반적으로 반도체, 유전체 및 전도성 기판상에 실행되는 수백 개의 개별적 단계들을 요구하는 복잡한 공정 시퀀스를 수반한다. 이러한 공정 단계들의 예로는 산화, 확산, 이온 주입, 박막 증착, 세정, 에칭 및 리소그래피를 들 수 있다. 리소그래피 및 에칭(종종 패턴 전사 단계로 참조되는)을 사용하여, 요구되는 패턴은 먼저 감광성 물질층, 예를 들어 포토레지스트에 전사되고, 그 후, 후속의 에칭 단계 동안에 하부에 놓인 물질층에 전사된다. 리소그래피 단계에서, 블랭킷(blanket) 포토레지스트층이 패턴을 포함하는 포토마스크 또는 레티클을 통해 발광 소스에 노출되어, 패턴의 이미지가 포토레지스트에 형성된다. 적절한 화학 용액에서 포토레지스트를 현상함으로써, 포토레지스트의 부분들이 제거되어, 포토레지스트층이 패터닝된다. 마스크로서 작용하는 이러한 포토레지스트 패턴으로, 하부에 놓인 물질층은 예를 들어, 습식 또는 건 식 에칭을 사용하여 반응성 환경에 노출되고, 하부에 놓인 물질층에 패턴이 전사된다.
일반적으로 유리 또는 석영 기판상에 지지되는 금속 함유층에 형성되는 포토마스크상의 패턴은 또한 포토레지스트 패턴을 통한 에칭에 의하여 발생된다. 그러나, 이러한 경우, 레티클을 통해 포토레지스트를 노출시키는 것과 대조적으로, 포토레지스트 패턴은 직접 기록 기술에 의하여, 예를 들어, 전자 빔 또는 다른 적절한 방사 빔으로 생성된다. 마스크로서 패터닝된 포토레지스트로, 패턴은 플라즈마 에칭을 사용하여 하부에 놓인 금속 함유층에 전사될 수 있다. 진보한 소자의 제조에 사용하기에 적합한 상업적으로 이용가능한 포토마스크 에칭 장비의 예로는 캘리포니아, 산타클라라의 어플라이드 머티리얼스사에 의해 제공되는 Tetra™ Photomask Etch System을 들 수 있다. "마스크", "포토마스크" 또는 "레티클"이라는 용어들은 일반적으로 패턴을 포함하는 기판을 나타내기 위하여 호환성 있게 사용될 것이다.
공정 동안에, 포토마스크의 에칭으로부터의 엔드포인트(endpoint) 데이터는 공정이 요구되는 명세에 따라 동작하는지, 그리고 에칭 균일성과 같은 요구되는 결과값들이 달성되는지를 판단하기 위하여 사용될 수 있다. 에칭 포토마스크는 일반적으로 그들 자신의 피쳐들 또는 패턴들의 세트를 갖기 때문에, 동일한 공정 레시피를 사용하여 에칭되는 상이한 포토마스크들은 상이한 엔드포인트 데이터를 산출해낼 수 있으며, 따라서, 특정 포토마스크에 대해 요구되는 에칭 결과값들이 획득되는지를 판단하는 것을 어렵게 한다.
계속해서 감소하는 소자 치수로 인하여, 진보한 기술을 위한 포토마스크들의 설계 및 제조는 점점 복잡해지고, 임계 치수 및 공정 균일성의 제어는 점점 더 중요해진다. 따라서, 각각의 포토마스크에 대해 일관된 엔드포인트 데이터를 생성하기 위한 개선된 장치 및 방법과 같은 포토마스크 제조에서 개선된 공정 제어가 계속해서 요구되고 있다.
본 발명의 실시예들은 일반적으로 기판을 에칭하기 위한 장치 및 방법을 제공한다. 본 발명은 진공 처리에 사용되는 다른 기판들 중에서도 특히 포토마스크를 에칭하기에 적합하다.
일실시예에서, (a) 기판 지지 부재를 갖는 에칭 챔버를 제공하는 단계 - 기판 지지 부재는 적어도 중심 영역의 제 1 윈도우 및 주변 영역의 제 2 윈도우를 포함함 -, (b) 기판 지지 부재상에 기판을 제공하는 단계, (c) 에칭 챔버로 공정 기체를 유입시키는 단계, (d) 기판을 에칭하기 위하여 처리 기체로부터 플라즈마를 생성하는 단계, (e) 엔드포인트 검출 시스템을 사용하여 제 1 윈도우를 통해 제 1 광 신호를, 제 2 윈도우를 통해 제 2 광 신호를 검출하고, 검출된 제 1 및 제 2 광학 신호들 중 적어도 하나로부터 획득된 정보에 기초하여 플라즈마를 제거하는 단계를 포함하는, 기판을 에칭하기 위한 방법이 제공된다.
또 다른 실시예에서, 기판을 에칭하는 방법은, (a) 제 1 윈도우 및 제 2 윈도우를 포함하는 기판 지지 부재를 가지는 에칭 챔버를 제공하는 단계; (b) 기판 지지 부재 상에 기판을 제공하는 단계; (c) 기판을 에칭하기 위해 프로세스 가스로부터 플라즈마를 발생시키는 단계; (d) 광검출기(photodetector)를 포함하는 엔드포인트 검출 시스템을 제공하는 단계; (e) 광검출기를 사용하여 제 1 윈도우 및 제 2 윈도우 중 적어도 하나를 통해 적어도 하나의 광학 신호를 모니터링하는 단계; 및 (f) 적어도 하나의 광학 신호로부터 얻어진 정보를 기초로 플라즈마를 중단시키는 단계를 포함한다.
본 발명의 또 다른 실시예에서, 기판 에칭 장치는 플라즈마 에칭 챔버, 챔버 내부에 있으며 중심 영역에 배치되는 제 1 윈도우와 주변 영역에 배치되는 제 2 윈도우를 포함하는 기판 지지 부재, 및 제 1 윈도우 및 제 2 윈도우를 통해 챔버와 동작가능하게 결합되는 엔드포인트 검출 시스템을 포함한다.
또 다른 실시예에서, 기판 에칭 장치는 기판 지지 부재를 포함하는 플라즈마 에칭 챔버, 및 반사 모드와 투과 모드 중 적어도 하나의 모드에서 동작하도록 구성되며, 기판 지지 부재의 중심 영역에 배치된 제 1 광학 부품과 기판 지지 부재의 주변 영역에 배치된 제 2 광학 부품을 포함하는 엔드포인트 검출 시스템을 포함할 수 있다.
또 다른 실시예는 플라즈마 에칭 챔버, 챔버 내부에 있으며 그 내부에 제 1 윈도우 및 제 2 윈도우가 배치된 기판 지지 부재, 및 제 1 윈도우 및 제 2 윈도우 중 하나를 통해 챔버와 동작가능하게 결합된 엔드포인트 검출 시스템을 포함하는 기판 에칭 장치를 제공하며, 제 1 윈도우는 지지 부재의 중심 영역에 위치된다.
또 다른 실시예에서, 기판 에칭 방법은, 중심 영역의 제 1 윈도우 및 주변 영역의 제 2 윈도우를 포함하는 기판 지지 부재를 갖는 에칭 챔버를 제공하는 단계, 기판 지지 부재 상에 기판을 제공하는 단계, 에칭 챔버 속으로 프로세스 가스를 주입하는 단계, 기판을 에칭하기 위해 프로세스 가스로부터 플라즈마를 발생시키는 단계, 엔드포인트 검출 시스템을 사용하여 제 1 윈도우를 통해 제 1 광학 신호를 검출하고 제 2 윈도우를 통해 제 2 광학 신호를 검출하는 단계, 및 검출된 제 1 광학 신호 및 제 2 광학 신호중 적어도 하나로부터 얻어진 정보를 기초로 플라즈 마를 중단시키는 단계를 포함한다.
또 다른 실시예에서, 기판 에칭 방법은, (a) 제 1 윈도우 및 제 2 윈도우를 갖춘 기판 지지 부재를 포함하는 에칭 챔버를 제공하는 단계, (b) 기판 지지 부재 상에 기판을 제공하는 단계, (c) 기판을 에칭하기 위해 프로세스 가스로부터 플라즈마를 발생시키는 단계, (d) 광검출기를 포함하는 엔드포인트 검출 시스템을 제공하는 단계, (e) 광검출기를 사용하여 제 1 윈도우 및 제 2 윈도우 중 적어도 하나를 통해 적어도 하나의 광학 신호를 모니터링하는 단계, 및 (f) 적어도 하나의 광학 신호로부터 얻어진 정보를 기초로 플라즈마를 중단시키는 단계를 포함한다.
본 발명의 앞서 언급된 특징들을 본 발명의 보다 상세한 설명, 상기 간략한 설명을 통해 이해할 수 있도록, 첨부되는 도면에 도시된 몇 가지 실시예를 참조한다.
그러나 첨부되는 도면은 단지 본 발명의 전형적인 실시예만을 나타내는 것으로, 본 발명의 범주를 제한하고자 하는 것은 아니며, 본 발명은 등가적인 다른 실시예를 구현할 수 있다는 것을 주지해야 한다.
이해를 쉽게 하기 위해서, 도면들에서 공통인 일치하는 구성요소들을 지시하는데 가능하면 동일한 도면 번호들이 사용되었다. 일 실시예의 구성요소들과 특징들은 추가 언급 없이도 다른 실시예에 유리하게 통합될 수 있다고 고려된다.
하지만 첨부된 도면은 본 발명의 실시예들을 단지 예시적으로 도시하는 것이며, 따라서 다른 동등한 효과를 가진 실시예들을 수용할 수 있는 본 발명의 범위를 제한하는 것으로 생각되지 않는다는 것에 주의해야 한다.
본 발명은 강화된 공정 모니터링과 함께, 예컨대 포토마스크의 여러 영역들에서 광학적 모니터링을 제공함으로써, 포토마스크 기판을 에칭하는 방법 및 장치를 제공한다. 본 논의와 설명을 위한 예시들은 포토마스크 기판의 에칭에 초점이 맞춰져 있을 지라도, 본 발명의 다양한 실시예들도 투명 또는 절연 기판들을 포함하는 다른 적절한 기판들의 공정 모니터링에 적합할 수 있다.
도 1a는 본 발명에 일 실시예에 따른 플라즈마 에칭 챔버의 개념적인 횡단면도이다. 적절한 플라즈마 에칭 챔버는 캘리포니아 산타 클라라에 위치하는 Applied Materials, Inc.에서 이용가능한 Tetra™ II 포토마스크 에칭 챔버 또는 디커플형 플라즈마 소스(DPS™) 챔버를 포함한다. 예를 들어, 다양한 설계의 용량성 결합형 평행판 챔버 및 자기 강화 이온 에칭 챔버, 뿐만 아니라 유도 결합형 플라즈마 에칭 챔버를 포함하는, 다른 처리 챔버들도 본 발명의 실시예들과 관련하여 사용될 수 있다. 본원에서 도시된 에칭 챔버(10)의 특정 실시예는 설명을 위한 목적으로 제공되며, 본 발명의 범위를 제한하기 위해서 사용된 것이 아니다. 본 발명은 다른 제조업자가 만든 것들을 포함한, 다른 처리 시스템에서도 사용될 수 있다고 고려된다.
처리 챔버(10)는 일반적으로 원통형 측벽 또는 챔버 몸체(12), 몸체(12)에 장착된 에너지 투과 천장(13), 및 챔버 저면(17)을 포함한다. 천장(13)은 평평할 수도 있고, 직사각형, 활형(arcuate), 원뿔형, 돔형 또는 다중-반경형 일 수 있다. 적어도 하나의 유도성 코일(26)은 적어도 천장(13)의 일부 위에 배치된다. 도 1A 에 도시된 실시예에서, 두 개의 동심(concentric) 코일(26)이 도시된다. 처리 챔버(10)의 챔버 몸체(12) 및 챔버 저면(17)은 양극 산화 알루미늄(anodized aluminum)과 같은 금속으로 만들어 질 수 있으며, 천장(13)은 세라믹 또는 기타 절연 물질과 같은 에너지 투과 물질로 만들어 질 수 있다.
기판 지지 부재(16)는 공정 동안 기판(220)을 지지하기 위해서 처리 챔버(10) 안에 배치된다. 지지 부재(16)는, 공정 바이어스 캐소드로써 기능할 수 있고 전기적으로 도전성인, 적어도 지지 부재(16)의 일부에 의해 통상적인 기계적 또는 정전기적 척(chuck)일 수 있다. 도시되지는 않았지만, 포토마스크 어댑터가 지지 부재(16) 상의 포토마스크를 고정시키는데 사용될 수 있다. 포토마스크 어댑터는 일반적으로 지지 부재의 상부를 덮기 위해 준비된 하부, 및 포토 마스크를 고정하기 위한 크기와 모양인 개구를 구비한 상부를 포함한다. 일 실시예에서, 포토마스크의 상부는 정사각형 개구를 갖는다. 적절한 포토마스크 어댑터는 2001년 6월 26일에 등록된 미국 특허 제6,251,217호에 개시된다.
처리 가스들은 처리 가스 소스(48)로부터 지지 부재(16) 근처에 배치된 가스 분배기(22)를 통해 처리 챔버(10) 안으로 주입된다. 각각의 처리 가스, 또는 대안적으로 처리 가스의 혼합물에 대한 가스유량제어장치(미도시)가 처리 가스들의 개별적인 유량을 조절하기 위해서 처리 챔버(10)와 처리 가스 소스(48) 사이에 배치된다.
플라즈마 영역(14)은 처리 챔버(10), 기판 지지 부재(16) 및 천장(13)에 의해 한정된다. 플라즈마는 전력 공급기(27)로부터의 전력을 RF 정합망(35)을 통해 유도성 코일들(26)에 공급함으로써 처리 가스들로부터 플라즈마 영역(14)에 생성된다. 지지 부재(16)는 그 내부에 배치된 전극을 포함할 수 있으며, 이 전극은 전극 전력 공급기(28)에 의해 전력을 공급받아서 RF 정합망(25)을 통해서 처리 챔버(10) 안에 용량성 전기장을 생성한다. 통상적으로 RF 전력이 지지 부재(16) 내의 전극에 인가되며, 몸체(12)는 전기적으로 접지된다. 지지 부재(16)의 평면을 가로지르는, 용량성 전기장은 기판(220)의 보다 많은 이방성 에칭을 제공하기 위해서 대전된 종들의 방향성에 영향을 준다.
처리 가스들과 에칭액 부산물들은 처리 챔버(10)로부터 배기 포트(34)를 통해 배기 시스템(30)으로 배출된다. 배기 시스템(30)은 처리 챔버(10)의 저면(17)에 배치될 수 있으며, 또는 처리 가스들의 제거를 위해서 처리 챔버(10)의 몸체(12)에 배치될 수 있다. 스로틀 밸브(32)는 처리 챔버(10) 내의 압력을 조절하기 위해서 재기 포트(34)에 제공된다.
도 1a는 본 발명의 일 실시예에 따라서 처리 챔버(10)와 동작적으로 연결된 종점 검출 시스템(164)을 추가로 도시한다. 본 발명의 실시예들에 따라서, 적어도 2개의 광학적 액세스 포트 또는 뷰포트가 기판 지지 부재(16)의 다른 영역에 제공된다. 일 실시예에서, 적어도 하나의 액세스 포트는 주변이 아닌(non-peripheral) 영역에 제공된다. 또 다른 실시예에서, 기판 지지 부재(16)의 중심 영역에 적어도 하나의 윈도우가 제공된다. 도 1a에 도시된 예에서, 2개의 광학적 액세스 포트는 주변 영역(16P)에 위치한 윈도우(110), 및 중심 영역(16C)에 위치한 윈도우(112)를 포함한다.
엔드포인트 검출 시스템(164)은 하나 이상의 이들 윈도우를 통해 광 신호들을 검출하도록 구성되며, 이에 의해 에칭 중에 포토마스크 기판(220)의 후면으로부터 그 상부에 있는 다양한 위치들의 광학 모니터링이 가능하다. 일 실시예에서, 제3 윈도우(비도시)가 기판 지지 부재(16)의 주변 영역(16P)에 제공될 수도 있다. 대안으로, 다른 개수의 윈도우들이 기판 지지 부재(16)의 다른 위치에 제공될 수 있다.
일반적으로, 보다 큰 윈도우는 기판 지지 부재(16)내의 광학 요소들의 설치를 용이하게 한다. 그러나, 기판 지지 부재(16)가 RF 바이어싱되는 장치에 대하여, 윈도우의 크기, 특히 기판 지지 부재(16)의 중심 영역(16C)에서의 윈도우의 크기는 광학 모니터링을 위해 충분히 크지만 RF 바이어스에 대한 잠재적인 악영향을 피하기에 충분히 작도록 선택된다. 작은 윈도우를 선택하면 또한 지지 부재(16)의 측면 온도 균일성을 향상시킨다. 광 액세스 포트는 석영 또는 광 파장(optical wavelength) 스펙트럼에 대하여 광을 투과시키는 다른 재료들로 제조된 평편한 윈도우를 일반적으로 포함한다. 상이한 광 구조의 보다 상세한 논의는 이후의 섹션에서 제공될 것이다.
먼저 도 2를 참조하면, 도 2는 본 발명의 일 실시예에 따른 엔드포인트 검출을 위해 모니터링되는 포토마스크 기판(220)의 여러 위치들을 개략적으로 도시하고 있다. 기판(220)의 중심 영역(225)은 리소그래피 목적을 위해 패터닝되는 포토마스크의 영역으로 규정되는 한편, 주변 영역은 패터닝된 중심 영역의 외부이며, 엔드포인트 또는 다른 프로세스 매개변수의 모니터링을 위해 이용되는 패턴 또는 특 징부(features)를 포함한다. 기판 지지 부재(16)에 배치된 여러 윈도우들이 점선으로 도시된다. 예를 들어, 포토마스크 기판(220)이 기판 지지 부재(16)에 관하여 중심에 배치될 때, 윈도우(112)를 통한 광 액세스는 포토마스크(220)의 중심 부근의 영역(222)의 모니터링을 가능하게 하는 한편, 주변 영역(227)에 있는 영역들(224 및 226)은 윈도우(114 및 110)를 통해 모니터링될 수 있다. 일 실시예에서, 모니터링되는 영역들(224 및 226)은 각각 포토마스크(220)의 일 측부를 따라서 그리고 모서리를 따라서 배치된다. 다른 실시예에서, 모니터링되는 영역(224)은 포토마스크(220)의 일 측부상에, 가령 포토마스크(220)의 중심에 관하여 x-방향을 따라서 측부의 중심점에 위치되는 한편, 포토마스크(220)의 인접한 측부에, 가령 포토마스크(220)의 중심에 관하여 y-방향을 따라서 위치된 다른 영역(224A)은 다른 윈도우(114A)를 따라서 모니터링된다. 112, 114 및 114A와 같은 윈도우들을 통해 얻어진 광 신호들은 x- 및 y-방향을 따라서, 또는 보다 일반적으로는 서로에 수직인 방향들을 따라서 중심 대 에지 균일도를 얻기 위해서 사용될 수 있다.
포토마스크(220)의 중심 영역(225)에 있는 222A와 같은 상이한 영역들의 모니터링을 가능하게 하기 위해 하나 이상의 윈도우들(112A)이 기판 지지 부재(16)에 제공될 수도 있다. 부가적인 윈도우들(122A, 114A)은 에지 대 중심 에칭 프로파일의 결정을 용이하게 한다. 예를 들어, 프로세스 균일도에 관한 정보, 가령 에지 대 중심 에칭 프로파일은, 포토마스크(220)의 상이한 영역 또는 위치에서 엔드포인트 결과를 비교함으로써, 가령, 영역들(222, 224 및 224A)로부터의 신호들에 기초하여 얻어질 수 있다. 윈도우들은 적어도 하나의 윈도우들(122, 122A)이 에칭되고 있는 특징부 아래에 있음을 보증하기 위해 사용될 수도 있다.
도 1a를 다시 참조하면, 엔드포인트 검출 시스템(164)은 반사 또는 투과 모드에서 동작시키기 위한 광학적 셋업을 포함하며, 반사율 또는 투과율, 간섭, 또는 광 방사 분광과 같은 서로 다른 유형의 측정을 위해 구성된다. 관심 있는 어플리케이션, 가령 프로세싱되고 있는 재료층 또는 기판 구조에 따라서, 엔드포인트는 반사 또는 투과 밀도의 변화, 간섭 줄무늬의 수, 또는 특정 파장에서의 광 방사 밀도의 변화, 또는 이들의 조합에 기초하여 검출될 수 있다.
동작의 반사 모드는 수행될 반사율(또는 반사측정(reflectometry)) 및 분광 측정을 가능하게 한다. 엔드포인트(164)는 일반적으로 광 소스(166), 광 소스(166)로부터의 입사 광선(176)을 기판(220)의 후면에 있는 영역 또는 점(180)으로 포커싱하는 포커싱 어셈블리(168), 및 기판(220)의 영역(180)을 반사하는 리턴 광학 빔(178)의 밀도를 측정하는 광검출기(170)를 포함한다. 광검출기(170)는 일반적으로 단일 파장 또는 다중 파장 검출기, 또는 분광계일 수 있다. 반사된 광선(178)의 측정된 신호에 기초하여, 컴퓨터(172)는 실시간 파형의 부분들을 계산하고 이를 저장된 특징 파형 패턴과 비교하여, 에칭 프로세스에 관한 정보를 추출한다. 이 경우, 계산은 가령 막이 관통하여 에칭될 때 반사 모드나 투과 모드 중 어느 하나에서 검출된 신호의 기울기 변화 또는 다른 특징 변화에 기초할 수 있다. 대안으로, 계산은 트렌치의 깊이 또는 막의 두께가 에칭 동안 변화할 때 간섭 신호에 기초할 수 있다. 다른 실시예에서, 보다 상세한 계산은 에칭 프로세스에서 임의의 점에서의 깊이 또는 두께를 결정하거나, 에칭되고 있는 피쳐의 측면 치수를 결정하기 위하여 광 스펙트럼에 대하여 얻어진 반사 및 투과 데이터에 기초하여 수행될 수 있다.
광 소스(166)는 단색광, 다색광, 백색광, 또는 다른 적절한 광 소스일 수 있다. 일반적으로, 반사된 빔(178)으로부터의 광 신호는 분석되어 층(가령 금속 함유 층)의 존재 또는 부존재, 또는 영역(180)내에 있는 특정 재료 층의 두께에 관한 정보를 추출한다. 입사광선(176)의 밀도는 리턴 빔(return beam, 178)에 측정가능한 밀도를 제공하기에 충분히 크도록 선택된다. 일 실시예에서, 광 소스(166)는 단색광을, 가령 약 200 nm 내지 약 800 nm, 또는 약 400 내지 800 nm의 파장 범위에 있는 광을 생성하는 발광다이오드(LED) 또는 Hg-Cd 램프로부터 제공한다. 다색광 소스(166)는 선택된 주파수를 갖는 입사 광선(176)을 제공하도록 필터링될 수 있다. 커러 필터들은 광 검출기(170)의 앞에 배치되어 광 검출기(170)에 입사하는 리턴 광선(178)의 밀도를 측정하기 전에 광의 원하는 파장을 제외한 모든 파장을 필터링할 수 있다. 광은 분광기(파장 분산 요소를 갖는 어레이 검출기)에 의해 분석되어 가령 자외선 내지 가시광과 같은 약 200 nm 내지 800 nm의 넓은 파장 범위에 대하여 데이터를 제공할 수 있다. 광 소스는 연속 또는 펄스 모드로 동작하도록 구성될 수 있다. 연속 검출의 경우, 플라즈마 방사의 출력 밀도보다 더 큰 출력 밀도를 갖는 광 소스를 구비하는 것이 바람직하다. 다중 파장 출력을 갖는 광 소스의 경우에, 밀도가 플라즈마로부터의 대응하는 파장의 밀도보다 더 큰 파장을 선택할 수 있다. 펄스 모드 동작에 대하여, 이러한 광 소스 밀도의 요건은 검출기가 광 소스 및 플라즈마로부터의 밀도에 의해 포화되지 않는 한 완화될 수 있 다.
다양한 광원 옵션들은 펄스 모드 동작에 사용가능하다. 예를 들어, 관원(166)은 고정되거나 연속하는 방사 출력을 제공하는 임의의 적절한 소스가 될 수 있다. 셔터(비도시)는 신호 검출을 위한 교번하는 빔 오프/온 사이클들을 제공하기 위해 광원(166)으로부터 출력 빔을 차단하고 차단 해제하도록 제공될 수 있다. 빔 "온" 주기 동안 포착된 신호는 플라즈마 방사로부터의 보급들 및 광원(166)에 의해 유도된 신호를 포함하지만, 빔 "오프" 주기 동안 포착된 신호는 플라즈마 방사에 해당할 것이다. 빔 "온" 신호에서 빔 "오프" 신호를 감산함으로써 개선된 측정이 발생하며, 이는 플라즈마 방사로부터의 감재적인 간섭이 제거될 수 있기 때문이다. 상기 데이터 감산 루틴은 엔드포인트 검출 시스템과 연관된 알고리즘의 일부로서 제공될 수 있다.
펄스 모드 동작은 예를 들어 도 7에 도시된 것과 같이 광원(166)이 교번하는 사이클들에서 스위칭 온 및 오프 되도록 구성함으로써 달성될 수 있다. 도 7의 실시예에서, 레티클(700)은 플라즈마(702) 하단의 에칭 챔버에 위치된다. 엔드포인트 검출 시스템(704)은 기판 지지부(비도시)에 형성된 하나 또는 그 이상의 윈도우들을 통해 레티클(700)의 하단을 관찰하도록 위치된 하나의 단부를 가지는 광섬유 번들(706)을 포함한다. 광섬유 번들(706)은 광원(166)으로부터 발생된 신호를 전달하고, 레티클(700)을 검출기(170)로 반사한다. 일반적으로, 광원을 셔터링 또는 스위칭하는 것은 듀티 사이클들 및 신호 포착 시간들의 다양한 조합들에서 형성되며, 예를 들어, 광원 듀티 사이클은 백그라운드 감산을 위해 검출기 듀티 사이클과 매칭하도록 선택된다. 광원의 강도는 전하 결합 소자(CCD) 또는 다른 적절한 디바이스와같이 검출기(170)를 포화시키는 것을 방지하도록 조정할 수 있다. 만약 펄스 지속 시간이 검출기 샘플링 시간보다 짧으면, 램프는 적분에 의한 더 높은 전체 강도를 형성하기 위해 다수 회 펄스가 발생될 수 있다. 일 실시예에서, 듀티 사이클의 50%가 사용된다. 광원이 온일 때(또는 셔터가 개방될 때), 검출기에 의해 감지된 광은 램프로부터의 광과 플라즈마로부터의 광 모두를 포함한다. 광원이 오프될 때(또는 셔터가 닫힐 때), 검출기에 의해 감지된 광은 플라즈마로부터의 광만을 포함한다. 신호들간의 차이를 사용하여, 플라즈마로부터의 광의 백그라운드 공급은 검출된 신호가 감산될 수 있고, 따라서 더 정확한 엔드포인트 표시를 제공할 수 있다.
선택적으로, 동일하지 않은 샘플링 주기들이 백그라운드 감산을 위해 사용될 수 있다. 예를 들면, CCD와 같은 검출기를 위한 샘플링 시간은 광원 "온" 주기 동안 짧게 유지될 수 있고, 이후에 백그라운드 플라즈마 방사기 수집되는 광원 "오프" 주기 동안 긴 샘플링 시간이 유지될 수 있다. 이는 방사 자체가 투과 모니터링에서와 같이 제 2 신호로서 사용되는 경우에 백그라운드 플라즈마 방사에서 잡음을 감소시키는데 유용할 수 있다.
신호 포착 시간 및 광원 "온" 주기의 선택은 과원의 강도 및 특정 응용에 따라 결정될 수 있다. 일반적으로, 상대적으로 낮은 강도의 출력을 가지는 광원을 사용하는 것은 더 긴 신호 포착 시간을 필요로 한다. 일 실시예에서, 빔 "온" 주기는 약 0.1초 내지 약 2초의 범위를 가질 수 있다. 광원(166)은 예를 들면 He-Ne 또는 ND-YAG 레이저와 같은 선택된 파장 또는 광 방출 다이오드(LED)와 같은 고체 상태 소스에서 광학 방사를 제공하는 단일 파장 소스가 될 수 있다. 다른 옵션들은 Grimbergen의 미국 특허 6,534,756에 개시된 것과 같은 수소(H2), 중수소(D2) 증기 램프와 같은 다양한 방전 램프들 또는 다수의 파장들에서 방사 출력들을 가지는 공음극 램프를 포함한다. 일 실시예에서, 광원(166)은 서로 다른 파장 영역들에서 방사 출력들을 제공하는 다수의 LED들을 포함한다. 예를 들어, 광원(166)은 하기 사항들 중 적어도 하나를 포함할 수 있다: 자외선(UV) 영역의 LED, 적외선(IR) 영역의 LED, 광대역(예를 들면, 백색광) 출력을 가지는 LED 또는 이들의 조합들. 예컨데, 370nm(UV), 390nm(UV), 400-700nm(백색광), 800nm(IR), 1300nm(IR), 1500nm(IR)과 같은 서로 다른 출력 파장들을 가지는 LED들의 조합을 사용할 때, 약 350nm 내지 약 1500nm와 같은 UV로부터 IR 영역으로의 스펙트럼 출력이 달성될 수 있다. 상기 경우에, 광원(166)은 개별 LED들과 결합된 섬유들을 가지는 출력 섬유 번들이 제공될 수 있다.
도 1a에 도시된 실시예들로 되돌아가서, 하나 또는 그 이상의 볼록 포커싱 렌즈들(174a, 174b)은 입사광선(176)을 기판 표면 위의 영역(18)에 포커싱하고, 반사광선(178)을 광 검출기(170)의 활성 표면에 다시 포커싱하도록 사용될 수 있다. 영역(180)은 기판(220)의 표면 형상 및 디바이스 설계 특징들에서의 변화들을 보상하도록 충분히 커야한다. 이는 바이어스 또는 깊고 좁은 트렌치들과 같이 밀집하여 존재하거나 더 이격될 수 있는 작은 개구부들을 가지는 높은 양상 비율 특징들을 위한 에칭 엔드포인트들의 검출을 가능하게 한다. 반사광선의 영역은 광 검출기(170)의 활성 광-검출 표면의 큰 부분을 작동시키기 위해 충분히 커야한다. 입사 및 반사 광선들(176, 178)은 광선들이 프로세싱 환경의 내부 및 외부를 통과하도록 하는 프로세스 챔버(10) 내의 투명한 윈도우(110)를 통해 투과된다. 렌즈들(172a 및 174b)이 도 1A에 윈도우(110)에서 떨어져서 장착된 것으로 도시되지만, 실제로는 도 1B에 도시된 것과 같이 윈도우(110)에 인접하여 장착될 수 있다. 입사 및 반사 광선들(176, 178)은 일반적으로 광 섬유들을 통해 엔드포인트 검출 시스템(164)에 결합될 수 있다. 윈도우들로/부터 광선들을 결합하기 위한 광 섬유들의 사용은 기판 지지 멤버(16)와 검출기 전기회로들 사이에 전기적인 분리가 유지되게 한다.
빔 스폿(180)의 직경은 일반적으로 약 2mm 내지 약 10mm이다. 그러나, 만약 빔 스폿(180)이 적은 수의 에칭 특징들을 가지는 기판의 큰 이격된 영역들을 포함한다면, 더 많은 수의 에칭 특징들을 포함하기 위해 더 큰 빔 스폿을 사용해야 한다. 빔 스폿의 크기는 특정 디바이스를 위한 설계 특징들에 따라 최적 사용될 수 있다. 만약 신호가 충분하면, 큰 빔 스폿 또는 시계는 기판 지지 홀 및 신호에 상승을 제공하는 기판의 에칭된 영역의 위치를 정확히 매칭시키지 않고 처리 제어가 가능할 것이다.
선택적으로, 광선 고정 장치(184)는 에칭 프로세스를 관리하기 위해 빔 스폿(180)을 위치시킬 기판의 적절한 부분을 배치하기 위해 기판(220)을 통해 입사광선(176)을 이동하도록 사용될 수 있다.
광선 포지셔너(184)는 광원(166)으로부터의 광선을 기판 표면의 서로 다른 위치로 편향시키기 위해 작은 각도로 회전하는 하나 이상의 제 1 미러(186)를 포함할 수 있다. 리턴 광선(178)을 광검출기(170)에 조사하기 위해 (도시하지 않은) 추가 제 2 미러들이 사용될 수도 있다. 광선 포지셔너(184)는 기판(220)의 배면 전역에서 래스터 패턴으로 광선을 스캔하는데 사용될 수도 있다. 이 실시예에서, 광선 포지셔너(184)는 (도시하지 않은) 구동 스테이지로 구성된 스캐닝 어셈블리를 포함하며, 그 위에는 광원(166), 포커싱 어셈블리(168) 및 검출기(170)가 실장된다. 구동 스테이지는 스텝퍼 모터나 검류계와 같은 구동 메커니즘에 의해 설정 간격으로 이동하여 기판(220) 전역에서 빔 스폿(180)을 스캔할 수 있다.
광검출기(170)는 태양 전지, 포토다이오드 또는 포토트랜지스터와 같은 감광 전자 성분을 포함하며, 이는 리턴 광선(178)의 측정된 강도에 응답하여 신호를 제공한다. 신호는 전기 성분에 인가된 전압의 변화 또는 전기 성분을 통과하는 전류의 레벨 변화 형태일 수 있다. 광검출기(170)는 또한 분광계(파장 분산 엘리먼트를 가진 어레이 검출기)를 포함하여 자외선에서 가시광선과 같이 약 200㎚ 내지 800㎚의 넓은 파장 범위에 걸쳐 데이터를 제공할 수 있다. 리턴 광선(178)은 광선의 강도를 높이거나 낮추는 건설적인 그리고/또는 파괴적인 간섭을 받고, 광검출기(170)는 반사된 광선(178)의 측정된 강도와 관련하여 전기 출력 신호를 제공한다. 전기 출력 신호는 반사된 광선(178)의 다양한 강도에 대응하는 다수의 파형 패턴을 갖는 스펙트럼을 제공하도록 시간의 함수로서 작성된다.
컴퓨터 시스템(172) 상의 컴퓨터 프로그램은 반사된 광선(178)의 측정된 파 형 패턴의 형상을 저장된 특성(또는 기준) 파형 패턴과 비교하여, 측정된 파형 패턴이 특성 파형 패턴과 동일할 때 에칭 프로세스의 엔드포인트를 결정한다. 이와 같이, 간섭 신호의 주기는 깊이 및 에칭 레이트를 계산하는데 사용될 수 있다. 프로그램은 또한 측정된 파형에 대해 작동하여 변곡점과 같은 특성 파형을 검출할 수 있다. 작동은 변곡점을 검출하기 위해 변동(moving) 도함수를 구하는 등 간단한 수학적 연산일 수 있다. 도 1a는 엔드포인트 시스템(164)에 접속된 컴퓨터 시스템(172)을 나타내며, 이는 시스템의 다른 엔드포인트 검출기로부터의 데이터 처리에도 사용된다.
도 1a는 기판 지지 부재(16)에서 광 액세스 포트 또는 윈도우(110, 112)의 상대적 포지셔닝을 설명하기 위한 것이다. 2개의 대안적인 광 구성의 상세한 단면도가 도 1b에 개략적으로 도시된다. 기판 지지 부재(16)는 리세스부(132, 134)를 구비하며, 이들은 개구 또는 채널(136, 138)에 개별적으로 접속되어 기판(220) 뒷면에 대한 광 액세스를 가능하게 한다. 리세스부(132, 134)는 윈도우(124, 126)에 대해 각각 진공 밀폐하기 위한 O-링 및 그루브(142, 144)를 구비한다. 한 가지 구성은 윈도우(124)를 통한 반사 측정치에 기반한 엔드포인트 검출을 설명하며, 섬유(121)의 입사광은 기판(220) 상에 렌즈(123)에 의해 포커싱된다. 기판(220) 뒷면으로부터 리턴되는 신호는 렌즈(123)에 의해 시준되고 섬유(125)를 통해 엔드포인트 검출 시스템(164)에 연결된다. 렌즈(123)에는 서로 다른 초점 길이가 사용될 수 있으며, 일 실시예에서는 약 15㎜의 초점 길이가 사용된다. 다른 실시예에서, 시준 렌즈(123)는 생략될 수 있으며, 이 경우 섬유(121, 125)는 윈도우(124)를 향 하여 올려질 수 있다. 특정 측정 및 광 구성에 따라, 섬유(121, 125)는 단일 섬유 또는 (1보다 많은 섬유를 갖는) 섬유 번들을 말할 수 있다. 다수의 섬유 사용은 예를 들어 개선된 신호 세기 및 서로 다른 영역의 동시 샘플링을 포함하여 추가 능력을 제공한다.
다른 구성은 윈도우(126)를 통한 투과 측정에 기반한 엔드포인트 검출을 설명한다. 투과 신호, 예를 들어 플라스마 방사 또는 외부 광원은 윈도우(126)를 통과하고 검출을 위해 섬유(127)에 의해 수집된다. 도 1B에 나타낸 것과 같이, 개구 또는 채널(138)에는 기판 지지 부재(16)의 표면 근처에 점점 가늘어지는 또는 원뿔꼴 섹션(140)이 제공된다. 원뿔꼴 섹션(140)은 안쪽 부분, 즉 리세스부(134)에 더 가까운 부분과 비교하여 상부에 더 큰 지름(또는 측면 치수)을 갖는다. 이러한 설계는 더 넓은 크기의 윈도우(126) 사용을 필요로 하지 않고 기판(220)에 더 넓은 시야 또는 샘플링 범위를 제공한다는 장점을 갖는다. 일 실시예에서, 원뿔꼴 섹션(140)은 약 0.22의 숫자상의 개구를 갖는 섬유에 사용하기 위해 약 25°의 충분한 각도로 시야를 제공하도록 형성된다. 시야는 섬유(127)와 윈도우(126) 간의 거리를 조정함으로써 변경될 수도 있다. 선택적으로, 섬유(127)를 방사에 연결하는데 발산 렌즈가 사용될 수도 있다.
당업자들에게 알려진 다양한 하드웨어를 사용하여 다양한 광 성분이 기판 지지 부재(16) 내에 실장되어 고정되며, 이들은 간결성을 위해 도 1b에서 생략되었다. 기판 지지 부재(16)는 도전 물질, 예를 들어 양극화된 알루미늄으로 만들어지기 때문에, 실장 하드웨어는 비도전성이거나 그렇지 않으면 기판 지지 부재(16)와 절연된다. 개구(136, 138) 및 리세스부(132, 134)의 크기는 특정 설계 및/또는 프로세스 요구에 따라, 예를 들어 광선 스폿 크기, 바람직한 샘플 면적, RF 바이어스에 대한 최소 충격 등과 같은 요소들을 고려하여 달라질 수 있다. 예를 들어, 리세스부(132, 134)는 몇 밀리미터(㎜) 내지 몇 센티미터(㎝) 범위의 지름을 가질 수 있는 한편, 개구(136, 138)는 약 1센티미터까지의 지름을 가질 수 있다. 일 실시예에서, 약 7㎜의 지름을 가진 개구가 약 2㎜의 빔 스폿 크기에 사용된다. 다른 설계 대안은 기판 지지 부재(16)에 대한 RF 바이어스의 잠재적인 충격을 최소화하기 위해 윈도우 상에 도전성 그리드 또는 도전성 투명 코딩의 제공을 포함할 수도 있다.
엔드포인트 검출 시스템(164)은 기판 표면의 임의의 영역에 배치된 패턴들을 검출하도록 구성될 수 있다. 특정 엔드포인트 검출 기술에 따라, 기판 상의 패턴들은 포토마스크 상의 임의의 적합한 장치 피처(feature)일 수도 있고, 엔드포인트 검출을 용이하게 하는 특정 피처 설계 또는 치수를 가진 테스트 패턴일 수도 있다. 예를 들어, 이러한 테스트 패턴들은 단일 또는 다른 피치 및/또는 라인 폭을 갖는 라인/공간 패턴일 수 있다.
도 1c는 개구(136, 138), 윈도우(124, 126), 기판 지지 부재(16) 및 기판(220)의 상대적 위치의 일 실시예를 보여주는 개략적인 상면도이다. 기판(220)의 측면 또는 에지(220E)는 기판 지지 부재(160)의 에지(16E)를 넘어 연장한다. 도시된 바와 같이, 기판(220)의 주변 영역(227)과 중심 영역(225) 사이의 경계부는 파선으로 표시된다. 개구부(138)는 중심 영역(225)의 엔드포인트를 모니터링하는데 사용된다. 비록 개구부(136)가 기판(220)의 주변 영역 및 중심 영역(225)을 모두 포함하는 영역을 커버링하지만, 예를 들어, 특히 에칭 균등 정보를 획득하기 위해, 엔드포인트 모니터링 목적에 여전히 사용될 수 있다. 택일적으로, 만일 개구부(136)를 통한 엔드포인트 모니터링이 주변 영역(227)에 제공된 특정 테스트 패턴으로부터의 신호에 기초하면, 이러한 엔드포인트 모니터링은 검출된 신호가 엔드포인트의 시야각 내에 있는 중심 영역(225)의 피쳐로부터 발생할 수도 있는 간섭으로부터 실질적으로 자유롭다. 통상적으로, 원치 않는 간섭을 방지하기 위해, 테스트 패턴은 기판(220)의 중심 영역(225)의 피쳐로부터 충분하게 이격된 위치에 제공된다. 일 실시예에서, 하나 이상의 테스트 패턴이 기판(220)의 에지(220E)로부터 약 10mm까지의 거리에 제공되며, 개구는 엔드포인트 모니터링에 대한 기판 지지 부재(220)의 대응 위치에 제공된다.
도 3은 엔드포인트 모니터링을 위해 주변 영역의 다양한 위치를 갖는 6인치 정사각 기판의 평면도이다. 일 실시예에서, 엔드포인트 검출은 기판의 주변 영역(315) 또는 모서리(325)에 배치된 하나 이상의 테스트 패턴(330)의 모니터링에 기초하여 실행되며, 엔드포인트 검출 시스템(164)은 기판의 이러한 영역 바로 아래에 배치될 수도 있다. 도3에 도시된 바와 같이, 예를 들어, 6인치×6인치 기판의 경우, 엔드포인트 검출 시스템(164)의 윈도우는 기판(220)의 수평 중심 라인(310)으로부터 약2.6-2.9 인치 사이와 같이, 최소 약2.6인치, 및 기판(220)의 수직 중심 라인(320)으로부터 약2.6-2.9 인치 사이와 같이, 최소 약 2.6인치에 배치될 수도 있다. 윈도우(112)는 통상적으로 라인(310, 312)의 교차부에 위치된다. 윈도 우(122A)는 통상적으로 기판의 중심 영역 내의 영역들을 모니터링하기 위해 기판 지지 부재(16)의 평면 중심으로부터 2.6인치보다 작게 위치된다. 일 실시예에서, 테스트 패턴은 빔 스폿보다 거의 동일하거나 더 큰 크기를 갖는다.
동일한 테스트 패턴을 가진 각각의 기판으로부터 반사된 광 빔은 엔드포인트 검출 시스템(164)에 의해 검출될 때 동일한 파형 패턴을 갖도록 구성된다. 이러한 방식에서, 동일한 테스트 패턴으로부터 도출된 파형 패턴은 챔버가 특정 프로세스법에 따라 동작하는지, 그리고 원하는 에칭 결과가 상이한 기판들로부터 획득되는지를 결정하는데 사용될 수도 있다.
테스트 패턴 또는 다양한 수치 및/또는 디자인이 주변 영역에 제공될 수 있지만, 포토마스크의 중심 영역에서 이러한 패턴의 배치는 훨씬 제한적이다. 따라서, 중심 영역의 엔드포인트 모니터링에 대한 특징의 이용성은 장치 설계 및 포토마스크상의 배치에 의존한다. 만일 모니터링된 영역이, 예를 들어, 불충분한 개구 면적으로 인해 모니터링을 위해 충분히 강한 광학 신호를 제공하지 못하면, 택일적인 광학 구성이 시야각을 증가시키거나 다중 샘플링 영역을 제공하기 위해 사용될 수도 있다. 이러한 선택성은 상이한 영역을 샘플링하기 위해 테이퍼링된 단부를 갖는 광섬유 또는 광섬유 다발의 사용을 포함하여, 더 높은 구경(NA)을 갖는 렌즈 및 광섬유와 같은 광학 소자의 사용을 포함할 수도 있다. 더 큰 개구의 광학소자의 사용은 윈도우의 크기를 필수적으로 증가시키지 않고도 샘플링 영역이 증가하게 한다. 다중 광섬유(예를 들어, 광섬유 다발)는 기판의 상이한 영역에서 광 신호가 모니터링되게 한다. 특정한 특징 및 검출 기술에 따라, 기판의 중심 영역에 걸친 상이한 위치와 같은 상기 상이한 영역들로부터의 신호는 개선된 신호를 제공하기 위해 함께 합해지거나, 상이한 신호가 서로 그리고 엔드포인트 검출에 사용하기 위해 선택된 최적의 하나와 비교될 수도 있다. 대부분의 실시예에서, 집광 소자는 기판의 평면과 실질적으로 수직한 방향에서 광 신호를 샘플링하도록 구성된다. 다른 실시예에서, 집광 소자는 비스듬한, 즉 기판에 수직이지 않은 시야각으로부터 동일한 신호를 샘플링할 수도 있다. 이러한 비스듬한 시야 구성은 동일한 집광 소자를 이용하는 수직 구성에 비해 증가된 샘플링 영역을 초래한다.
동작의 투과 모드에서, 엔드포인트 검출 시스템(164)은 시간의 함수로서, 투과율(예를 들어, 전체 광도) 또는 광 방사 신호(예를 들어, 파장 분해 방사(wavelength-resolved emission))를 모니터링한다. 일 실시예에서, 챔버(10)의 플라즈마는 광 방사 모니터링을 위한 광 소스로서 작용한다. 이러한 구성은 반사 모드에 비해 간단한 광학적 구성이 장점인데, 이는 추가의 광 소스를 필요로 하지 않고 단지 하나의 광 섬유가 필요하기 때문이다.
통상적으로 플라즈마 방사는 플라즈마에 존재하는 다양한 종의 특성인 개별 파장의 광을 포함한다. 예를 들어, 방사는 하나 이상의 에천트/반응 물질 또는 에칭 제품종에 대응하는 하나 이상의 파장으로 모티터링될 수 있다. 에칭 엔드포인트에서, 예를 들어, 소정의 재료층이 완전하게 에칭되고 하부층이 노출될 때, 모니터링된 방사 강도는 모니터링된 방사종의 증가 또는 감소가 존재하는 지에 따라 변화한다. 통상적으로, 엔드포인트 검출 시스템(164)의 광 방사 검출 장치(150)는 집광 어셈블리(152), 파장 분산 소자(156) 및 광검출기(158)를 포함한다. 일 실시예에서, 집광 어셈블리(152)는 광섬유(153), 및 선택적으로 광 신호를 광섬유(153)와 결합시키는 렌즈(154)를 포함한다. 파장 분산 소자(156)는 광 신호(178)를 자신의 소자 파장으로 분리시키기 위한 스펙트로미터를 포함할 수도 있다. 다른 실시예에서, 집광 어셈블리(152)는 렌즈 및 거울과 같은 벌크 광학 소자를 포함할 수도 있으며, 파장 분산 소자(156)는 파장의 선택적 영역을 통과시키기 위한 다양한 필터일 수도 있다. 특정 배열에 따라, 광검출기(158)는 특정 파장의 광 신호를 검출하도록 구성되거나, 동시에 상이한 파장의 신호를 검출할 수도 있다. 적절한 광검출기는 특히, 광다이오드, 광멀티플라이어 튜브 또는 전하 결합 장치를 포함할 수 있다.
비록 도 11a의 실시예가 엔드포인트 검출 시스템(164)의 다양한 광학 소자에 결합된, 예를 들어, 반사 및 투과 신호인, 윈도우(110)로부터의 상이한 광학 신호를 도시하지만, 윈도우(110 및 112)를 통해 모니터링된 두 신호들은 광 신호의 동일한 타입, 예를 들어, 모두 반사 신호이거나 투과 신호 등일 수도 있다. 게다가, 윈도우(110 및 112)로부터의 두 광 신호는 동일한 광검출기에 결합될 수도 있다. 예를 들어, 만일 이미징 광검출기가 사용되면, 하나의 윈도우로부터의 플라즈마 방사 신호는 검출기 소자의 제1 세트 또는 검출기의 픽셀로 영상화되고, 제2 윈도우로부터의 다른 방사 신호는 검출기 소자의 제2 세트 또는 동일한 검출기의 픽셀로 영상화될 수도 있다.
게다가, 비록 도 1a가 기판 지지 부재(16)에 배치된 윈도우들(110, 112)만을 도시하고 다른 광학 컴포넌트들이 기판 지지 부재(16) 외부에 도시될지라도, 이러 한 설명은 도면에서의 명확함을 위하여 부분적으로 예시적이다. 엔드포인트 검출 시스템(164)의 하나 이상의 광학 컴포넌트들, 예컨대 윈도우들, 광섬유들, 렌즈들, 광검출기들 등이 기판 지지 부재(16)내에 배치되거나 또는 내장될 수 있거나, 또는 광학 액세스 윈도우(110 또는 112)와 집적될 수 있다는 것이 이해되어야 한다. 또한, 신호 검출을 위한 다른 광학 측정부들 및 구성들의 다른 조합들이 기판의 두개 이상의 위치들에서 엔드포인트 모니터링하기 위하여 유리하게 사용될 수 있다.
다른 실시예에 있어서, 플라즈마 소스와 관련하여 또는 플라즈마 소스 대신에 외부 광 소스(190)를 사용하면 능력들이 확장될 수 있거나 또는 투과율 측정과 관련하여 여러 장점들이 제공된다. 투과 모드동안, 외부 광 소스(190)는 천장(ceiling)(13) 상에 제공된 윈도우(192)를 통해 챔버(10)내에 결합될 것이다. 투과율 측정을 위하여 외부 광 소스(190)를 사용하면, 외부 광 소스(190)가 에칭 공정으로부터 발생하는 변동들에 영향을 받는 플라즈마 방사보다 더 안정한 신호를 제공할 수 있기 때문에 플라즈마 소스에 비하여 장점이 제공된다. 외부 광 소스(190)는 플라즈마 종(species)으로부터의 잠재적인 간섭에 자유로운 선택된 파장들에서 모니터링할 수 있도록 구성될 수 있다. 광 소스(166)와 유사하게, 외부 광 소스(190)는 예컨대 플라즈마 방사 등으로부터의 가능한 변동들을 제거함으로서 엔드포인트 검출 능력들을 강화하는 다양한 신호 처리 옵션들이 가능하도록 펄스 모드(pulsed mode)에서 동작될 수 있다. 광 소스(190)를 사용하는 펄스 소스 동작에 대한 세부사항들은 소스(166)와 관련하여 이전에 기술된 것들과 유사하다. 다른 실시예들은 반사 및 전달 측정을 위하여 펄스 소스를 사용할 수 있다. 다른 실시예에서, 외부 광 소스(190)는 기판 지지 부재(16)의 광학 액세스 윈도우(도시안됨)를 통해 제공될 수 있으며, 전달 신호는 윈도우(192)를 통해 모니터링된다.
반사율 모니터링의 예로서, 광 소스(190)로부터의 출력은 포토마스크와 같은 기판(220)상의 윈도우(912)를 통과하도록 섬유(194)를 통해 결합된다. 반사된 광(예컨대, 포토마스크상의 피처(feature)로부터 벗어난 광)은 시준 렌즈(196)에 의하여 수집된후 다른 섬유(197)에 결합되어 광대역 스펙트로미터 검출기(198)로 안내된다. 스펙트로미터(198)는 제 1 스펙트럼을 기록하기 위하여 그것의 파장 성분들, 예컨대 약 200nm 내지 800nm으로 광을 분리한다.
제 2스펙트럼은 펄스 소스로부터 벗어나 수집된다. 이는 제 1 스펙트럼으로부터 제거될 수 있는 배경 스펙트럼을 제공한다. 단지 반사된 광으로부터 야기되는 차이 스펙트럼은 플라즈마 광에 의하여 영향을 받지 않을 것이다. 두개의 스펙트라를 수집하는 이러한 시퀀스는 에칭 공정동안 각각의 데이터 포인트에 대하여 반복된다. 결과로서, 플라즈마의 임의의 변화들은 플라즈마 방사가 비교적 강한 경우에 발생할 있는 것처럼 측정된 반사율에 영향을 미치지 않을 것이다.
기판(포토마스크)이 유전체, 예컨대 투명하기 때문에, 배경 제거를 수행한 반사 측정 셋업은 기판(220)의 어느 한 측면으로부터 수행될 수 있다. 즉, 섬유 번들 및 시준 광학기는 천장 윈도우(192)를 통해 기판(220)으로부터 신호를 수집하기 위하여 천장(13)상에 배치될 수 있거나 또는 기판의 후면으로부터 모니터링하기 위하여 기판(220) 아래에 배치될 수 있다.
아래로부터(즉, 기판을 통해) 모니터링하는 엔드포인트의 후자의 구성은 적 어도 두개의 장점들을 제공한다. 첫째, Cr과 같은 흡수층이 에칭되는 경우에, 기판의 후면으로부터의 광학 신호는 기판 상부면으로부터 보는 것과 비교하여 기판 아래로부터 볼때 포토레지스트 마스킹층의 두께의 변화들에 의하여 덜 영향을 받을 것이다. 둘째, 임의의 응용들에서는 작은 광학 샘플링 영역이 바람직하다. 예컨대, 석영 에칭에 있어서, 간섭측정기(interferometry)는 균일한 패턴을 가진 지정된 테스트 영역내에서 측정할때 대부분 정확하다. 따라서, 시준 광학기가 기판에 근접한 후면 모니터링의 사용은 챔버의 천장으로부터 발생하는 빔보다 작은 광학 빔이 사용되도록 한다.
제거 기술은 광 소스 및 검출기가 처리된 기판의 양 측면상에 위치하는 전달 측정방법들에 적용될 수 있다. 이는 천장의 윈도우 및 기판 홀더의 윈도우를 필요로 할 수 있으며, 수집을 위한 광학기를 분리한다.
도 4a-c는 다른 엔드포인트 검출 기술들에 의하여 모니터링될 수 있는 포토마스크 기판의 제조동안 다양한 구조들을 도시한다. 도 4a는 유리 또는 석영층(412)위에 배치된 금속-함유층(414), 예컨대 크롬 산화물 및 크롬을 포함하는 크롬층을 에칭하기 위하여 패터닝된 프토레지스트(416)를 가진 바이너리(binary) 포토마스크 구조(410)를 도시한다. 크롬층(414)을 에칭하기 위한 엔드포인트는 반사 또는 투과 모드 중 어느 한 모드에서 모니터링될 수 있으며, 반사율, 투과율, 및/또는 광 반사 측정들이 수행될 수 있다.
예컨대, 엔드포인트 검출 시스템(164)으로부터의 입사 광선(402)은 기판 지지 부재의 윈도우들중 하나를 통해 포토마스크 기판(410)의 한 영역상에 직접 전달 될 수 있다. 입사빔(402) 및 포토마스크 구조(410)간의 상호작용에 의하여 발생하는 리턴빔(404), 예컨대 크롬층(414)의 후면(또는 크롬층 및 석영층사이의 인터페이스)으로부터 반사하는 리턴빔은 엔드포인트 검출 시스템(164)의 광검출기(170)에 의하여 검출된다. 크롬층(414)의 에치 엔드포인트에서, 점선 화살표(405)에 의하여 도시된 바와같이, 반사 신호는 포토마스크의 개방영역(415)(포토레지스트가 존재하지 않은 영역)내의 크롬층이 제거되기 때문에 감소하며, 이에 따라 개방영역들로부터의 반사된 빔은 손실된다. 게다가, 반사 스펙트럼의 회절 분석은 크롬 피처의 에칭 프로파일을 추정하고 크롬 피처의 풋(foot)이 클리어될때 에칭 공정을 종료하도록 수행될 수 있다. 이러한 분석은 피처의 에칭 프로파일을 제어할 수 있도록 할 것이다.
투과 모드에서, 예컨대 플라즈마로부터 개방 영역(415)을 통과하는 광 방사신호는 모니터링된다. 일 실시예에서, 방사 신호의 전체 세기, 즉 투과율이 측정될 수 있다. 다른 실시예에서, 방사 신호는 하나 이상의 선택된 파장들에서 모니터링되는 파장 분산 성분 및 신호들에 결합될 수 있다. 나머지 크롬 두께가 비교적 작을때 크롬 에칭의 끝 부근에서, 크롬 두께는 전달 신호로부터 추정될 수 있다.
도 4b는 감쇠 위상 반전 마스크(an attenuated phase shift mask)의 제조 동안의 다른 포토마스크(photomask) 구조(420)를 나타낸다. 상기 구조(420)는 석영층(422) 위에 형성되는 위상 반전 물질층(428), 예를 들면 몰리브덴 규화물(MoSi)을 갖는다. 상기 MoSi(428)의 상부 위에 크롬층(424)이 증착되고, 감광층(a photoresist layer)(426)이 이어진다. 상기 감광층(426)은 패턴화되고 상기 크롬층(424)의 에칭 마스크(etch mask)로서 사용된다. 몰리브덴 규화물(MoSi) 층은 그런 다음 마스크로서 작용하는 상기 패턴화된 감광층(426)에 의해 또는 (감광층(426)의 스트립핑(stripping) 이후에) 하드마스크로서 상기 패턴화된 크롬층(424)에 의해서 에칭될 수 있다. 크롬 에칭과 유사하게, MoSi 에칭을 위한 종점이 반사 모드 또는 투과 모드에서 감시될 수 있고, 반사율, 투과율, 또는 광방출 측정이 수행될 수 있다. MoSi가 부분적으로 투과하므로, 간섭 측정들(interferometric measurements)도 종점 감시를 위해 이용될 수 있다.
도 4c는 석영 위상 반전 마스크의 제조를 위한 다른 마스크 구조(430)를 나타내며, 패턴화된 크롬층(434)이 밑에 있는 석영층(432)을 에칭하기 위한 하드마스크로서 작용한다. 상기 석영 기판(432)의 원래, 또는 사전-에칭된 상부면(436)이 도 4C에서 점선으로 나타나 있다. 이 경우, 석영층(432)은 원래 표면(436) 아래의 사전 결정된 일정 깊이(d1)까지 아래로 에칭되어야 한다. 반사 모드에서 종점 검출 시스템(164)을 작동시킴으로써, 특정 파장에서의 리턴 빔(return beam)(178)이 시간에 따라 간섭 데이터, 예를 들면 상이한 두께의 물질층을 통과하는 반사된 빔(178)의 상이한 일부분들 사이의 광 간섭으로부터 발생하는 프린지의 출현(appearance of fringes)을 제공하기 위해 감시될 수 있다. 예를 들면, 입사 광 빔(incident optical beam)의 한 일부분(402A)이 포토마스크(430)의 개방 영역에서 반사되고, 반면에 상기 입사 광 빔의 다른 일부분(402B)은 포토마스크(430)의 마스 크된 영역, 예를 들면 크롬층/크롬 부분(434)을 갖는 영역에서 반사된다. 두 개의 반사된 일부분들(405A, 405B) 사이의 간섭들은 상기 일부분들(405A, 405B)에 의해 통과되는 석영층 두께의 차이를 지시하는 간섭 프린지들(즉, 세기 변조들(intensity modulations))을 생성한다. 반사된 빔의 간섭 프린지들을 감시함으로써, 에칭 깊이(d1)가 획득될 수 있다. 한 실시예에서, 도 1a에서 광원(166)과 연관되어 앞서 기술된 바와 같이, 간섭 종점 감시는 펄스 모드에서 수행된다. 일반적으로, 임의의 협대역 소스가 간섭 감시를 위해 적합할 수 있다. 따라서, 플라즈마 방출이 간섭 감시를 위해 충분히 좁은 대역폭을 갖는 만큼, 광원으로서 플라즈마를 상기 목적을 위해 이용하는 것도 가능하다.
도 5는 종점 검출 시스템을 이용한 Cr 마스크의 에칭 동안에 시간에 따라 동시에 감시되는 세 개의 광 신호들을 나타낸다. 크롬층이 염소 및 산소 기체들을 포함하는 플라즈마를 이용하여 에칭될 수 있다. 상부 트레이스(the top trace)(510)가 Cr로부터 나오는 방출 신호를 감시함으로써, 예를 들면 예컨대 520 nm의 파장에서 Cr로부터의 원자선을 바로 감시함으로써 또는 Cr 방출선 및 염소선(예를 들면, 258nm)을 감시하고 Cr:CI 방출 신호들의 비율을 취함으로써, 획득된다. 통상적으로, 신호대 잡음은 반응체들에 대한 에칭 산물들의 방출 신호들의 비율(또는 그 반대의 비율)을 취함으로써 향상될 수 있다. 크롬 에칭이 종점에 접근함에 따라, 플라즈마의 크롬-함유종(에칭 산물들)의 농도가 감소하고, 결과적으로 상부 트레이스(510)의 지점(512)에서 나타난 바와 같이, Cr 방출 신호(또는 Cr:CI 방출 비율)에서의 상응하는 변화가 일어난다. 일반적으로, 플라즈마를 직접 바라봄으로써, 광 방출 신호는 챔버(10) 내 하나 이상의 윈도우들, 예를 들면 기판 지지 부재(16)나 실링(ceiling)에 제공되는 것들을 통해 감시될 수 있다. 부가하여, 도 1A에 도시된 바와 같이, 예를 들면 방출을 광 방출 검출기 시스템(195)에 커플링함으로써, 플라즈마 방출을 검출하기 위하여 챔버 벽에는 측면 윈도우(193)가 제공될 수 있다. 측면 윈도우(193)를 통한 방출 감시는 하나 이상의 다른 윈도우들을 통한 종점 감시와 함께 수행될 수 있다.
도 4a에 도시된 바와 유사하게, 크롬층의 하부면으로부터 반사된 광으로부터 나오는 반사 신호를 감시함으로써 중간 트레이스(520)가 획득된다. 크롬 에칭이 종점에 접근함에 따라, 마스크의 개방 영역들(415)의 크롬층은 크롬이 에칭되어 감에 따라 더 얇아지고, 결과적으로 일부분(514)에서 나타난 바와 같이 감시된 반사율 신호 세기가 감소한다.
투과율을 감시함으로써 하부 트레이스(530)가 획득된다. 일부분(516)에 나타난 바와 같이, 투과율 신호 세기는 마스크의 개방 영역들(415)의 크롬층이 제거되어 상기 영역들의 석영층을 통과해 방출이 투과될 수 있는 경우 종점을 향해 증가한다.
기판의 둘 이상의 위치들에서의 감시와 커플링된 상기 광 측정 기법들의 사용은, 향상된 종점 검출을 제공함으로써 향상된 처리 제어를 가능하게 한다. 한 실시예에서, 종점 검출 시스템은 반사 모드 및 투과 모드 모두에서 작동하도록 구성된다. 예를 들면, 도 1A를 다시 참조하면, 투과 신호(예를 들면, 투과 또는 플라즈마 방출)는 기판의 중심 범위의 영역을 감시하기 위한 윈도우(112)를 통해 검출되고, 반사 신호(예를 들면, 반사율 또는 간섭)는 기판의 주변 범위의 영역을 감시하기 위한 윈도우(110)를 통해 검출된다. 투과 모드에서 기판의 중심 범위를 감시하는 것은 유용할 수 있는데, 그 이유는 에칭되는 피쳐들 및 액세스 윈도우 사이의 정렬 요구사항이 반사 모드보다 덜 엄격하고, 또한 더 넓은 영역이 감시될 수 있기 때문이다.
따라서, 본 발명의 한 실시예는 상기 본 발명의 장치를 이용하여 구현될 수 있는 방법을 제공한다. 한 실시예에서, 상기 본 발명의 장치는 명령어들을 포함한 컴퓨터 판독 가능 매체들을 포함하는데, 상기 명령어들은 컴퓨터(172) 또는 일반적으로 공지된 바와 같은 에칭 반응기를 제어하기에 적합한 다른 처리기와 같은 제어기에 의해 실행될 때 에칭 챔버가 도 6에 도시된 바와 같은 방법을 수행하도록 유발한다. 컴퓨터 판독 가능 매체들이 지지 회로들 및 처리기를 또한 포함하는 컴퓨터(172)의 메모리에 저장될 수 있음이 고려되어야 한다. 방법(600)은 지지 부재의 중심 범위 및 주변 범위에 각각 배치된 제1 윈도우 및 제2 윈도우를 갖는 상기 기판 지지 부재를 에칭 챔버가 구비하게 되는 단계(602)에서 개시된다. 단계(604)에서 포토마스크가 상기 지지 부재 위에 제공되고, 단계(606)에서 처리 기체가 상기 챔버 내부로 들어간다. 통상적으로 포토마스크 구조상에서 발견되는 상이한 물질들을 에칭하기 위해 할로겐-함유 기체들이 사용된다. 예를 들면, 염소를 함유한 처리 기체가 크롬층을 에칭하기 위해 사용될 수 있고, 반면에 트리플루오로메 탄(CHF3) 또는 테트라플루오로메탄(CF4)과 같은 불소-함유 기체가 석영을 에칭하기 위해 사용될 수 있다. 단계(608)에서는 처리 가스로부터 플라즈마가 생성되고, 단계(610)에서는 제 1 및 제 2 광 신호들이 제 1 및 제 2 윈도우들을 통해 각각 검출된다. 단계(612)에서는, 챔버 내의 플라즈마가 두 검출된 광 신호들 중 적어도 하나로부터 획득되는 정보에 기초해서 종료된다. 또한, 중심부터 에지까지의 균일성과 같은 에칭 프로파일 결과들에 기초해서, 에천트 가스 혼합물, 흐름 속도, 코일 바이어스 등과 같은 처리 파라미터들이 처리의 최적화를 위해서 조정될 수 있다.
기판의 여러 상이한 위치들에서의 동시적인 모니터링을 위해 하나 이상의 광학 측정 기술을 적용함으로써, 본 발명의 실시예들은 개선된 처리 모니터링 및 제어 성능들을 갖는 향상된 장치 및 방법을 제공한다. 이러한 개선점들은 또한 낮은 개방 영역을 갖는 포토마스크 에칭 애플리케이션들에 대해 신뢰성 있는 엔드포인트 검출을 가능하게 한다. 예컨대, 크롬에 대해서는 대략 3% 미만의 개방 영역을 갖고 몰리브덴 실리사이드에 대해서는 대략 1% 미만의 개방 영역을 갖는 에칭 포토마스크들에 대해서는 광 방출 엔드포인트 검출이 주로 사용되었고, 위상 시프트 마스크 애플리케이션들에 대한 낮은 개방 영역 크롬 및 석영 에칭을 위해서는 반사측정이 주로 사용되었다. 중심에서 에지까지의 에칭 균일성을 위한 정보를 제공하는 것을 제외하고도, 상이한 패턴 밀도들을 갖는 영역들로부터 발생하는 에칭 속도 변경들이 본 발명의 엔드포인트 검출 시스템을 사용하여 여러 광 신호들을 모니터링함으로써 또한 획득될 수 있다. 예컨대, 상이한 피쳐 크기 또는 패턴 밀도들을 갖 는 테스트 패턴들이 포토마스크의 주변 지역의 여러 영역들에서 제공될 수 있고, 모니터링되는 광 신호들이 해당하는 패턴 밀도들의 적절한 에칭 엔드포인트를 평가하거나 결정하기 위해 사용될 수 있다.
또한, 기판 모니터링을 제공하기 위해 기판 지지부에서 단일 윈도우가 활용될 수 있다. 특히, 위에서 설명된 피쳐들은 단일 윈도우 엔드포인트 검출기를 구비하는 종래 시스템들에 비해 기판 모니터링을 향상시키기 위해서 단일 윈도우를 통해 활용될 수 있다.
본 발명의 다른 실시예에서, 에칭 처리 모니터링 시스템에는 플라즈마를 보기 위한 직접적인 방법(예컨대, 사이드 윈도우) 및 캐소드의 하나 이상의 위치들에서 워크피스를 통해 에칭을 보기 위한 직접적인 방법(예컨대, 포토마스크 또는 웨이퍼 아래의 캐소드 윈도우들)이 제공된다. 처리 제어를 위한 이러한 신호들의 상이한 결합들이 사용될 수 있다. 일실시예에서, 에칭 처리 모니터링 시스템은 에칭 영역의 주변 지역에 3 또는 4개의 윈도우나 2 또는 3개의 윈도우를 갖는 캐소드들, 동시에 2 채널들의 정보(한 사이드 OES(optical emission spectroscopy))를 수집하도록 구성되는 CCD 엔드포인트 시스템, 및 하나의 "저면"(포토마스크를 통과)를 포함한다. "저면" 광섬유 케이블은 캐소드에서 3 또는 4개의 윈도우들 중 임의의 윈도우 아래에 배치될 수 있다. 통상적으로, 이러한 설치는 고정적이고, 광섬유 케이블은 중심에 고정되거나 혹은 에지 지점들 중 하나에 고정된다. 상기 저면 광섬유 케이블은 엔드포인트 시스템에 바이어스 RF 에너지를 투과하지 않도록 전기적으로 절연상태를 유지한다.
사이드 OES 신호는 마스크의 대부분 영역으로부터 발생하는 반면에, 저면 신호는 광학 구성에 의해서 결정되는 마스크 아래의 국부적인 영역으로부터 발생한다. 통상적으로, 이러한 지역은 대략 2-5mm이지만, 설계를 변경함으로써 변경될 수 있다.
저면 신호는 (소위 인터페로메트릭 엔드포인트("IEP")로 지칭되는 광 소스를 사용함으로 인한) 반사 신호이거나 또는 (투과 엔트포인트("TEP")로 지칭되는 광소스로서 플라즈마) 투과 신호일 수 있다. 비록 광 소스 및 연관된 광섬유가 사용될 수 있을 지라도, 대안적으로는 포토마스크를 통해 검출되는 플라즈마 신호들에 의해서 제공되는 광을 활용하는 투과 모드(TEP)가 사용될 수 있다.
예들 및 이점들은 다음을 포함한다:
1. 더 큰 신뢰성을 위해서, 특히 낮은 개방 영역 에칭 애플리케이션들을 위해서 OES 엔드포인트 및 저면 엔드포인트 양쪽 모두에 도달하는 확증. 예컨대, OES 및 저면 엔드포인트는 엔드포인트 방법들 중 하나에서 처리 드리프트 및/또는 부정확성을 검출하기 위해 사용될 수 있다.
2. TEP 중심 및 OES(평균)에 대해 엔드포인트 시간들을 비교함으로써 중심에서 빠르거나 중심에서 느린 에칭 상황들에 대한 처리 균일성 평가 및 모니터링.
3. 좌측-우측 또는 상부-저면 에칭 속도 패턴 차이들을 결정하기 위해 에지 또는 구석 엔드포인트 시간을 OES에 유사하게 비교.
4. TEP 신호를 OES 신호를 나눔으로써 마스크를 통과한 TEP 신호를 정규화.
4a. 이러한 정규화는 플라즈마 밝기 및 변동에 거의 상관없이 정확한 투과 측정치를 제공함.
4b. 상기 정규화는 또한 투과를 위한 실시간 모델 및 마스크의 측정된 스펙트럼 투과 간의 비교를 가능하게 함으로써, 에칭 동안에 에칭 층 두께(예컨대, Cr 층)의 결정을 가능하게 한다.
4c. 상기 정규화는 또한 투과를 위한 실시간 모델과 마스크의 측정된 스펙트럼 투과 간의 비교를 가능하게 함으로써, 에칭 동안에 마스킹 층 두께(예컨대, 포토레지스트)의 결정을 가능하게 한다.
4d. Cr 에칭 속도(4b)를 PR 에칭 속도(4a)로 나눔으로써 에칭 선택성을 결정.
위에 설명된 모든 투과 및/또는 반사 실시예들은 직접적인 관측 OES 신호에 비교하는 것을 참조하여 여기서 활용될 수 있다는 것을 주시하자. 또한, 여기서 설명된 실시예들은 포토마스크 증착 애플리케이션들에서의 엔드포인트 모니터링, MEMS 쓰루-웨이퍼 에칭, 실리콘 웨이퍼들의 증착 또는 에칭에 대한 적외선 모니터링/처리 제어, 및 적외선 밴드-에지 웨이퍼 온도 측정을 위해 유용할 수 있다는 것을 알아야 한다.
다른 실시예에서, 에칭되는 포토마스크 상의 막의 실시간적인 투과를 모니터링함으로써 개선된 에칭 처리 제어가 용이하게 된다. 흡수층(예컨대, Cr)은 작지만 에칭을 시작할 때 측정가능한 투과율(통상적으로, 막 타입에 따라 1% 내지 15%)을 갖는데, 상기 투과율은 에칭이 에칭 엔드포인트에서 완전히 이루어질 때까지(100% 투과) 막이 에칭 동안에 더 얇아지는 것과 같은 예상가능한 방식으로 증가 한다. 단일 광섬유 번들이 증가하는 플라즈마 광을 수집하기 위해서 포토마스크 아래의 윈도우 밑에 배치된다. 통상적으로, 관측 범위는 대략 2-5mm인데, 이는 설계를 변경함으로써 바뀔 수 있다. 이러한 구성은 "투과 엔드포인트"(TEP)로서 지칭된다.
TEP의 장점들은 에칭되는 흡수 막의 실질적인 광학적 세정에 기초하는 엔드포인트를 포함한다. 윈도우의 위치가 에칭되는 막 영역의 아래에 제공되면, 엔드포인트는 특히 낮은 개방 영역 에칭 애플리케이션들에 대해서 OES보다 더 나은 신뢰성을 가질 수 있다. 엔드포인트 시스템은 광 소스로서 플라즈마를 활용할 수 있어서, 외부 광 소스의 필요성을 제거한다. 플라즈마 광 소스를 통해, 넓은 관측 범위가 사용될 수 있고, 따라서 캐소드에서 개구의 크기를 최소화시킨다. 본 실시예는 임의의 부가적인 광학 장치들 없이 캐소드 윈도우 근처에 광섬유를 배치하는 것으로 단순화될 수 있다.
TEP는 크롬 및 다른 에칭 애플리케이션들에 바람직하게 사용될 수 있다. 상기 애플리케이션들은 에칭될 때 크롬 층을 통하여 통과하는 플라즈마 광 증가를 검출하기 위하여 포토마스크 아래에 배치된 광섬유를 포함할 수 있다. 광원은 비록 단일 변화가 TEP 신호 보다 다소 작지만 반사를 모니터하기 위하여 사용될 수 있고, 이와 같이, TEP는 크롬 애플리케이션들 동안 보다 우수한 해상도를 제공한다.
TEP는 석영 에칭 애플리케이션들에 사용될 수 있다. 상기 애플리케이션들에서 광학 간섭 방법은 사용될 수 있다. 투과 간섭 방법은 에칭 속도 및 엔드포인트를 모니터하기 위하여 사용될 수 있다. 플라즈마는 광원으로서 사용될 수 있고 따라서 램프가 요구되지 않는다. 엔드포인트 투과는 상기 논의된 바와 같이 플라즈마의 변화들에 의해 발생되는 신호 강화를 감소시키기 위하여 챔버내에 형성된 측면 윈도우를 통하여 얻어진 OES 신호에 의해 TEP 신호를 나눔으로써 표준화될 수 있다. 플라즈마가 정상 광원을 제공하기에 충분히 안정화된 애플리케이션들에서, 백그라운드 제거는 요구되지 않는다. 반사 간섭 방법은 광원을 요구하는 코트(court) 에칭에 사용될 수 있다. 광원은 UV 영역에서 정상 램프일 수 있거나 플라즈마 백그라운드보다 밝을 수 있다. 상기 적당한 광원들의 예들은 듀테륨 램프, 고강도 방전 램프(HID), 아크 램프 및 고형 UV LED 램프를 포함한다. 광원은 플라즈마 백그라운드로부터의 신호에 대한 기여가 신호로부터 공제될 수 있도록 스위칭 온 및 오프되어, 엔드포인트를 가리키는 보다 정확한 신호를 제공한다.
부가적으로, 포토마스크에서 보다 큰 영역들은 샘플링될 수 있다. 램프 구성들을 위하여, 시준 광학 장치들은 사용될 수 있다. 광원으로서 플라즈마에 대해, 캐소드의 단순한 시야 콘은 사용될 수 있거나, 발산 렌즈들은 부가된다. 어느 하나의 램프 구성들에 대해, 스캐닝 검출기는 또한 사용될 수 있다. 만약 캐소드의 광학 윈도우가 크면, 금속 그리드는 윈도우 상에 배치될 수 있거나 투명한 도전 필름(예를들어, ITO 또는 ZnO)은 기판을 처리하기 위하여 필요한 RF 바이어스를 유지하기 위하여 사용될 수 있다.
일실시예에서, 예시적인 검출기는 도 8에 도시된다. 검출기는 필터 또는 단색화장치를 가진 광다이오드 PMT 같은 단파장 검출기일 수 있다. 기판 지지부 내의 각각의 윈도우는 광섬유 케이블에 의해 독립된 검출기에 결합될 수 있다. 상기 검출기는 분광계 같은 다중 파장 검출기일 수 있다. 분광계는 섬유 다발의 개별 부분들이 독립된 분광계들로서 처리될 수 있도록 이미지화될 수 있다. 하나 이상의 분광계는 다른 위치들의 다중 데이터 수집물들을 동시에 수용하기 위하여 사용될 수 있다.
도 8에 도시된 예시적인 실시예에서, 광 검출기(800)는 광섬유 다발(802)에 의해 레티클(도시되지 않음) 아래 기판 지지부에 배치된 다수의 윈도우(804)와 인터페이스된 것으로 도시된다. 각각의 윈도우(804)로부터의 신호들(반사 및/또는 투과)은 포트(806)를 통하여 광 검출기(800)에 진입한다. 광 검출기(800)의 신호들은 분광계(808)와 인터페이싱 하기 전에 격자 또는 프리즘 같은 파장 분산 파라미터(810)와 상호작용된다. 각각의 섬유 다발(802)로부터의 신호들은 단일 분광계(808)에 제공될 수 있거나, 각각의 윈도우(804)로부터의 신호는 독립적으로, 단일 분광계에 신호들을 제공하여 순차적으로, 또는 독립된 분광계에 각각의 신호들을 제공함으로써 분석될 수 있다.
특정 실행은 3 또는 4 윈도우들, 에칭 영역의 주변 영역에 2 또는 3 윈도우들, 중앙에 하나의 윈도우들, 동시에 2 채널들의 정보(하나의 측면 OES(광학 투과 분광학))를 수집하기 위하여 구성된 CCD 엔드포인트 시스템 , 및 하나의 "바닥"(마스크를 통하여)을 가진 캐소드들을 포함한다. "바닥" 광섬유 케이블은 캐소드에서 3 또는 4 윈도으들 중 임의의 것 아래에 배치될 수 있다. 이런 설비는 고정되고 광섬우 케이블은 중심 또는 에지 위치들 중 하나에 고정된다. 바닥 광섬유 케이블은 바이어스 RF 에너지를 엔드포인트 시스템에 전달하지 않기 위하여 전기적으로 절연되어 유지된다. 이들 실시예들은 포토마스크 증착 애플리케이션들, 다른 기판(예를들어, 웨이퍼) 에칭 애플리케이션들, MEMS 관통 웨이퍼 에칭, 실리콘 웨이퍼들의 증착이나 에칭 중 하나의 적외선 모니터링/처리 제어 및 적외선 대역 에지 웨이퍼 온도 측정들에 사용할 수 있다.
상기 구성의 하나의 예는 도 9-10에 도시된다. 도 9는 포토마스크 레티클(902)을 에칭하기에 적당한 에칭 반응기(900)의 개략도이다. 에칭 반응기(900)는 기판 지지부(906)를 통하여 배치된 윈도우들을 통하여 레티클(902)의 에칭을 모니터하는 엔드포인트 검출 시스템(904)에 결합된다. 에칭 반응기(900)의 기판 지지부(906)는 RF 프로브(908)를 통하여 RF 발생기(910)에 결합된다. RF 프로브(908)는 에칭 반응기(900)에서 수행되는 에칭 처리를 능동적으로 제어하는 처리 상태 모니터링 소프트웨어로 구성된 제어기(912)에 결합된다.
기판 지지부(906)는 에칭 속도를 가리키는 신호들 및/또는 엔드포인트가 엔드포인트 검출 시스템(904)에 제공되는 다수의 윈도우들을 포함한다. 도 10은 처리 동안 레티클(902)에 의해 커버되는 영역 내에서 기판 지지부(906)의 상부에 형성된 중앙 윈도우(1002) 및 에지 윈도우(1004)의 분배를 도시하는 기판 지지부(906)의 평면도를 도시한다. 모서리 윈도우들 및/또는 다른 위치들의 윈도우들은 고려된다. 도 10에 도시된 실시예에서, 에지(1004) 윈도우들은 상기된 바와 같이 레티클(902)의 주변 영역 아래에 배치된다.
도 9를 다시 참조하여, 광섬유들(912)은 엔드포인트 신호들(투과 및/또는 반사)이 검출 시스템(902)에 제공될 수 있도록 각각의 윈도우 아래에 배치된다. 광 섬유(914)는 OES 정보를 검출 시스템(904)에 제공하기 위하여 에칭 챔버(900)의 측면을 통하여 형성되는 윈도우를 통해 플라즈마를 관찰하기 위하여 배치된다. 도 9에 도시된 실시예에서 광섬유(914)는 분광계 같은 제 1 검출기(916)에 결합되고, 섬유들(914)은 적어도 하나의 제 2 검출기(918)에 결합된다. 제 2 검출기(918)는 도 8을 참조하여 기술된 바와 같이 구성되거나 다른 적당한 방식으로 구성될 수 있다. 램프(920)는 반사 신호들을 제공하기 위하여 선택적으로 제공될 수 있다. 신호들은 PLC 도는 다른 처리기 같은 전용 엔드포인트 처리기(922)에 의해 분석될 수 있다. 엔드포인트 제어기(922)는 실시간 에칭 및/또는 엔드포인트 정보를 제공하기 위하여 처리 상태 모니터링 소프트웨어로 구성된 제어기(912)와 통신한다. 선택적으로, 제어기들(932) 또는 처리기(922) 중 적어도 하나는 설비내 생산 툴들 및 다른 툴들 사이에서 공유하는 집적된 측정 정보를 허용하도록 전면 단부 서버(924) 및/또는 호스트 제어기(926)에 결합된다. 검출기(920)는 3개의 입력들까지 가지도록 구성될 수 있다. 부가적으로, 검출기들은 단일 검출기에서 얻어진 바와 같이 모든 데이터가 관찰되도록 동기화될 수 있다.
도11은 평균 엔드포인트, 좌측 엔드포인트, 및 상부 엔드포인트의 그래프를 보여준다. 트레이스(1102)는 평균 엔드포인트를 보여주고, 트레이스(1104 및 1106)는 좌측 및 상부 윈도우들에서 각각 획득되는 엔드포인트 신호들을 보여준다. 트레이스(1104 및 1106)는 상부 위치의 엔드포인트에서의 약간의 래그(lag)를 보여주며, 트레이스(1102)는 측면 OES를 사용하여 취해진 평균 엔드포인트을 보여준다.
도12는 처리를 균일하게 모니터링하기에 적합한 정보를 제공하기 위한 2개의 스펙트로미터들의 능력을 보여준다. 도12는 측면 OES를 사용하여 취해진 평균 엔드포인트을 나타내는 제1 트레이스(1202)를 보여준다. 제2 트레이스(1204)는 포토마스크 레티클의 중심에서 에칭하는 시간을 나타낸다. 제3 및 제4 트레이스(1206,1208)는 포토마스크 레티클의 좌측 에지 및 상부 에지에서 에칭하는 시간을 나타낸다. 제 5 트레이스(1210)는 포토마스크 레티클의 코너에서 에칭하는 시간을 나타내고, 에지 트레이스(1206, 1208)로 도시된다. 도시된 바와 같이, 엔드포인트 신호들은 어떤 영역이 다른 영역에 비해 보다 고속에서 에칭되고, 보다 빨리 제거되는지를 결정하는데 사용된다. 이러한 정보는 다음 기판에 대한 에칭 처리 방법을 조정하거나, 또는 처리 결과들을 보다 양호하게 제어 및/또는 수정하기 위해 엔드포인트이 획득된 기판에서 수행된 처리들을 조정하기 위해 이러한 정보를 분석하는데 유용하다.
도13은 동일한 에칭 사이클에 대한 2개의 주기들로부터 획득되는 엔드포인트 신호 데이터를 보여준다. 그래프(1300A)는 포토마스크를 통해 획득되는 OES 신호의 트레이스(1302) 및 챔버의 측면에 배치된 윈도우를 통해 획득되는 OES 챔버 신호의 트레이스(1304)를 보여준다. 데이터를 정규화함으로써(예를 들면, OES 챔버 신호로 마스크 신호를 통한 OES를 나눔으로써), 정규화된 엔드포인트 신호의 트레이스(1306)가 생성된다. 그래프(1300A 및 1310A)에서 획득되는 데이터는 30초의 에칭을 통해 획득된다. 그래프(1300B 및 1310B)에 제시된 데이터는 380초의 에칭 기간에 대해 취해진 데이터를 포함한다. 다시, 그래프(1300B)는 마스크를 통해 취해진 OES 신호의 트레이스(1322) 및 OES 챔버 신호의 트레이스(1324)를 포함한다. 정규화된 신호는 트레이스(1326)에 의해 도13에 제시된다.
도14는 도13에서 제시된 바와 같이, 정규화된 투과를 사용하여 에칭 처리를 모니터링하기 위한 방법(1400)의 흐름도를 보여준다. 에칭 처리를 모니터링하기 위한 방법(1400)은 정규화된 투과 스펙트럼을 사용하여 크롬 두께 및/또는 광학 박막 모델로부터의 레지스트 두께를 측정한다. 처리(400)는 2개의 개별 영역들에 관련된 정보(크롬 에칭율 및 포토레지스트 에칭율)를 제공한다. 크롬 계층은 일반적으로 미리 결정된 두께보다 큰 경우 광을 흡수한다. 크롬 계층을 통한 광의 투과는 두께가 대략 20 나노미터 이하가 되는 경우 빠르게 증가한다. 투과의 변경은 크롬이 제거된 후에 거의 평탄하다. 포토레지스트는 매우 투명한(transparent), 박막 간섭을 보여준다. 상기 방법(1400)은 블록(1402)에서 시작한다. 처리는 블록(1402)에서 시작하고, 여기서 에칭되는 가공물(예를 들면, 포토마스크 레티클)를 통해 투과되는 빛은 검출기에 의해 측정된다. 블록(1404)에서, 투과된 신호는 측면 윈도우를 통해 취해진 방사 신호에 의해 나눠져서 정규화된 투과를 계산한다. 블록(1406)에서, 정규화된 투과는 박막 광학 모델과 비교되어 실시간 두께를 계산한다. 블록(1406)에서 획득된 정보는 크롬 및/또는 포토레지스트 두께 및/또는 에칭율 중 적어도 하나를 분석하는데 이용된다. 블록(1408)에서, 포토레지스트/크롬 스택을 통해 획득된 투과 정보가 분석된다. 블록(1410)에서, 에칭율에서 포토레지스트 두께가 블록(1408)에서 분석된 데이터를 사용하여 결정된다. 대안적으로, 또는 블록(1408,1410)에서 수행된 포토레지스트 분석에 부가하여, 크롬 계층은 블록(1412 및 1414)에서 분석된다. 블록(1412)에서, 크롬 계층을 통해 획득된 투과 데이터가 분석된다. 블록(1414)에서, 크롬 두께 및/또는 에칭율이 블록(1412)에서 분석된 데이터를 통해 결정된다.
상술한 실시예들에 부가하여, 보다 신뢰성 있게 엔드포인트 검출을 마스킹하는 방법이 제공된다. 일 실시예에서, 엔드포인트 검출의 신뢰성은 포토레지스트 마스킹 계층으로부터 박막 간섭을 제거함으로써 개선된다. 예를 들어, 에칭에 대한 광학 모니터링은 에칭되는 계층이 아니라, 마스크 에칭으로부터 발생하는 신호에 의해 혼동(confound)된다. 도15를 참조하면, 박막 간섭은 마스킹 계층의 상부 및 하부 사이에서, 구체적으로 반사를 위한 컴포넌트(R2 및 R3)와 투과 모드를 위한 컴포넌트(T2 및 T3)에 의해 발생한다. 이러한 간섭은 마스킹 계층이 흡수되는 파장 영역(예를 들면, 딥 UV 파장)을 사용함으로써 실질적으로 제거된다. 그리고 나서, 에칭에 대한 광학 모니터링이 그 노출 부분으로부터 그리고 에칭되는 계층에 의해 결정된다. 마스킹 계층의 상부 및 하부 사이의 박막 간섭은 컴포넌트(R2 및 R3)의 부존재에 의해 제시된 바와 같이, 포토레지스트에 의해 흡수되는 광원으로부터 파장을 사용함으로써 실질적으로 제거된다.
대안적으로, 모든 투과 반사 신호들은 패턴화되지 않은 가공물(예를 들면, 포토마스크 또는 웨이퍼)의 에칭 기간 동안 엔드포인트를 명확하게 보여주며, 패턴화된 에칭 마스크는 엔드포인트를 결정하는데 어려움을 야기한다. 광학 신호는 에칭 계층이 에칭되는 동안, 마스킹 계층의 동시 박막화(thinning)에 의해 야기되는 박막 광 간섭의 존재에 의해 혼동될 수 있다. 투과는 반사에 비해 이러한 문제에 보다 면역성을 갖는데, 100nm 보다 큰 두께를 갖는 크롬과 같이, 두꺼운 크롬 계층 들의 경우에서처럼, 특히 에칭 계층들이 실질적으로 불투명한 경우에 특히 그러하다. 기술이 보다 얇은 계층들로 이동함에 따라, 특히 50nm 이하를 갖는 크롬 계층의 경우, 고유한 흡수는 감소되고, 그에 따라 장점도 감소된다. MoSi와 같이 추가적인 흡수 계층을 갖는 위상 시프트 포토마스크들의 경우에 있어서, 장점은 더욱 감소된다. 그러나, 반사 및 투과를 모니터링하기 위해서 딥 UV 파장을 선택함으로써, 마스킹 계층으로부터의 혼동 간섭은 실제로 제거된다. 이는 마스킹 계층의 흡광도가 상당히 커서, 간섭을 무위로 돌릴 만큼 충분히 광 파장이 짧은 경우에 발생한다. 이는 FEP 171과 같이, DUV 레지스트에 대해 240nm 이하의 파장에서의 빛에 대해 발생할 것이다.
도16,17, 및 18은 포토레지스트의 광학 간섭을 보여준다. 도16은 광학 간섭 컴포넌트(T2 및 T3)를 보여주는 도이다. 도17은 투과 신호들(T1,T2,T3)의 진폭을 보여주는 도이다. 도18은 벡터가 추가된 신호들(T2 및 T3)과 투과 신호(T1)의 수퍼포지션으로서, 도17의 그래프에 도시된 투과 신호를 보여준다.
도19,20, 및 21은 포토레지스트 계층에 의해 흡수되는 파장을 사용함으로써 엔드포인트 모니터링 신호를 이용하는 에칭 처리를 보여주는 도이다. 도19에 도시된 바와 같이, 포토레지스트 마스킹 계층에 의해 흡수되는 입사 광은 마스킹 계층의 상부 및 하부 사이에서 간섭을 생성하지 않을 것이고, 구체적으로 컴포넌트(R3 및 T3)는 제거된다. 따라서, 도 20의 그래프에 도시되는 바와 같이, 상기 검출기(detector)에 의해 모니터링 되는 결과적인 신호는 분석하기 용이한데 이는, 상기 크롬층이 클리어(clear) 되고 투과 신호가 평탄(flat)하게 될 때와 같이, 상기 크롬층이 20 nm 미만이 되기 때문이며, 상기 검출기에 의해 얻어지는 결과적인 신호가 도 21에 도시되는 포토마스크 마스킹 층(photomask masking layer) 및/또는 크롬층의 두께 및/또는 존재를 나타내게 된다. 따라서, 상기 크롬 에칭(etch)의 엔드포인트(endpoint)는 상기 신호의 기울기가 감소할 때 식별될 수 있다. 상기 엔드포인트는 도함수(derivative) 계산의 시퀀스의 이용 및 상기 기울기가 언제 감소할 것인지를 결정하기 위한 데이터의 평활화(smooting)로 불리울 수 있다. 그러한 엔드포인트 결정은 도 22에 제시되는 엔드포인트 트레이스(trace)에서 예시된다.
딥(deep) UV 파장 모니터링 신호들을 이용하는 것은 특히 낮은 개방 영역(low open area)을 갖는 에칭 애플리케이션(etch application)들에 있어서 특히 유용하다. 예를 들어, 도 23은 포토레지스트 층으로부터의 광간섭에 의해 지배되는 엔드포인트 신호를 나타낸다. 상기 크롬 에칭의 엔드포인트은 도 23에 나타난 신호로부터 쉽사리 가시적이지 않다. 딥 UV 파장 모니터링 신호들을 활용함으로써, 상기 트레이스의 기울기가 도 24에 도시되는 바와 같은, 트레이스(2402)에 의해 나타난 것처럼, 상기 260 제 2 마크(second mark)에서 가시적으로 감소함에 따라 상기 엔드포인트이 쉽게 확정될 수 있다. 상기 딥 UV 엔드포인트 신호의 도함수를 이용함으로써, 상기 엔드포인트는, 도 24에 도시되는, 트레이스(2404)의 피크 신호 이후에 나타난 바와 같이, 상기 260 제 2 마크에서도 확정가능하다.
또한 광 섬유를 이용하는 것은 딥 UV 엔드포인트 애플리케이션들을 개선할 수 있다. 종래의 UV 투과 광섬유는 일반적으로 235 nm 이하의 파장들에서 상기 신호를 감쇠(attenuate)시킨다. 비-감광(non-solarizing) 섬유들이 235 nm 파장들 이하에서의 투과를 개선하는데 활용될 수 있다. 따라서, 신호 대 잡음비가 증가할 것이며, 이에 따라 상기 엔드포인트 기능(capability)을 더 작은, 개방 구역들로 확장시킨다.
도 25는 받침대(pedestal)(2504)에 의해 지지되는 포토마스크 레티클(reticle)(2502)을 구비한 프로세싱 챔버(2500)를 나타낸다. 상기 받침대는 상기 포토마스크 레티클(2502)의 기부(bottom)가 엔드포인트 검출 시스템(2508)에 의해 조망될 수 있는 하나 이상의 윈도우(2506)들을 갖는다. 상기 엔드포인트 검출 시스템(2508)은 상기 챔버의 측면에 배치되는 윈도우(2514)를 통해 상기 챔버(2500) 내에 배치되는 플라즈마(2512)를 검사(view)하는 측면 광섬유 번들(side fiber optic bundle)(2510)을 포함한다. 상기 측면 광섬유 번들(2510)은 분광계(spectrometer)와 같이, 검출기(2516)에 대한 상기 플라즈마(2512)의 OES 정보를 제공한다. 제 2 광섬유 번들(2518)은 상기 받침대(2504) 내의 윈도우(2506)를 통해 상기 기판의 기부를 조사한다. 상기 광섬유 번들(2518) 내에서 비-감광 딥 UV로부터 235 nm 이하의 파장들에서의 신호들의 개선된 투과를 위한 용해(fused) 실리카가 만들어진다. 선택적으로, 상기 엔드포인트 검출 시스템(2508)은 반사(reflection) 모드에서의 정보를 획득하기 위해 상기 섬유 번들(2518)을 통해 광(light)을 제공하기 위한 램프(2520)를 포함할 수 있다.
도 26은 포토레지스트 흡수를 위한 파장 선택의 이점들을 도시하는 그래프를 나타낸다. 상기 그래프는 240 nm 이하의 파장들에서, 더 높은 흡수 상수(absorption constant)가 다중-경로 반사를 제거하기 때문에 간섭 침해(interference infringe)들이 사라지는 것을 나타낸다. 따라서, 엔드포인트 검출로서 이용하기 위한 적절한 파장의 선택은 엔드포인트 및 프로세스 모니터링을 위한 원위치(in-situ) 반사 투과를 향상하기 위한 매체를 모니터링하는 것이다. 감소된 포토마스크 간섭은 접촉 패턴(contact pattern)들과 같이, 낮은, 개방 영역 애플리케이션들에 대한 정확한 엔드포인트 결정을 용이하게 한다. 또한 이 방법은 개별적인 국부 영역들을 포함하여, 특정 영역들에서의 실제 프로세스의 측정 정보를 획득하는 것을 용이하게 하며, 넓은 영역에 걸쳐 결정되는 엔드포인트 검출에 한정되지 않는다. 이는 상기 프로세스로 하여금 특정 위치들에서의 에칭율(etch rate)을 튜닝하도록 조정되게 하여 준다. 딥 UV 모니터링 신호들을 이용하는 것은 엔드포인트 검출의 반사 및 투과 모드들 모두에서 이점을 갖는다. 그리하여, 이러한 기술들은 포토마스크(상부 반사(top reflection), 저면 반사(bottom reflection) 및 저면 투과(bottom transmission)) 에칭과 더불어, 종래의 웨이퍼 에칭(상부 반사)에서의 이용으로 확장될 수 있다.
다른 실시예로, 엔드포인트 하드웨어(에칭 기판 하부의 복수의 윈도우들을 구비한 캐소드(cathode)(예컨대, 포토마스크))에서, 복수의 기판 검출 위치들이 상기 기판 지향(orientation)을 결정하기 위한 기판 패턴과 함께 이용될 수 있다. 상기 기판 지향이 알려지면, 기존의 프로세스 균일성 접지 번호(process uniformity signature)가 수정되어 최종 에칭 성능(performance)을 개선할 수 있다. 예를 들어, 상기 기판이 수직 지향을 갖는 챔버 내에 삽입되고, 상기 에칭 패 턴이 탑-다운(top-down) 컴포넌트를 갖는다면, 상기 프로세스는 더 많은 측면-측면(side-side) 컴포넌트를 갖도록 동적으로 변경될 수 있다. 이는 에칭 균일성을 증가시키는 결과를 가져올 것이다. 상기 프로세스 변경은 운용 중에 레서피(recipe)의 일부를 수정함으로써 수행될 수 있다. 예를 들어, 상기 프로세스 변경은 동적 위상 조정(dynamic phase adjustment)의 이용 또는 다른 프로세싱 변수 및/또는 프로세스 놉(knot)의 변경을 수반할 수 있다. 다른 예로, 기판들 간의 지향에 변경이 있다면, 상기 프로세스는 상기 변경을 수용하여 기판 간에 균일성을 제공하도록 조정될 수 있다.
(포토마스크 기판에 대한) 예시적인 프로세싱 시퀀스는: A) 좌측 및 우측 에지(edge)들에 두 개의 개구부(opening)를 구비한 마스크 패턴을 제공하는 것; B) 상기 기판의 상기 좌측 에지 아래의 윈도우 및 상기 최상부(top) 에지 아래의 윈도우로부터 동시에 데이터를 수집하도록 설정된 엔드포인트 시스템을 제공하는 것; C) 상기 마스크가 상기 툴로 삽입될 때, 상기 최상부 및 우측으로부터의 신호들이 분석되어 어느 것이 현재 에칭되고 있는 개구부 아래에 있는지를 결정하는 것; D) 상기 레서피가 수정되어 개선된 에칭 성능을 위한 마스크 지향을 수용하는 것을 포함할 수 있다. 상기 마스크 패턴으로써 배위(coordinate)된 추가적인 기판 센서들을 구비하는 것의 예시적 이점들은, 엔드포인트는 상기 마스크의 에칭 지향에 불구하고 수행될 수 있고; 두 개의 주변(perimeter) 위치들로부터의 신호들이 취합되어 신뢰성 있는(robust) 엔드포인트 신호를 형성하고; 신호들이 분석되어 어느 것이 상기 마스크 패턴과 마스크 배향에 매치(match)되는지를 결정할 수 있으며; 마스크 지향이 현재 레서피를 수정하여 개선된 프로세스 결과(예컨대, 더 나은 에칭 균일성)를 가져오는데 이용될 수 있다는 것을 포함한다.
일 실시예로, 일 구현으로서 A) 새로운 광섬유 번들이 3-방향으로 갈라져서, 측면 윈도우로부터의 OES(광 발산 분광, optical emission spectroscopy)과 함께, (기존 4개의 윈도우들의) 캐소드 내의 두 개의 위치들을 모니터링하게 하여 주는 것; B) CCD 엔드포인트 시스템 펌웨어가 3개 채널들의 정보의 동시 수집을 가능하게 하여 주는 것. 이는 이미징 분광계(spectrometer) 내의 CCD 픽셀들의 매핑을 변경함으로써 달성된다; C) 3개의 데이터 스트림들이 분석되어 어느 신호를 엔드포인트에 이용할 것인지(또는 신뢰성 있는 엔드포인트을 형성하기 위해 상기 신호들을 취합하거나 그렇지 않으면 결합할 것인지)를 결정하는 것; D) 상기 새로운 알고리즘이 상기 마스크 지향을 상기 에칭 시스템에 피드백(feec back)하여, 상기 에칭 시스템(예컨대 프로세스 레서피)이 상기 나머지 에칭 동안 프로세스 변경을 가하여 최종 결과를 개선할 수 있는 것을 포함한다.
이는 웨이퍼 지향이 가변적이라면 웨이퍼 프로세스 애플리케이션들에 유용할 수 있으며 프로세스 결과들은 상기 결정된 지향에 기초한 레서피 변경에 의해 개선될 수 있다.
다른 실시예에서, 에칭 프로세스 균일성(uniformity)은 1) 에칭된 기판 상의 상이한 공간 위치들로부터의 엔드포인트 시간들을 비교함으로써, 그리고/또는 상이한 공간 위치들로부터의 에칭율들을 비교함으로써, 그리고 2) 그에 따라 프로세스를 조절함으로써 결정될 수 있다.
엔드포인트 하드웨어(에칭 기판 아래에 있는 다수의 윈도우들을 가지는 양극과 결합된 3-방향 광섬유 케이블(예를 들어, 포토마스크))에서, 다수의 탐지 위치들이 프로세스 균일성을 결정하기 위해 기판 패턴과 관련하여 이용될 수 있다. 모니터링이 몇몇 프로세스 비-균일성을 보여준다면, 상기 프로세스는 최종 에칭 성능을 향상시키기 위해 수정될 수 있다.
예를 들어, 모니터링된 에칭 패턴이 탑-다운(top-down) 컴포넌트를 가지면, 프로세스 또는 하드웨어는 탑-다운 컴포넌트를 줄이기 위해 변경될 수 있다. 이것은 챔버 설정/시작 프로세스의 일부로서, 또는 가능하면 실시간으로 에칭의 나머지 공정 동안 조절된 프로세스를 통해 이루어질 수 있다.
모니터링된 위치들의 수는 다수의 윈도우들 및 다수의 탐지기들을 추가함으로써 증가될 수 있다. 실제적으로, 기존의 CCD 이미징 분광기(spectrometer)는 세 개(추가된 잡음을 가지는, 최대 7)의 독립적인 신호들을 탐지하도록 제한된다. 제 2 분광기가 추가되면("듀얼 분광기 엔드포인트"), 전체 6개의 신호들, 즉, 챔버의 일측으로부터의 하나의 OES 및 마스크 밑에 있는 5개의 신호들이 탐지될 수 있다. 5개의 위치들의 레이아웃은 기본적인 탑-다운, 사이드-사이드(side-side) 및 빠른-중심(center-fast) 또는 느린-중심(center-slow) 프로세스 정보를 획득하기 위해 유용하다. 웨이퍼 배향(orientation)이 가변적이면 이러한 정보는 또한 웨이퍼 프로세스 애플리케이션들에 대하여 유용하며 프로세스 결과들은 기판 지지부와 관련하여 기판(예를 들어, 포토마스크)의 결정된 배향에 기반하여 처리법(recipe) 변경에 의해 향상될 수 있다.
에칭의 광학 모니터링은 종종 에칭 계층 자신의 신호보다는 마스크 계층 에칭으로부터 발생하는 신호에 의해 혼동될 수 있다. 포토레지스트 마스킹 계층들의 경우에 깊은 UV와 같은, 마스킹 계층이 흡수하는 파장 기간(regime)을 이용함으로써, 에칭의 광학 모니터링은 에칭되는 계층에 의해, 그리고 그것의 노출된 영역 부분으로부터 결정된다. 레지스트를 얇게 하는 것으로부터의 광학 간섭 효과들은 더 이상 존재하지 않는다.
이러한 실시예는 두 부분으로 설명될 수 있다. 첫번째 부분은 (포토레지스트의 경우에 240nm보다 작은) 마스킹 계층에 대한 흡수 파장의 선택이다. 두번째 부분은 양호한 신호-대-잡음 성능을 가지는 깊은 UV 파장들을 선택하는 능력을 제공하기 위해 광학 시스템에서 깊은 UV 투과를 증가시키는 하드웨어 향상이다. 하나의 하드웨어 향상은 240nm보다 작은 파장의 양호한 투과를 가지는 넌-솔러라이징(non-solarizing) 깊은 UV 광섬유를 사용하는 것이다. 추가적으로 또는 대안적으로, 탐지기는 투과-제한 필터의 필요성을 제거하기 위해, 또는 자유-공간 옵틱(optic) 또는 속이 빈 광섬유를 사용하기 위해 챔버 근처에 배치될 수 있다.
이러한 방법은 반사뿐만 아니라 투과를 모니터링하기 위해 이용될 수 있으며, 이러한 방법의 이용은 또한 흡수 기판들, 흡수 기판들에 있는 투명한 에칭 계층들에 적용할 수 있다. 이러한 투과 및 반사 모드들은 웨이퍼들뿐만 아니라 포토마스크 레티클(reticle)을 위해 이용될 수 있다.
본 발명의 추가적인 특징들은 도면들 다음에 첨부된 부록(appendix)에 설명되어 있다.
전술한 사항들은 본 발명의 실시예들에 대한 것이며, 본 발명의 다른 그리고 추가적인 실시예들이 본 발명의 기본적인 범위를 벗어남이 없이 고안될 수 있으며, 상기 범위는 다음의 청구항들에 의해 결정된다.
도 1a는 본 발명의 일 실시예에 통합되는 프로세스 챔버를 나타내는 도면,
도 1b는 본 발명의 실시예에 따른 엔드포인트 모니터링을 위한 2개의 광학 구성의 개략도,
도 1c는 엔드포인트 검출을 위한 일 실시예의 개략적 상부도,
도 2는 엔드포인트 검출을 위한 기판 상의 샘플 위치들을 나타내는 도면,
도 3은 엔드포인트 검출을 위한 주변 위치를 갖는 6인치 기판의 상부도,
도 4a-c는 제조 동안 다양한 형태의 포토마스크의 개략적 구조도,
도 5는 엔드포인트 검출을 위해 사용되는 다양한 광학 신호를 나타내는 도면,
도 6은 포토마스크를 에칭하는 프로세스의 일 실시예의 흐름도,
도 7은 광섬유 다발을 포함하는 엔드포인트 검출 시스템을 갖춘 에칭 챔버의 또 다른 실시예의 개략도,
도 8은 예시적인 검출기의 일 실시예의 개략도,
도 9는 엔드포인트 검출 시스템을 갖춘 포토마스크 레티클을 에칭하기에 적합한 에칭 반응기의 또 다른 실시예의 개략도,
도 10은 프로세싱 동안 레티클에 의해 커버되는 면적 내에서 중심 윈도우와 에지 윈도우의 분포를 나타내는 기판 지지 부재의 상부 개략도,
도 11은 포토마스크 에칭 프로세스의 일 실시예에 대한 평균 엔드포인트, 좌측 엔드포인트 및 상부 엔드포인트 그래프,
도 12는 포토마스크 레티클 에칭 프로세스의 일 실시예 동안 측면 OES를 ㅏ용하여 얻어진 엔드포인트 데이터 그래프,
도 13은 동일한 포토마스크 레티클 에칭 프로세스의 2개 주기 동안 얻어진 엔드포인트 데이터 그래프,
도 14는 정규화된 투과 엔드포인트 정보를 사용하여 에칭 프로세스를 모니터링하는 방법의 일 실시예의 흐름도,
도 15-16은 마스킹층 상부와 하부 사이에서 발생하는 박막 간섭(interference)을 나타내는 개략도,
도 17은 도 16에 도시된 투과 신호(T1, T2, T3)의 크기를 나타내는 도면,
도 18은 도 17의 그래프에 도시된 투과 신호를 도시한다.
도 19, 20 및 21은 포토레지스터층에 흡수되는 파장을 사용함으로써 종점 모니터링 신호를 이용하여 에칭 공정을 도시한다.
도 22는 포토마스크 레티클 에칭 공정의 일 실시예 동안 얻어지는 종점 데이터의 다른 그래프이다.
도 23은 포토레지스터층에 의한 광간섭에 의해 좌우되는 종점 신호를 도시한다.
도 24는 포로레지스터층에 의한 광간섭이 원자외선 파장의 모니터링 신호들을 사용함으로써 최소화되는 종점 신호를 도시한다.
도 25는 포토마스크 레티클을 식각하기 위한 공정 챔버의 일 실시예의 개념도이며, 이는 OES 및 TEP 종점 정보 모두를 사용하는 종점 검출 시스템을 구비한 다.

Claims (28)

  1. 기판 에칭을 위한 장치로서,
    플라즈마 에칭 챔버;
    상기 플라즈마 에칭 챔버 내부에 있고 포토마스크 레티클(reticle)을 유지하도록 구성되는 기판 지지 부재 ― 상기 기판 지지 부재는 중심 영역에 배치된 제 1 윈도우 및 주변 영역에 배치된 제 2 윈도우를 갖고, 상기 중심 영역과 상기 주변 영역은 상기 포토마스크 레티클의 중심 영역과 주변 영역에 대응되며, 상기 포토마스크 레티클의 상기 주변 영역에는 테스트 구조물들이 위치됨 ―;
    상기 주변 영역에 있는 제 3 윈도우 ― 상기 제 2 윈도우 및 상기 제 3 윈도우는 각각, 상기 주변 영역의 코너에 배치되고 상기 주변 영역의 측면을 따라 배치됨 ―; 및
    상기 제 1 윈도우, 상기 제 2 윈도우 및 상기 제 3 윈도우를 통해 상기 플라즈마 에칭 챔버에 동작가능하게 결합된 엔드포인트(endpoint) 검출 시스템
    을 포함하는 기판 에칭을 위한 장치.
  2. 삭제
  3. 삭제
  4. 삭제
  5. 삭제
  6. 삭제
  7. 삭제
  8. 삭제
  9. 삭제
  10. 삭제
  11. 삭제
  12. 삭제
  13. 삭제
  14. 삭제
  15. 삭제
  16. 삭제
  17. 삭제
  18. 삭제
  19. 기판을 처리하기 위한 방법으로서,
    에칭 챔버에 배치된 기판 지지체 상에 배치된 기판을 에칭하는 단계 ― 상기 기판은 플라즈마의 존재에서 패턴화된 마스킹 층을 통하여 에칭됨 ―;
    상기 기판을 통과하는 상기 플라즈마로부터의 광에 의해 생성되는 신호를 수집하는 단계;
    상기 수집된 신호를 광섬유들을 통해 검출기로 전달하는 단계; 및
    상기 검출된 신호, 및 상기 패턴화된 기판의 저면으로부터 상기 검출기로 반사되는 제 2 광원으로부터의 제 2 신호에 대응하여, 에칭 프로세스를 제어하는 단계
    를 포함하는 기판을 처리하기 위한 방법.
  20. 삭제
  21. 기판을 처리하기 위한 방법으로서,
    에칭 챔버에 배치된 기판 지지체 상에 배치된 기판을 에칭하는 단계 ― 상기 기판은 플라즈마의 존재에서 패턴화된 마스킹 층을 통하여 에칭됨 ―;
    램프로부터 광을 생성하고 에칭 동안 상기 기판의 저면으로 상기 광을 지향시키는 단계;
    에칭 프로세스에 나타나는 신호를 분석하는 단계 ― 상기 신호는 상기 기판으로부터 반사되는 상기 램프로부터의 광을 포함하고, 상기 분석하는 단계는 상기 플라즈마로부터의 광에 있는 상기 신호의 성분을 감산(subtract)하는 단계를 더 포함함 ―; 및
    상기 분석된 신호에 대응하여 에칭 프로세스를 제어하는 단계
    를 포함하고, 상기 분석된 신호에 대응하여 에칭 프로세스를 제어하는 단계는, 상기 에칭 챔버의 측벽을 통해 수집된 OES 정보에 대응하여 적어도 부분적으로 상기 에칭 프로세스를 제어하는 단계를 더 포함하는,
    기판을 처리하기 위한 방법.
  22. 기판을 처리하기 위한 방법으로서,
    플라즈마 에칭 챔버의 기판 지지체 상에 패턴화된 포토마스크 레티클을 배치하는 단계;
    에칭 이전에, 상기 포토마스크 레티클을 통과하거나 상기 포토마스크 레티클을 포함하는 층의 표면으로부터 반사되는 적어도 하나의 광을 이용하여 상기 기판 지지체 상에 있는 동안, 상기 패턴화된 포토마스크 레티클의 배향(orientation)을 검출하는 단계;
    상기 검출된 배향에 대응하여 에칭 프로세스를 선택하는 단계; 및
    상기 에칭 프로세스를 이용하여 상기 포토마스크 레티클을 에칭하는 단계
    를 포함하는 기판을 처리하기 위한 방법.
  23. 기판을 처리하기 위한 방법으로서,
    플라즈마 에칭 챔버의 기판 지지체 상에 패턴화된 기판을 배치하는 단계;
    기판을 에칭하는 단계; 및
    상기 기판에 배치된 층에 의해 흡수되는 파장을 갖는 광을 이용하여 에칭 엔드포인트를 검출하는 단계
    를 포함하는 기판을 처리하기 위한 방법.
  24. 삭제
  25. 삭제
  26. 기판을 처리하기 위한 방법으로서,
    플라즈마 에칭 챔버의 기판 지지체 상에 배치된 패턴화된 기판을 에칭하는 단계;
    에칭 동안 상기 기판으로부터 반사되는 제 1 신호를 검출하는 단계 ― 상기 제 1 신호는 상기 기판 지지체의 제 1 윈도우를 통해 수집됨 ―;
    에칭 동안 상기 기판을 통하여 투과되는 제 2 신호를 검출하는 단계 ― 상기 제 2 신호는 상기 기판 지지체의 제 2 윈도우를 통해 수집되고, 상기 제 2 윈도우는 상기 제 1 윈도우로부터 공간적으로 분리됨 ―; 및
    상기 제 1 및 제 2 신호를 이용하여 에칭 프로세스의 엔드포인트를 결정하는 단계
    를 포함하고, 상기 제 1 및 제 2 신호를 이용하여 에칭 프로세스의 엔드포인트를 결정하는 단계는 상기 검출된 신호들을 이용하여 상기 에칭 챔버의 측벽을 통해 수집된 OES 정보를 분석하는 단계를 더 포함하는,
    기판을 처리하기 위한 방법.
  27. 기판을 처리하기 위한 방법으로서,
    플라즈마 에칭 챔버의 기판 지지체 상에 배치된 포토마스크 레티클을 에칭하는 단계;
    상기 기판 지지체의 제 1 윈도우를 통해 수집된 광을 나타내는 제 1 신호를 획득하는 단계 ― 상기 제 1 윈도우는 상기 포토마스크 레티클의 중심 아래에 배치됨 ―;
    상기 기판 지지체의 제 2 윈도우를 통해 수집된 광을 나타내는 제 2 신호를 획득하는 단계 ― 상기 제 2 윈도우는 상기 포토마스크 레티클의 제 1 에지 아래에 배치되고, 상기 포토마스크 레티클 상에 형성된 테스트 구조물들에 정렬됨 ―;
    상기 에칭 챔버의 측벽을 통해 수집된 OES 정보를 획득하는 단계; 및
    상기 획득된 신호들 중 하나 이상과 상기 OES 정보를 이용하여 상기 포토마스크 레티클 에칭의 파라미터를 결정하는 단계
    를 포함하는 기판을 처리하기 위한 방법.
  28. 제 26 항에 있어서,
    상기 OES 정보를 이용하여 상기 신호들 중 하나 이상을 정규화하는 단계를 더 포함하는, 기판을 처리하기 위한 방법.
KR1020070108159A 2006-10-30 2007-10-26 포토마스크 에칭을 위한 엔드포인트 검출 KR100932574B1 (ko)

Applications Claiming Priority (8)

Application Number Priority Date Filing Date Title
US86349006P 2006-10-30 2006-10-30
US60/863,490 2006-10-30
US11/844,868 US20080099436A1 (en) 2006-10-30 2007-08-24 Endpoint detection for photomask etching
US11/844,838 US20080099435A1 (en) 2006-10-30 2007-08-24 Endpoint detection for photomask etching
US11/844,868 2007-08-24
US11/844,838 2007-08-24
US96932807P 2007-08-31 2007-08-31
US60/969,328 2007-08-31

Publications (2)

Publication Number Publication Date
KR20080039251A KR20080039251A (ko) 2008-05-07
KR100932574B1 true KR100932574B1 (ko) 2009-12-17

Family

ID=39279419

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1020070108159A KR100932574B1 (ko) 2006-10-30 2007-10-26 포토마스크 에칭을 위한 엔드포인트 검출

Country Status (7)

Country Link
EP (2) EP2309533A1 (ko)
JP (1) JP5441332B2 (ko)
KR (1) KR100932574B1 (ko)
CN (2) CN101174082A (ko)
AT (1) ATE498901T1 (ko)
DE (1) DE602007012503D1 (ko)
TW (1) TWI388936B (ko)

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR101408456B1 (ko) * 2011-12-16 2014-06-17 타이완 세미콘덕터 매뉴팩쳐링 컴퍼니 리미티드 다중-구역 종료점 검출기

Families Citing this family (36)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20080099450A1 (en) * 2006-10-30 2008-05-01 Applied Materials, Inc. Mask etch plasma reactor with backside optical sensors and multiple frequency control of etch distribution
KR101631615B1 (ko) * 2008-12-31 2016-06-17 엘지디스플레이 주식회사 롤 프린트용 인쇄판의 제조방법 및 이를 이용한 액정표시장치의 제조방법
US9347132B2 (en) * 2011-04-29 2016-05-24 Applied Materials, Inc. Optical endpoint detection system
JP2012253142A (ja) * 2011-06-01 2012-12-20 Shibaura Mechatronics Corp 基板の製造装置および基板の製造方法
US8900469B2 (en) * 2011-12-19 2014-12-02 Applied Materials, Inc. Etch rate detection for anti-reflective coating layer and absorber layer etching
TWI582837B (zh) 2012-06-11 2017-05-11 應用材料股份有限公司 在脈衝式雷射退火中使用紅外線干涉技術之熔化深度測定
CN103943447B (zh) * 2013-01-17 2017-02-08 中微半导体设备(上海)有限公司 一种等离子处理装置及其处理方法
CN103472542B (zh) * 2013-09-13 2016-01-20 河南仕佳光子科技有限公司 用于定位光纤阵列的梯形槽的制作方法
US9299614B2 (en) * 2013-12-10 2016-03-29 Applied Materials, Inc. Method and carrier for dicing a wafer
CN104733336B (zh) * 2013-12-19 2017-11-03 中微半导体设备(上海)有限公司 等离子体去胶工艺的终点检测系统和方法
CN103811291B (zh) 2013-12-20 2018-01-23 京东方科技集团股份有限公司 一种阵列基板制作方法、膜层刻蚀防损伤监控方法及设备
JP6329790B2 (ja) * 2014-03-25 2018-05-23 株式会社日立ハイテクノロジーズ プラズマ処理装置
DE102014115708A1 (de) * 2014-10-29 2016-05-04 Aixtron Se Verfahren zum Trennen einer Kohlenstoffstruktur von einer Keimstruktur
TWI739300B (zh) 2015-01-15 2021-09-11 美商Mks儀器公司 離子化計及其製造方法
US9953886B2 (en) * 2015-08-21 2018-04-24 Microchip Technology Incorporated Single-wafer real-time etch rate and uniformity predictor for plasma etch processes
US9893058B2 (en) * 2015-09-17 2018-02-13 Semiconductor Components Industries, Llc Method of manufacturing a semiconductor device having reduced on-state resistance and structure
EP3417478B1 (en) 2016-02-17 2022-04-06 Accustrata, Inc. System and method for monitoring atomic absorption during a surface modification process
US10184183B2 (en) * 2016-06-21 2019-01-22 Applied Materials, Inc. Substrate temperature monitoring
CN107546094B (zh) * 2016-06-28 2019-05-03 中微半导体设备(上海)股份有限公司 监测等离子体工艺制程的等离子体处理装置和方法
CN107546141B (zh) * 2016-06-28 2020-12-04 中微半导体设备(上海)股份有限公司 监测等离子体工艺制程的装置和方法
CN107644811B (zh) * 2016-07-20 2020-05-22 中微半导体设备(上海)股份有限公司 博世工艺的刻蚀终点监测方法以及博世刻蚀方法
CN107993946B (zh) * 2016-10-27 2020-11-20 中微半导体设备(上海)股份有限公司 宽带光谱光学测量装置及等离子体处理装置
JP6820717B2 (ja) * 2016-10-28 2021-01-27 株式会社日立ハイテク プラズマ処理装置
JP6808596B2 (ja) * 2017-03-10 2021-01-06 キオクシア株式会社 センシングシステム
US11022877B2 (en) 2017-03-13 2021-06-01 Applied Materials, Inc. Etch processing system having reflective endpoint detection
KR101966806B1 (ko) * 2017-09-01 2019-04-09 세메스 주식회사 기판 처리 장치 및 기판 처리 방법
CN108461412A (zh) * 2018-03-22 2018-08-28 北京北方华创微电子装备有限公司 在线监测系统及半导体加工设备
TWI659258B (zh) * 2018-05-23 2019-05-11 亞智科技股份有限公司 蝕刻時間偵測方法及蝕刻時間偵測系統
US10978278B2 (en) 2018-07-31 2021-04-13 Tokyo Electron Limited Normal-incident in-situ process monitor sensor
KR20200052487A (ko) * 2018-11-06 2020-05-15 삼성전자주식회사 반도체 소자의 제조 방법
CN113447243B (zh) * 2020-05-26 2023-03-10 重庆康佳光电技术研究院有限公司 一种终点检测装置、蚀刻设备以及检测方法
CN111975191B (zh) * 2020-08-17 2023-01-24 北京中科镭特电子有限公司 一种加工腔组件及激光加工装置
CN112078237B (zh) * 2020-08-25 2022-05-27 北京黎马敦太平洋包装有限公司 一种具有自动烫印质量检测装置的烫印系统
EP4341641A1 (en) * 2021-05-20 2024-03-27 Applied Materials, Inc. In situ film grouwth sensor assembly, apparatus, and methods
CN115097570B (zh) * 2022-08-22 2023-04-07 上海羲禾科技有限公司 一种波导的刻蚀方法
CN117270317B (zh) * 2023-11-20 2024-02-09 深圳市龙图光罩股份有限公司 图形辅助的干法刻蚀装置及方法

Citations (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH10298787A (ja) * 1997-04-25 1998-11-10 Shibaura Eng Works Co Ltd ドライエッチング装置
JP2002184755A (ja) * 2000-12-14 2002-06-28 Matsushita Electric Ind Co Ltd プラズマエッチングモニター方法及び装置

Family Cites Families (13)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPS625107A (ja) * 1985-07-01 1987-01-12 Nippon Kogaku Kk <Nikon> レジストパタ−ン測定装置
JPH08316279A (ja) * 1995-02-14 1996-11-29 Internatl Business Mach Corp <Ibm> 半導体基体の厚さ測定方法及びその測定装置
JP2000200783A (ja) * 1999-01-06 2000-07-18 Hitachi Ltd プラズマ処理装置および方法
US6251217B1 (en) 1999-01-27 2001-06-26 Applied Materials, Inc. Reticle adapter for a reactive ion etch system
JP2001176851A (ja) 1999-12-15 2001-06-29 Matsushita Electric Ind Co Ltd ドライエッチング装置およびドライエッチングの終点検出方法
US6534756B1 (en) 2000-06-22 2003-03-18 Applied Materials Inc. Ultra-stable, compact, high intensity fiber-coupled light source for use in monitoring and process control
JP2002270588A (ja) * 2001-03-09 2002-09-20 Sony Corp エッチング装置およびエッチング方法
JP2003232678A (ja) * 2002-02-08 2003-08-22 Olympus Optical Co Ltd 光強度測定装置
TWI314762B (en) * 2002-08-13 2009-09-11 Lam Res Corp Method for controlling a recess etch process
US8257546B2 (en) * 2003-04-11 2012-09-04 Applied Materials, Inc. Method and system for monitoring an etch process
US7077973B2 (en) * 2003-04-18 2006-07-18 Applied Materials, Inc. Methods for substrate orientation
US6905624B2 (en) * 2003-07-07 2005-06-14 Applied Materials, Inc. Interferometric endpoint detection in a substrate etching process
US7158221B2 (en) * 2003-12-23 2007-01-02 Applied Materials, Inc. Method and apparatus for performing limited area spectral analysis

Patent Citations (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH10298787A (ja) * 1997-04-25 1998-11-10 Shibaura Eng Works Co Ltd ドライエッチング装置
JP2002184755A (ja) * 2000-12-14 2002-06-28 Matsushita Electric Ind Co Ltd プラズマエッチングモニター方法及び装置

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR101408456B1 (ko) * 2011-12-16 2014-06-17 타이완 세미콘덕터 매뉴팩쳐링 컴퍼니 리미티드 다중-구역 종료점 검출기

Also Published As

Publication number Publication date
DE602007012503D1 (de) 2011-03-31
KR20080039251A (ko) 2008-05-07
EP1926125B1 (en) 2011-02-16
CN104614932A (zh) 2015-05-13
ATE498901T1 (de) 2011-03-15
CN101174082A (zh) 2008-05-07
TW200844667A (en) 2008-11-16
TWI388936B (zh) 2013-03-11
JP5441332B2 (ja) 2014-03-12
EP1926125A1 (en) 2008-05-28
JP2008112167A (ja) 2008-05-15
EP2309533A1 (en) 2011-04-13

Similar Documents

Publication Publication Date Title
KR100932574B1 (ko) 포토마스크 에칭을 위한 엔드포인트 검출
US8092695B2 (en) Endpoint detection for photomask etching
US20080099435A1 (en) Endpoint detection for photomask etching
US20080099436A1 (en) Endpoint detection for photomask etching
TWI579642B (zh) 抗反射塗層及吸收層蝕刻之蝕刻速率偵測
US8961804B2 (en) Etch rate detection for photomask etching
TWI512393B (zh) 光罩製造應用中之用於蝕刻石英基板的裝置及方法
KR102410496B1 (ko) 반사성 종료점 검출을 하는 에칭 처리 시스템
US10453696B2 (en) Dual endpoint detection for advanced phase shift and binary photomasks
TWI828781B (zh) 減弱干涉端點檢測系統中的內部反射的方法及處理腔室
US9059038B2 (en) System for in-situ film stack measurement during etching and etch control method
US20200013588A1 (en) Tilted interferometric endpoint (iep) window for sensitivity improvement
US8778204B2 (en) Methods for reducing photoresist interference when monitoring a target layer in a plasma process

Legal Events

Date Code Title Description
A201 Request for examination
E902 Notification of reason for refusal
AMND Amendment
E601 Decision to refuse application
AMND Amendment
J201 Request for trial against refusal decision
AMND Amendment
B701 Decision to grant
GRNT Written decision to grant
FPAY Annual fee payment

Payment date: 20121129

Year of fee payment: 4

FPAY Annual fee payment

Payment date: 20131129

Year of fee payment: 5

FPAY Annual fee payment

Payment date: 20141128

Year of fee payment: 6

FPAY Annual fee payment

Payment date: 20150930

Year of fee payment: 7

FPAY Annual fee payment

Payment date: 20161125

Year of fee payment: 8

FPAY Annual fee payment

Payment date: 20170929

Year of fee payment: 9