TWI579642B - 抗反射塗層及吸收層蝕刻之蝕刻速率偵測 - Google Patents

抗反射塗層及吸收層蝕刻之蝕刻速率偵測 Download PDF

Info

Publication number
TWI579642B
TWI579642B TW101143715A TW101143715A TWI579642B TW I579642 B TWI579642 B TW I579642B TW 101143715 A TW101143715 A TW 101143715A TW 101143715 A TW101143715 A TW 101143715A TW I579642 B TWI579642 B TW I579642B
Authority
TW
Taiwan
Prior art keywords
layer
germanium
substrate
reflected
optical signal
Prior art date
Application number
TW101143715A
Other languages
English (en)
Other versions
TW201331704A (zh
Inventor
格林柏恩麥克
Original Assignee
應用材料股份有限公司
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 應用材料股份有限公司 filed Critical 應用材料股份有限公司
Publication of TW201331704A publication Critical patent/TW201331704A/zh
Application granted granted Critical
Publication of TWI579642B publication Critical patent/TWI579642B/zh

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L22/00Testing or measuring during manufacture or treatment; Reliability measurements, i.e. testing of parts without further processing to modify the parts as such; Structural arrangements therefor
    • H01L22/20Sequence of activities consisting of a plurality of measurements, corrections, marking or sorting steps
    • H01L22/26Acting in response to an ongoing measurement without interruption of processing, e.g. endpoint detection, in-situ thickness measurement
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32917Plasma diagnostics
    • H01J37/32935Monitoring and controlling tubes by information coming from the object and/or discharge
    • H01J37/32963End-point detection
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32917Plasma diagnostics
    • H01J37/32935Monitoring and controlling tubes by information coming from the object and/or discharge
    • H01J37/32972Spectral analysis
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3205Deposition of non-insulating-, e.g. conductive- or resistive-, layers on insulating layers; After-treatment of these layers
    • H01L21/321After treatment
    • H01L21/3213Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer
    • H01L21/32133Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only
    • H01L21/32135Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only by vapour etching only
    • H01L21/32136Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only by vapour etching only using plasmas
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L22/00Testing or measuring during manufacture or treatment; Reliability measurements, i.e. testing of parts without further processing to modify the parts as such; Structural arrangements therefor
    • H01L22/10Measuring as part of the manufacturing process
    • H01L22/12Measuring as part of the manufacturing process for structural parameters, e.g. thickness, line width, refractive index, temperature, warp, bond strength, defects, optical inspection, electrical measurement of structural dimensions, metallurgic measurement of diffusions

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Plasma & Fusion (AREA)
  • Chemical & Material Sciences (AREA)
  • Analytical Chemistry (AREA)
  • Spectroscopy & Molecular Physics (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • General Chemical & Material Sciences (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Drying Of Semiconductors (AREA)

Description

抗反射塗層及吸收層蝕刻之蝕刻速率偵測
本發明實施例大體係關於製造積體電路及製造用於生產積體電路的光罩。
在積體電路(IC)或晶片的生產中,代表不同晶片層之圖案係由晶片設計師建立。在生產製程期間,為將每一晶片層之設計轉移至半導體基板上,由此等圖案建立一系列可重用之遮罩或光罩。遮罩圖案產生系統使用精密鐳射或電子束使晶片每層之設計成像於各自之遮罩層上。然後很像照像底片那樣用此等遮罩將每層之電路圖案轉移至半導體基板上。此等層使用一系列製程構建,並轉變成微小的電晶體及包含每個完整晶片之電路。因此,遮罩中任何缺陷均會轉移至晶片中,可能對效能產生不利影響。足夠嚴重的缺陷可能會導致遮罩完全不能使用。通常情況下,使用一組15到30個遮罩來構造一個晶片並可重複使用該組15到30個遮罩。
下文進一步討論之下一代光罩形成於表面設置有多 層薄膜堆疊之低熱膨脹性玻璃或石英基板上。此多層薄膜堆疊可包括抗反射塗層、吸收層、覆蓋層及反射性多材料層。生產此光罩時,於此薄膜堆疊上設置光阻劑層以便在隨後的圖案化製程中將圖形特徵轉移至薄膜堆疊中。在圖案化製程期間,藉由使光阻劑部分暴露於遠紫外光或紫外光將電路設計寫至光罩上,使暴露的部分在顯影溶液中溶解。隨後去除抗蝕劑之溶解部分,允許對經由剩餘光阻劑暴露的下層薄膜堆疊進行蝕刻。蝕刻製程在去除抗蝕劑處自光罩去除薄膜堆疊,亦即,去除暴露的薄膜堆疊。
隨著臨界尺寸(CD)的縮小,目前的光學微影術已接近45奈米(nm)技術節點處的技術限制。下一代微影術(NGL)預計會(例如)以32 nm技術節點甚至更細微技術取代傳統微影術。有多種NGL可供選擇,例如,遠紫外線(EUV)微影術(EUVL)、電子投影微影術(EPL)、離子投影微影術(IPL)、奈米壓印及X射線微影術。其中,EUVL最有希望成為下一代技術,因EUVL具有微影術之大多數特性,與其他NGL方法相比較係更成熟之技術。
因此,當前正在開發此具有新薄膜方案之薄膜堆疊,以便配合EUV技術使用,從而促進形成設置有期望圖形特徵的光罩。薄膜堆疊可包括具有不同新材料之多個層,以便經蝕刻形成期望圖形特徵。不精確的蝕刻製程及蝕刻終點控制可導致產生臨界尺寸(CD)偏置、較差的臨界尺寸(CD)均勻性、不當的截面輪廓及蝕刻臨界尺寸(CD)線性以及不受歡迎的缺陷。鹹信EUV技術可提供良好的CD均勻性、較小的 蝕刻偏差、期望的線性、較小的刻線邊緣粗糙度以及高厚度均勻性及低偵測率。
由於上述新開發薄膜堆疊包括抗反射塗層、吸收層、覆蓋層及反射性多材料層,獲取接受蝕刻之每層的精確的蝕刻終點變得愈加困難。不精確的蝕刻終點控制往往會導致產生蝕刻偏置,蝕刻偏置可導致向具有期望臨界尺寸小於約5 μm(例如,約50 nm至約500 nm)之薄膜堆疊轉移圖案時不夠精確。此情況將導致光罩的蝕刻圖形特徵不均勻,並會相應地減少使用該光罩並具有小臨界尺寸之設備產生圖形特徵的能力。隨著光罩的臨界尺寸不斷縮小,精確的蝕刻終點控制的重要性亦增大。因此,非常需要EUV技術中光罩上所設置之薄膜堆疊的精確的蝕刻製程終點控制。
因此,在光罩製造中,當前非常需要改良型蝕刻終點製程控制,包括收集蝕刻速率資料及決定製程終點之改良型裝置及方法。
本發明提供(例如)藉由在光罩之某些區域提供光學監測以獲得期望之蝕刻速率或厚度損失,來使用強化製程監測蝕刻光罩基板之方法及裝置。在一個實施例中,一種於蝕刻製程期間決定設置於基板上之含鉭層的蝕刻終點的方法包括:於電漿蝕刻腔室中經由圖案化遮罩層在設置於基板之第一表面上的第一含鉭層上執行一蝕刻製程;在蝕刻製程期間將具有自約200 nm至約800 nm之第一波長之輻射導引至 未經該圖案化遮罩層覆蓋之第一含鉭層的區域;收集自未經該圖案化遮罩層覆蓋之該區域反射的光訊號;分析自該反射的光訊號獲得的波形;以及當波形之斜率變化達約5%或更大時決定該蝕刻製程之第一終點。
在另一實施例中,一種於蝕刻製程期間決定設置於基板上之含鉭層之蝕刻終點的方法包括:在電漿蝕刻腔室中經由圖案化遮罩層在設置於基板之第一表面上的含鉭及氧層上執行蝕刻製程;將具有約200 nm至約800 nm之第一波長之第一輻射源自基板之第一表面導引至未經圖案化遮罩層覆蓋之區域;收集自圖案化遮罩層覆蓋之區域反射的第一光訊號以自反射的第一光訊號獲得第一波形;分析自第一時間點至第二時間點由基板之第一表面反射的反射第一光訊號獲得的第一波形;當自第一時間點至第二時間點波形之斜率之變化達約5%或更大時決定蝕刻製程之第一終點;繼續蝕刻含鉭不含氧層,該含鉭不含氧層設置於含鉭不含氧層與基板之間;將具有自約200 nm至約800 nm之第二波長的第二輻射源自基板之第一表面導引至未經圖案化遮罩層及經蝕刻含鉭不含氧層覆蓋之區域;收集自圖案化遮罩層及經蝕刻含鉭及氧層覆蓋之區域反射的第二光訊號,以自反射的第二光訊號獲得第二波形;分析自第三時間點至第四時間點由基板之第一表面反射的反射第二光訊號獲得的第二波形;以及當自第三時間點至第四時間點波形之斜率的變化達約5%或更大時決定該蝕刻製程之第二終點。
在又一實施例中,一種在蝕刻製程期間決定設置於 基板上之含鉭層之蝕刻終點的方法包括:在電漿蝕刻腔室中經由圖案化遮罩層在設置於基板之第一表面上的含鉭及氧層上執行蝕刻製程;將具有自約220 nm之第一波長之第一輻射源自基板之第一表面導引至未經圖案化遮罩層覆蓋之區域;收集自圖案化遮罩層覆蓋之區域反射的第一光訊號以自反射的第一光訊號獲得第一波形;分析自基板之第一表面反射的反射的第一光訊號獲得的第一波形;當反射的第一光訊號達到飽和時決定蝕刻製程之第一終點;繼續蝕刻含鉭不含氧層,該含鉭不含氧層設置於含鉭不含氧層與基板之間;將具有約230 nm之第二波長之第二輻射源自基板之第一表面導引至未經圖案化遮罩層及經蝕刻含鉭不含氧層覆蓋之區域;收集自圖案化遮罩層及經蝕刻含鉭及氧層覆蓋之區域反射的第二光訊號,以自反射的第二光訊號獲得第二波形;分析自基板之第一表面反射的反射的第二光訊號獲得的第二波形;以及當反射的第二光訊號達到飽和時決定蝕刻製程之第二終點。
10‧‧‧電漿蝕刻腔室
12‧‧‧腔室體
13‧‧‧腔室蓋
14‧‧‧電漿區
15‧‧‧腔室壁
16‧‧‧基板支撐構件
16C‧‧‧中心區域
17‧‧‧腔室底部
22‧‧‧氣體分配器
25‧‧‧射頻匹配網絡
26‧‧‧感應線圈
27‧‧‧電源
28‧‧‧電極電源
30‧‧‧排出系統
32‧‧‧節流閥
34‧‧‧排氣口
35‧‧‧射頻匹配網絡
48‧‧‧製程氣體源
100‧‧‧蝕刻反應器
102‧‧‧基板
106b‧‧‧單矽層
164‧‧‧終點偵測系統
166‧‧‧光源
168‧‧‧聚焦組件
170‧‧‧光偵測器
172‧‧‧電腦系統
174A‧‧‧凸聚焦透鏡
174B‧‧‧凸聚焦透鏡
176‧‧‧入射光束
178‧‧‧反射光束
180‧‧‧斑點
184‧‧‧光束定位器
186‧‧‧主鏡
192‧‧‧視窗
193‧‧‧側視窗
195‧‧‧第二蝕刻速率偵測系統
196‧‧‧調整機構
197‧‧‧入射角
199‧‧‧攝錄機
200‧‧‧薄膜堆疊
204‧‧‧含鉻層
206‧‧‧反射性多材料層
206a‧‧‧鉬層
206b‧‧‧矽層
208‧‧‧覆蓋層
210‧‧‧塊體吸收層
212‧‧‧抗反射塗層
214‧‧‧圖案化光阻劑層
216‧‧‧吸收層
218‧‧‧開口
220‧‧‧部分
224‧‧‧部分
300‧‧‧方法
302‧‧‧方塊
304‧‧‧方塊
306‧‧‧方塊
308‧‧‧方塊
402‧‧‧光訊號
403‧‧‧開始時間點
404‧‧‧穩定值
406‧‧‧時間點
408‧‧‧開始時間點
410‧‧‧光訊號
412‧‧‧時間點
414‧‧‧穩定值
750‧‧‧入射光束
752‧‧‧返回光束/反射光束
參考附圖中圖示之本發明實施例,可實現本發明上述特徵、優勢及目標,並可更詳盡地瞭解上文概述之發明內容詳情。
但應注意,附圖僅圖示本發明之典型實施例,然本發明亦可接受其他等效實施例,故不可認為此等附圖係對本發明之範疇加以限制。
第1圖圖示併入本發明一個實施例之蝕刻腔室;第2圖示意性地圖示製造期間光罩之一個實施例的結構;第3圖圖示關於在第2圖中圖示製造過程期間終點決定製程的流程圖;第4A圖圖示為抗反射塗層蝕刻決定蝕刻速率而偵測之光訊號的一個實施例;以及第4B圖圖示為塊體吸收層蝕刻決定蝕刻速率而偵測之光訊號的一個實施例。
為方便理解,在可能時,使用相同元件符號指示此等圖示中共有之相同元件。本發明可設想一個實施例之元件及特徵可有益地併入其他實施例中而無需贅述。
但應注意,附圖僅圖示本發明之示例性實施例,然本發明亦可接受其他等效實施例,故不可認為此等附圖係對本發明之範疇加以限制。
本發明提供(例如)藉由在光罩之某些區域提供光學監測以獲得期望之蝕刻速率或終點決定來使用強化製程監測蝕刻光罩基板之方法及裝置。儘管討論及說明性實例重點關注設置於光罩基板上之抗反射塗層及吸收層之蝕刻製程中的蝕刻速率偵測及製程終點決定,但本發明各實施例亦可適用於包括透明或介電基板在內之其他適宜基板或光碟的製程監測。
第1圖為根據本發明一個實施例之電漿蝕刻腔室10之截面示意圖。可能適於實施本發明之適宜電漿蝕刻腔室包括可自加利福尼亞州聖大克勞拉市的應用材料公司購買之TetraTM II光罩蝕刻腔室或解耦電漿源(DPSTM)腔室。其他適宜適用製程腔室亦可結合本發明實施例使用,舉例而言,包括電容性耦合平行板腔室及磁性增強離子蝕刻腔室以及不同設計之感應耦合電漿蝕刻腔室。提供本文所述蝕刻腔室10之特定實施例僅用於說明之目的,而不應用於限定本發明之範疇。可設想本發明可用於包括來自於其他製造商之其他處理系統。
蝕刻腔室10通常包括圓柱形側壁或腔室體12、安裝於腔室體12上之能量穿透腔室蓋13以及腔室底部17。腔室蓋13可為扁平、矩形、拱形、錐形、穹頂形或多半徑形。在腔室蓋13至少一部分上方設置至少一個感應線圈26。第1圖所示之實施例中,圖示了兩同心線圈26。蝕刻腔室10之腔室體12及腔室底部17可由諸如陽極鋁之金屬製成,且腔室蓋13可由諸如陶瓷或其他介電材料之能量穿透材料製成。
基板支撐構件16置於蝕刻腔室10內,以在處理過程中支撐基板102。支撐構件16可為傳統機械式卡盤或靜電卡盤,其中支撐構件16之至少一部分應具有電導性且能用作製程偏壓陰極。儘管未圖示,但可使用光罩配接器將光罩固定於支撐構件16之上。光罩配接器大體包括下部部分以及頂端部分,該下部部分經配置以覆蓋支撐構件之上部部分,該頂端部分具有尺寸與形狀適於固定光罩之開口。在一個實施 例中,光罩配接器之頂端部分具有正方形開口。適宜光罩配接器揭示於2001年6月26日發佈之美國專利第6,251,217號中,該專利案以引用的方式併入本文中。
製程氣體從製程氣體源48經由包繞支撐構件16及/或置於腔室蓋13內之氣體分配器22引入至蝕刻腔室10內。每種製程氣體或製程氣體混合物之質量流量控制器(未圖示)置於蝕刻腔室10與製程氣體源48之間,以調節各製程氣體之流速。
電漿區14界定為在基板支撐構件16與腔室蓋13之間的蝕刻腔室10內。藉由從電源27經由射頻匹配網絡35向感應線圈26供電,在電漿區14中從製程氣體產生電漿。支撐構件16可包括一置於支撐構件16之中之電極,該電極由電極電源28供電,並經由射頻匹配網絡35在蝕刻腔室10中產生電容性電場。通常,射頻功率施加於支撐構件16內的電極之上,而腔室體12則為電氣接地。橫穿支撐構件16平面的電容性電場會影響帶電物質之方向性,以提供基板102之更具非等向性的蝕刻。
製程氣體及蝕刻劑副產物經由排氣口34自蝕刻腔室10排入排出系統30中。排氣口34可置於蝕刻腔室10之底部17內,或可置於蝕刻腔室10之腔室體12內,以移除製程氣體。節流閥32設置於排氣口34內,以控制蝕刻腔室10內之壓力。
在一個實施例中,蝕刻速率(亦即終點)偵測系統164可操作地耦合至蝕刻腔室10。至少一光學接入口或視口 設置於基板支撐構件16、腔室蓋13及/或腔室體12之不同區域內。在第1圖所示實例中,光學接入口在腔室蓋13之中心區域16C處分別包含一視窗192。終點偵測系統164經配置以偵測透過視窗192的光訊號。應注意,在腔室蓋13或蝕刻腔室10之其他位置可能會形成一個以上視窗,藉此在蝕刻過程中從基板表面實現對光罩基板102之各位置的光學監測。另外,如有需要,亦可在腔室蓋13、腔室體12及/或基板支撐構件16之其他位置設置不同數量的視窗。舉例而言,側視窗193可能會形成於具有耦合之第二蝕刻速率偵測系統195的腔室壁15上,以便於蝕刻速率決定製程。攝錄機199可設置於為與蝕刻速率偵測系統164相鄰,以透過與終點偵測系統164相同之光學視圖口協助查看基板102,藉以確認來自蝕刻速率偵測系統164之輻射導引至偵測基板表面上的正確位置。
大體而言,更大視窗便於光學元件之安裝。然而,所選擇的視窗尺寸既應足夠大,尤其是腔室蓋13之中心區域16C處的視窗,以進行光學監測,也應足夠小,以避免由於射頻干涉導致的潛在的不利影響。選擇小視窗亦可改進腔室蓋13之橫向溫度均一性。光學接入口大體可包括由石英或其他材料製成之平面窗,該等材料可透過寬波長頻譜的光,且耐電漿蝕刻。下文進一步提供了不同光學配置之更詳細的討論。
終點偵測系統164包括在下列各項之至少一者中操作的光學設置:反射、干涉或透射模式,該系統經配置用於 不同類型的測量,如反射率或透射率、干涉或光學發射光譜法。視感興趣之應用而定,如材料層或正在處理之基板結構,可基於反射率或透射率強度、干涉條紋數量或特定波長之光學發射強度變化或者上述各項之組合來偵測終點。在此處描述之一特定實施例中,終點偵測系統164經配置以基於反射自蝕刻的基板表面之反射率變化來偵測製程終點。
操作之反射模式允許執行反射率(或反射法)或干涉術測量。終點偵測系統164大體包括光源166,將來自光源166的入射光束176聚焦至基板102表面上之離散區(斑點)180的聚焦組件168,以及測量自基板102之斑點180反射出的反射光束178之強度的光偵測器170。可設置任何調整機構196來設定光束176之入射角197,使得可將斑點180選擇性地置於基板102上之期望位置。調整機構196可為致動器、固定螺釘或其他適宜藉由移動(傾斜)終點偵測系統164或終點偵測系統164中之元件來設定入射角197之設備,如光束定位器184,下文對此進行進一步討論。光偵測器170可為單波長或多波長偵測器,或者光譜儀。基於反射光束178之被測訊號,電腦系統172計算實時波形部分並與儲存的特性波形圖案相比較,以擷取蝕刻製程之相關資訊。在一個實施例中,在反射或透射模式中,計算可基於(例如)當將膜蝕刻至目標深度時偵測訊號之斜率變化或其他特性變化。或者,計算可基於干涉訊號,因蝕刻過程中溝槽深度及膜厚度會發生變化。在其他實施例中,可基於寬波長頻譜得出之干涉訊號執行更詳細的計算,以決定蝕刻製程之任何點的深度 或厚度,從而決定蝕刻物件之蝕刻速率。
光源166可為單色光、多色光、白光或其他適宜光源。一般情況下,可分析來自反射光束178之光訊號以擷取是否存在層(例如,抗反射塗層或吸收層)或斑點180內某些材料層之厚度的相關資訊。應選擇強度足夠高之入射光束176,以使反射光束178具有可測強度。亦可開關燈來減去背景光。在一個實施例中,光源166提供了來自諸如Hg-Cd燈、弧光燈或發光二極體(LED)或者LED陣列等多色光,所產生光之波長範圍分別為約170 nm至約800 nm,或約200至800 nm,例如約250 nm至約800 nm。可過濾多色光源166以提供具有所選擇頻率之入射光束176。可將濾色器置於光偵測器170之前,以在測量進入光偵測器170之反射光束178的強度之前過濾掉除光之期望波長外的全部波長。可由光譜儀(具有波長色散元件之陣列偵測器)分析光,以提供寬波長範圍之資料,如自紫外光至可見光,波長範圍為約200 nm至800 nm。光源166亦可包括閃光燈,例如,Xe或其他鹵素燈,或提供在所選波長之光學發射的單色光源,如He-Ne或ND-YAG鐳射。光源可經配置以連續或脈波模式作業。或者,採用可穩定透射遠紫外線之光學材料並利用惰性氣體及諸如氮氣等其他載體氣體吹掃氣體路徑,可將波長範圍擴展為低至170 nm或更低的波長。
可使用一或更多個凸聚焦透鏡174A、174B將入射光束176聚焦至基板表面上之斑點180,並將反射光束178聚焦回光偵測器170之活性表面上。斑點180應足夠大,以 補償基板102之表面形貌及設備設計特徵之變化。此舉使得偵測具有諸如通孔或深而窄溝槽類小開口之高深寬比特徵的蝕刻終點,此些開口可能密集分佈或更加孤立。反射光束178的區域應足夠大,以激活光偵測器170之活性光偵測表面的較大部分。穿過蝕刻腔室10內之透明視窗192導引入射及反射光束176、178,該視窗使光束能進出處理環境。
光束斑點180之直徑一般為約2 mm至約10 mm。然而,若光束斑點180包含的基板102之較大孤立區域中僅包含少量蝕刻圖形特徵,可能需要使用更大的光束斑點,以包含更多蝕刻圖形特徵。因此可視特定設備之設計特徵而定來最佳化光束斑點大小。若訊號充足,則大光束斑點或視場將可啟用製程控制,而無需精確匹配基板支撐通孔與基板上產生訊號之蝕刻區域的位置。
視情況,光束定位器184可用於在基板102上移動入射光束176來定位基板表面之適宜部分,將光束斑點180置於適宜部分上以監測蝕刻製程。光束定位器184可包括一或更多個主鏡186,小角度旋轉該等主鏡可將光束從光源166偏轉至基板表面的不同位置。可使用額外副鏡(未圖示)將反射光束178引導至光偵測器170上。光束定位器184亦可用於掃描基板102表面上之光柵圖案內的光束。在此實施例中,光束定位器184包括掃描組件,該組件由活動平臺(未圖示)組成,光源166、聚焦組件168及光偵測器170均安裝於該平臺上。可利用諸如步級電動機或電流計等傳動機構移動活動平臺設定間隔,以掃描基板102上之光束斑點180。
光偵測器170包含一光敏電子元件,如光伏打電池、光電二極體、光電晶體或光電倍增器,該元件提供了響應反射光束178之測量強度的訊號。該訊號可為以下形式:通過電子元件之電流位準的變化或施加於電元件上之電壓的變化。光偵測器170亦可包含一光譜儀(具有波長色散元件之陣列偵測器),以提供寬波長範圍之資料,如自紫外光至可見光,波長範圍為約170 nm至800 nm。反射光束178會受到增強或減弱光束強度之相長及/或相消干涉,光偵測器170提供與反射光束178之測量強度相關之電輸出訊號。將電輸出訊號繪製為時間的函數,以提供包含對應於反射光束178之不同強度之多個波形圖案的光譜。
在另一實施例中,亦可依據不同製程要求之需要來採集例如電漿區產生之電漿放射等電漿訊號進行偵測。
電腦系統172上之電腦程式分析反射光束178之測定波形圖案的形狀,以決定蝕刻製程之終點。波形大體為類正弦振盪形狀,每個波長的波谷出現於當蝕刻特徵深度導致返回訊號與塗覆層反射之返回訊號異相180度時。可使用測定波形、測定波形之相位資訊及/或測定波形與參考波形之比較計算蝕刻速率來決定終點。因此,可使用干涉訊號之週期來計算深度及蝕刻速率。該程式亦可對測定波形操作來偵測特徵波形,如表示反射自不同層之光線的相位差的拐點。該等操作可為簡單的數學運算,如估算移動導數來偵測拐點。
第2圖顯示了光罩基板102,光罩基板102上設置了薄膜堆疊200用於進行可由本發明之不同蝕刻速率偵測技 術來監測之蝕刻。薄膜堆疊200設置於可用來在薄膜堆疊200上形成期望特徵(即開口218)之光罩基板102上。如第2圖所圖示之示例性實施例,光罩基板102可為石英基板或特殊低熱膨脹玻璃基板。光罩基板102呈矩形,矩形側邊長約5英吋至約9英吋。光罩基板102厚度可為約0.15英吋至約0.25英吋。在一個實施例中,光罩基板102約0.25英吋厚。如氮化鉻(CrN)層之可選的含鉻層204可視需要設置於光罩基板102之背面。
將EUV反射性多材料層206設置於光罩基板102上。反射性多材料層206可包括至少一鉬層206a及一矽層206b。儘管第2圖圖示之實施例顯示了五對鉬層206a及矽層206b(鉬層206a與矽層206b交替重複形成於光罩基板102上),應注意鉬層206a及矽層206b之數量可基於不同製程需要而異。在一特定實施例中,可沉積四十對鉬層206a及矽層206b以形成反射性多材料層206。在一個實施例中,每一單鉬層206a之厚度可控制在約10 Å至約100 Å之間,如約30 Å,每一單矽層106b之厚度可控制在約10 Å至約100 Å之間,如約40 Å。反射性多材料層206之總厚度可為約100 Å至約5000 Å。反射性多材料層206之EUV光反射率在13.5 nm波長時可高達70%。反射性多材料層206之總厚度可為約70 nm至約140 nm。
隨後,將覆蓋層208設置於反射性多材料層206上。覆蓋層208可由金屬材料製成,如釕(Ru)材料、鋯(Zr)材料或任何其他適宜材料。在第2圖圖示之實施例中,覆蓋層208 係釕(Ru)層。覆蓋層208厚約1 nm至約10 nm。
然後可將吸收層216設置於覆蓋層208上。吸收層216係不透明遮光層,該層經配置以吸收部分在微影術製程中產生的光。吸收層216可為單層或多層結構形式,如包括設置於塊體吸收層210上之抗反射塗層212,如第2圖圖示之實施例所示。在一個實施例中,吸收層216之總膜厚度為約50 nm至約200 nm。吸收層216之總厚度有利地促進其滿足針對亞45 nm技術節點應用中EUV遮罩之嚴格的總蝕刻輪廓公差。
在一個實施例中,塊體吸收層210可包含鉭基材料且完全不含氧,例如TaSi等矽化鉭基材料,TaBN等硼化氮鉭基材料,以及TaN等氮化鉭基材料。抗反射塗層212可由鉭及氧基材料製成。抗反射塗層212之成分與塊體吸收層210之成分相對應,當塊體吸收層210包含TaSi或TaSiN時,抗反射塗層212之成分可包含氧化鉭及氮化鉭以及矽基材料,如TaSiON;當塊體吸收層210包含TaBN時,抗反射塗層212之成分可包含鉭硼氧化物基材料,如TaBO;當塊體吸收層210包含TaN時,抗反射塗層212之成分可包含氧化鉭及氮化鉭基材料,如TaON。抗反射塗層212亦可包含TaO。
然後會在吸收層216上形成圖案化光阻劑層214,在吸收層216內形成有開口218,暴露出吸收層216之部分220以進行蝕刻。光阻劑層214可包含任何適宜抗感光材料,如電子束阻劑(例如化學放大型阻劑(CAR)),該等材料以任何適宜方式沉積並形成圖案。光阻劑層可沉積至厚度為約100 nm至約1000 nm。
光罩基板102可被輕易地轉移至蝕刻處理腔室,如參考第1圖圖示之蝕刻反應器100,來執行蝕刻製程。第2圖圖示之實施例顯示了吸收層216之部分224已被蝕刻掉,且在蝕刻製程期間已打開終點偵測系統164來監測蝕刻進展以決定適當的蝕刻製程終點,此將參考第3-4B圖在下文進一步詳細討論。執行蝕刻製程來蝕刻通過光阻劑層214界定的開口218而露出的吸收層216及覆蓋層208。執行蝕刻製程來蝕刻吸收層216及覆蓋層208,直至露出反射性多材料層206之下層表面。可使用一個製程步驟,如使用單蝕刻劑化學品,亦可視需要分別使用一個或不同蝕刻製程之多步驟來執行蝕刻,以連續蝕刻抗反射塗層212及塊體吸收層210。然後來自光阻劑層214之圖案會經由蝕刻製程轉移至吸收層216。
隨後,執行反射性多材料層蝕刻製程來蝕刻反射性多材料層206。反射性多材料蝕刻製程使用蝕刻氣體混合物,該氣體混合物經配置以蝕刻反射性多材料層206,直至去除所期望之深度之反射性多材料層206或露出下層光罩基板102。由於反射性多材料層206可包括多於一種材料類型,因此所選蝕刻氣體混合物配置為具有較高蝕刻能力以蝕刻不同材料,並保持對上部覆蓋層208及吸收層216之較高選擇性以便維持所期望的側壁輪廓,從而完成光罩製造製程。
第3圖係方法300之一個實施例的流程圖,該方法用於蝕刻設置於蝕刻光罩上之薄膜堆疊中形成的吸收層,如第2圖圖示之形成於薄膜堆疊200中的吸收層216,並決定蝕刻吸收層216之蝕刻製程終點。儘管下文參考用於製造光罩 之基板描述了方法300,但方法300亦可用於為其他光罩蝕刻或任何蝕刻應用提供益處。
方法300開始於方塊302處,此時光罩基板102轉移至設置於蝕刻反應器(如第1圖圖示之蝕刻腔室)內之基板支撐構件且置於該基板支撐構件上。如上所述,光罩基板102包括光學透明矽基材料,如石英或低熱膨脹性玻璃層,該光學透明矽基材料上面設置有吸收層216,且由圖案化光阻劑層214露出吸收層216之部分222,以易於執行蝕刻。
在方塊304處,執行蝕刻製程來蝕刻設置於基板102上之吸收層216。圖案化光阻劑層214可用作遮罩層來保護吸收層216的一些部分在吸收層蝕刻製程中免遭蝕刻。可在反射或透射模式中監測蝕刻吸收層216之蝕刻製程終點偵測,且可執行反射率、透射率及/或干涉訊號。在此處描述之一特定實施例中,在反射模式中對蝕刻吸收層216之製程終點偵測進行監測。
在一個實施例中,通常使用含鹵素氣體來蝕刻光罩結構之薄膜堆疊200上存在的不同材料。舉例而言,含氯製程氣體可用於蝕刻吸收層(如含鉭層)。另外,諸如三氟甲烷(CHF3)或四氟甲烷(CF4)等含氟氣體亦可用於蝕刻石英。在一個實施例中,諸如三氟甲烷(CHF3)或四氟甲烷(CF4)等含氟氣體通常用於蝕刻TaO或TaBO抗反射層,而更多選擇性氯及氧氣體組合則用於蝕刻TaN或TaBN吸收層。
在方塊306處,蝕刻吸收層216時,來自終點偵測系統164之入射光束750被導引至蝕刻基板表面。如第2圖 所示,來自蝕刻速率偵測系統164之入射光束750經由腔室蓋內之視窗之一者被導引至光罩基板102之一或更多個區域上。入射光束750經配置以被導引至開口218,如由圖案化光阻劑層214而露出的吸收層216之敞開區域以視需要經蝕刻,以形成薄膜堆疊200之溝槽、通孔及孔徑。或者,電漿自身可用作光源。
如在正在被蝕刻及暴露的開口218內自蝕刻吸收層216之表面反射離開的返回光束752可由蝕刻速率偵測系統164之光偵測器170偵測到。或者,返回光束可為在定向區域自光罩反射離開之電漿光線。在蝕刻吸收層216期間,反射光束752之強度會隨時間變化。然後會分析反射光束752在特定波長之隨時間變化的強度,以決定至少下列各項之一者:吸收層蝕刻製程之蝕刻深度、蝕刻速率及終點。
在方塊308處,由對自蝕刻基板之表面反射的所偵測之反射光束752獲得的波形分析,決定蝕刻製程終點。在吸收層206為具有設置於塊吸收層210上之抗反射塗層212之複合層的實施例中,初始偵測到的反射光束752係由蝕刻抗反射塗層212產生。蝕刻掉抗反射塗層212後,可繼續收集反射光束752以決定蝕刻塊吸收層210之終點。可使用兩種不同波長將終點偵測製程分為兩步驟式製程,或使用同一偵測波長繼續執行終點偵測製程,直至蝕刻掉整個塊吸收層210並暴露出下層覆蓋層208。第4A圖圖示為決定蝕刻抗反射塗層212之蝕刻速率而偵測的光訊號之一個實施例,此光訊號波長介於約200 nm與約230 nm之間,由光源166發出。 如第4A圖所示,將光訊號402繪製為時間之函數,以提供與隨時間變化而產生之不同強度反射光束752相對應的波形圖案。該波長之波形圖案將不同於其他波長之波形圖案。收集波長頻譜將提供眾多波形圖案。當在蝕刻反應器中蝕刻生產基板時,會實時偵測光訊號402。在第4A圖所圖示的實施例中,反射光束752之強度隨下層塊吸收層210逐漸露出而逐漸增強。當逐漸蝕刻掉抗反射塗層212時,反射光束752之強度逐漸增加,直至達到飽和。當反射光束752之強度在時間點406處達到飽和並隨後保持在一個穩定值404時,此情況表明抗反射塗層212已基本被蝕刻掉,暴露出下層塊吸收層210,藉此決定此時間點406為蝕刻掉抗反射塗層212之正確終點。
在一個實施例中,當與收集於偵測製程之開始時間點403處的初始偵測反射光束752相比,所偵測之反射光束752強度增加量介於1%與20%之間(例如約介於4%至12%之間,如約5%或10%)時,可決定蝕刻抗反射塗層212的終點。在另一實施例中,當光訊號402之斜率初始較小,隨後增加到至少兩倍然後又變小時,可決定蝕刻抗反射塗層212之終點。換言之,與初始偵測的斜率相比,蝕刻抗反射塗層212終點之變化約為100%。在又一實施例中,當光訊號402已變為飽和且穩定狀態(或不再增加)保持時間約超過3秒時,可決定蝕刻抗反射塗層212之終點。在一個利用波長約為230 nm的光源來偵測蝕刻抗反射塗層212之終點的示例性實施例中,在時間點406處出現的製程終點介於約10秒與約 25秒之間。
第4B圖圖示為決定蝕刻塊吸收層210之蝕刻速率而偵測的光訊號752之一個實施例,所採用光波長介於約200 nm與約800 nm之間,如介於約200 nm與約240 nm之間,由光源166或電漿源發出。如第4B圖所示,將光訊號410繪製為時間之函數,以提供與隨塊吸收層210蝕刻時間變化而產生之不同強度反射光束752相對應的波形圖案。在第4B圖圖示之實施例中,反射光束752之強度初始較低且有所下降,然後則隨塊吸收層210逐漸被蝕刻掉而逐漸增強。當逐漸蝕刻掉塊體吸收層210而露出下層覆蓋層208時,反射光束752之強度逐漸增加,直至達到飽和並保持恆定。當反射光束752之強度在時間點412處達到飽和並隨後保持在一個穩定值414時,此情況表明已實質上蝕刻掉塊吸收層210,暴露出下層覆蓋層208,藉此決定此時間點412為蝕刻掉抗反射塗層212之正確終點。隨著塊吸收層210逐漸被蝕刻掉而露出下層覆蓋層208,由於該覆蓋層208具有反射表面,因此當下層覆蓋層208逐漸暴露時所偵測之反射率增加。然後當反射率增加且保持恆定時,達到終點。
在一個實施例中,當與收集於偵測製程之開始時間點408處的初始偵測反射光束752相比,所偵測之反射光束752強度增加約5%或更大時,可決定蝕刻塊吸收層210之一終點。在另一實施例中,當光訊號410之斜率約為每10秒0.01,即自約0.23變化為約0.24(電漿源)時,可決定蝕刻塊吸收層210之終點。換言之,與初始偵測的斜率相比,蝕 刻抗反射塗層212終點之變化約為5%或更大。在又一實施例中,當光訊號410已變為飽和且穩定狀態(或不再增加)保持時間約超過10秒時,可決定蝕刻塊吸收層210之終點。在一個利用波長約為220 nm的光源來偵測蝕刻塊吸收層210之終點的示例性實施例中,在時間點412處出現的製程終點介於約25秒與約175秒之間。
藉由監測如含鉭材料等蝕刻吸收層(抗反射塗層或塊體吸收層)在預先決定波長處的反射光束反射率,可通過分析自蝕刻基板表面反射的反射光束獲得的波形來獲得正確製程終點。本發明之實施例提供了一種強化製程監測與控制能力的改良型裝置及方法。此等改良亦可允許吸收層蝕刻應用的可靠的蝕刻速率/厚度損失及終點決定。
盡管以上闡述係針對本發明實施例,但亦可設計本發明其他及另外實施例,而不背離本發明基本範疇,本發明範疇由以下申請專利範圍決定。
402‧‧‧光訊號
403‧‧‧開始時間點
404‧‧‧穩定值
406‧‧‧時間點

Claims (19)

  1. 一種於一蝕刻製程期間決定設置於一基板上之一含鉭層的一蝕刻終點的方法,該方法包括以下步驟:在一電漿蝕刻腔室中經由一圖案化遮罩層在一第一含鉭層上執行一蝕刻製程,該第一含鉭層設置於一第二含鉭層上,該第二含鉭層設置於該基板之一第一表面上;在該蝕刻製程期間,將具有介於200nm與800nm之間之一第一波長之輻射導引至未被該圖案化遮罩層覆蓋之該第一含鉭層的一區域;收集自未被該圖案化遮罩層覆蓋之該區域反射的一光訊號;分析自反射的該光訊號獲得的一波形;當該波形之一斜率變化達5%或更大時,決定該蝕刻製程之一第一終點;以及在決定該蝕刻製程之該第一終點之後,繼續收集自未被該圖案化遮罩層覆蓋之該區域反射的該光訊號。
  2. 如請求項1所述之方法,其中該基板為一光罩。
  3. 如請求項1所述之方法,其中分析該波形之步驟進一步包括以下步驟:自反射的該光訊號獲得作為一時間之函數繪製的一反射形式的一第一光譜。
  4. 如請求項1所述之方法,其中繼續收集自未被該圖案化遮罩層覆蓋之該區域反射的該光訊號的步驟進一步包括以下步驟:在將未被該圖案化遮罩層覆蓋的該第一含鉭層移除之後,繼續收集自該第二含鉭層反射的光訊號。
  5. 如請求項4所述之方法,該方法進一步包括以下步驟:蝕刻設置於該基板與該第一含鉭層之間的該第二含鉭層;以及當蝕刻該第二含鉭層時,藉由導引具有自200nm至800nm之一第二波長之輻射穿過未被該圖案化遮罩層覆蓋的該區域,繼續收集反射的該光訊號。
  6. 如請求項5所述之方法,該方法進一步包括以下步驟:當該第二波形之一斜率變化5%時,決定蝕刻該第二含鉭層之一第二終點。
  7. 如請求項1所述之方法,其中該第一含鉭層為一TaSiON層、一TaBO層或一TaON層。
  8. 如請求項4所述之方法,其中該第二含鉭層為一TaSi層、一TaBN層或一TaN層。
  9. 如請求項1所述之方法,其中該第一波長為220nm。
  10. 如請求項5所述之方法,其中該第二波長為230nm。
  11. 如請求項4所述之方法,其中一反射性多材料層設置於該第二含鉭層與該基板之間。
  12. 如請求項11所述之方法,其中該反射性多材料層包括至少一鉬層及一矽層。
  13. 一種於一蝕刻製程期間決定設置於一基板上之一含鉭層的一蝕刻終點的方法,該方法包括以下步驟:在一電漿蝕刻腔室中經由一圖案化遮罩層在設置於該基板之一第一表面上的一含鉭及氧層上執行一蝕刻製程;將具有介於200nm與800nm之間之一第一波長之一第一輻射源自該基板之該第一表面導引至未被該圖案化遮罩層覆蓋之一區域;收集自未被該圖案化遮罩層覆蓋之該區域反射的一第一光訊號,以自反射的該第一光訊號獲得一第一波形;分析自一第一時間點至一第二時間點由該基板之該第一表面反射的該反射的該第一光訊號獲得的一第一波形;當自該第一時間點至該第二時間點該第一波形之一斜率變化達5%或更大時,決定該蝕刻製程之一第一終點;繼續蝕刻一含鉭不含氧層,該含鉭不含氧層設置於該含 鉭及氧層與該基板之間;將具有介於200nm與800nm之間之一第二波長之一第二輻射源自該基板之該第一表面導引至未被該圖案化遮罩層及經蝕刻的該含鉭及氧層覆蓋之一區域;收集自未被該圖案化遮罩層及經蝕刻的該含鉭及氧層覆蓋之該區域反射的一第二光訊號,以自反射的該第二光訊號獲得一第二波形;分析自一第三時間點至一第四時間點由該基板之該第一表面反射的該反射的該第二光訊號獲得的一第二波形;以及當自該第三時間點至該第四時間點該第二波形之一斜率變化達5%或更大時,決定該蝕刻製程之一第二終點。
  14. 如請求項13所述之方法,其中該含鉭不含氧層為一TaSi層、一TaBN層或一TaN層。
  15. 如請求項13所述之方法,其中該含鉭及氧層為一TaSiON層、一TaBO層或一TaON層。
  16. 如請求項13所述之方法,其中該第一波長為220nm,且該第二波長為230mm。
  17. 如請求項13所述之方法,其中一反射性多材料層設置於該含鉭不含氧層與該基板之間。
  18. 如請求項17所述之方法,其中該反射性多材料層包括至少一鉬層及一矽層。
  19. 一種於一蝕刻製程期間決定設置於一基板上之一含鉭層的一蝕刻終點的方法,該方法包括以下步驟:在一電漿蝕刻腔室中經由一圖案化遮罩層在設置於該基板之一第一表面上的一含鉭及氧層上執行一蝕刻製程;將具有自220nm之一第一波長之一第一輻射源自該基板之該第一表面導引至未被該圖案化遮罩層覆蓋之一區域;收集自未被該圖案化遮罩層覆蓋之該區域反射的一第一光訊號,以自反射的該第一光訊號獲得一第一波形;分析自該基板之該第一表面反射的該反射的該第一光訊號獲得的一第一波形;當該反射的該第一光訊號達到飽和時,決定該蝕刻製程之一第一終點;繼續蝕刻一含鉭不含氧層,該含鉭不含氧層設置於該含鉭及氧層與該基板之間;將具有230nm之一第二波長之一第二輻射源自該基板之該第一表面導引至未被該圖案化遮罩層及經蝕刻的該含鉭及氧層覆蓋之一區域;收集自未被該圖案化遮罩層及經蝕刻的該含鉭及氧層覆蓋之該區域反射的一第二光訊號,以自反射的該第二光訊號獲得一第二波形;分析自該基板之該第一表面反射的該反射的該第二光訊 號獲得的一第二波形;以及當該反射的該第二光訊號變為飽和時,決定該蝕刻製程之一第二終點。
TW101143715A 2011-12-19 2012-11-22 抗反射塗層及吸收層蝕刻之蝕刻速率偵測 TWI579642B (zh)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US201161577318P 2011-12-19 2011-12-19
US13/543,222 US8900469B2 (en) 2011-12-19 2012-07-06 Etch rate detection for anti-reflective coating layer and absorber layer etching

Publications (2)

Publication Number Publication Date
TW201331704A TW201331704A (zh) 2013-08-01
TWI579642B true TWI579642B (zh) 2017-04-21

Family

ID=48610514

Family Applications (1)

Application Number Title Priority Date Filing Date
TW101143715A TWI579642B (zh) 2011-12-19 2012-11-22 抗反射塗層及吸收層蝕刻之蝕刻速率偵測

Country Status (3)

Country Link
US (2) US8900469B2 (zh)
TW (1) TWI579642B (zh)
WO (1) WO2013095818A1 (zh)

Families Citing this family (21)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US9157730B2 (en) 2012-10-26 2015-10-13 Applied Materials, Inc. PECVD process
JP6339333B2 (ja) * 2013-08-27 2018-06-06 芝浦メカトロニクス株式会社 ドライエッチング装置およびエッチング量測定方法
US9543225B2 (en) * 2014-04-29 2017-01-10 Lam Research Corporation Systems and methods for detecting endpoint for through-silicon via reveal applications
US9870935B2 (en) * 2014-12-19 2018-01-16 Applied Materials, Inc. Monitoring system for deposition and method of operation thereof
US11605546B2 (en) 2015-01-16 2023-03-14 Lam Research Corporation Moveable edge coupling ring for edge process control during semiconductor wafer processing
WO2016179023A1 (en) * 2015-05-01 2016-11-10 Adarza Biosystems, Inc. Methods and devices for the high-volume production of silicon chips with uniform anti-reflective coatings
US10957561B2 (en) 2015-07-30 2021-03-23 Lam Research Corporation Gas delivery system
US11018180B2 (en) 2015-10-09 2021-05-25 Shenzhen Xpectvision Technology Co., Ltd. Packaging methods of semiconductor x-ray detectors
US10825659B2 (en) 2016-01-07 2020-11-03 Lam Research Corporation Substrate processing chamber including multiple gas injection points and dual injector
US10699878B2 (en) 2016-02-12 2020-06-30 Lam Research Corporation Chamber member of a plasma source and pedestal with radially outward positioned lift pins for translation of a substrate c-ring
US10651015B2 (en) 2016-02-12 2020-05-12 Lam Research Corporation Variable depth edge ring for etch uniformity control
US10438833B2 (en) 2016-02-16 2019-10-08 Lam Research Corporation Wafer lift ring system for wafer transfer
US10312121B2 (en) * 2016-03-29 2019-06-04 Lam Research Corporation Systems and methods for aligning measurement device in substrate processing systems
JP6784530B2 (ja) * 2016-03-29 2020-11-11 東京エレクトロン株式会社 被処理体を処理する方法
US11011353B2 (en) 2016-03-29 2021-05-18 Lam Research Corporation Systems and methods for performing edge ring characterization
US10410832B2 (en) 2016-08-19 2019-09-10 Lam Research Corporation Control of on-wafer CD uniformity with movable edge ring and gas injection adjustment
US11022877B2 (en) * 2017-03-13 2021-06-01 Applied Materials, Inc. Etch processing system having reflective endpoint detection
US10553428B2 (en) * 2017-08-22 2020-02-04 Taiwan Semiconductor Manufacturing Company, Ltd. Reflection mode photomask and fabrication method therefore
TWI659258B (zh) * 2018-05-23 2019-05-11 亞智科技股份有限公司 蝕刻時間偵測方法及蝕刻時間偵測系統
US11728177B2 (en) * 2021-02-11 2023-08-15 Applied Materials, Inc. Systems and methods for nitride-containing film removal
CN115274488B (zh) * 2022-09-27 2023-02-10 浙江大学杭州国际科创中心 碳化硅裸片与碳化硅掩膜层刻蚀深度选择比预测方法

Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
TW200514135A (en) * 2003-09-09 2005-04-16 Photronics Inc Photomask having an internal substantially transparent etch stop layer
TW200717178A (en) * 2005-09-27 2007-05-01 Schott Ag Mask blank and photomask having antireflective properties
US20080070128A1 (en) * 2006-09-15 2008-03-20 Applied Materials, Inc. Method of etching extreme ultraviolet light (euv) photomasks
EP1926125A1 (en) * 2006-10-30 2008-05-28 Applied Materials, Inc. Endpoint detection for photomask etching

Family Cites Families (120)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
SE393967B (sv) 1974-11-29 1977-05-31 Sateko Oy Forfarande och for utforande av stroleggning mellan lagren i ett virkespaket
FI57975C (fi) 1979-02-28 1980-11-10 Lohja Ab Oy Foerfarande och anordning vid uppbyggande av tunna foereningshinnor
US4389973A (en) 1980-03-18 1983-06-28 Oy Lohja Ab Apparatus for performing growth of compound thin films
US5294286A (en) 1984-07-26 1994-03-15 Research Development Corporation Of Japan Process for forming a thin film of silicon
JPH066797B2 (ja) * 1985-03-11 1994-01-26 株式会社日立製作所 エツチングの終点検出方法
JPH0691045B2 (ja) * 1986-03-03 1994-11-14 日電アネルバ株式会社 エツチングモニタ−装置
JPH0639357B2 (ja) 1986-09-08 1994-05-25 新技術開発事業団 元素半導体単結晶薄膜の成長方法
JPH0620060B2 (ja) * 1987-03-18 1994-03-16 日本電気株式会社 ドライエツチング装置
DE3721637A1 (de) 1987-06-30 1989-01-12 Aixtron Gmbh Gaseinlass fuer eine mehrzahl verschiedener reaktionsgase in reaktionsgefaesse
DE3743938C2 (de) 1987-12-23 1995-08-31 Cs Halbleiter Solartech Verfahren zum Atomschicht-Epitaxie-Aufwachsen einer III/V-Verbindungshalbleiter-Dünnschicht
JPH0824191B2 (ja) 1989-03-17 1996-03-06 富士通株式会社 薄膜トランジスタ
GB2237303A (en) 1989-10-28 1991-05-01 Services Tech Sedco Forex Method of quantitative analysis of drilling fluid products
US5225366A (en) 1990-06-22 1993-07-06 The United States Of America As Represented By The Secretary Of The Navy Apparatus for and a method of growing thin films of elemental semiconductors
US5483919A (en) 1990-08-31 1996-01-16 Nippon Telegraph And Telephone Corporation Atomic layer epitaxy method and apparatus
JPH0521395A (ja) 1991-07-10 1993-01-29 Fujitsu Ltd エツチング終点の検出方法
US5480818A (en) 1992-02-10 1996-01-02 Fujitsu Limited Method for forming a film and method for manufacturing a thin film transistor
US5607009A (en) 1993-01-28 1997-03-04 Applied Materials, Inc. Method of heating and cooling large area substrates and apparatus therefor
JP3265042B2 (ja) 1993-03-18 2002-03-11 東京エレクトロン株式会社 成膜方法
US5443647A (en) 1993-04-28 1995-08-22 The United States Of America As Represented By The Secretary Of The Army Method and apparatus for depositing a refractory thin film by chemical vapor deposition
US5796116A (en) 1994-07-27 1998-08-18 Sharp Kabushiki Kaisha Thin-film semiconductor device including a semiconductor film with high field-effect mobility
FI100409B (fi) 1994-11-28 1997-11-28 Asm Int Menetelmä ja laitteisto ohutkalvojen valmistamiseksi
FI97730C (fi) 1994-11-28 1997-02-10 Mikrokemia Oy Laitteisto ohutkalvojen valmistamiseksi
FI97731C (fi) 1994-11-28 1997-02-10 Mikrokemia Oy Menetelmä ja laite ohutkalvojen valmistamiseksi
US6084302A (en) 1995-12-26 2000-07-04 Micron Technologies, Inc. Barrier layer cladding around copper interconnect lines
US6159297A (en) 1996-04-25 2000-12-12 Applied Materials, Inc. Semiconductor process chamber and processing method
US6342277B1 (en) 1996-08-16 2002-01-29 Licensee For Microelectronics: Asm America, Inc. Sequential chemical vapor deposition
US6649075B1 (en) * 1996-07-23 2003-11-18 Applied Materials, Inc. Method and apparatus for measuring etch uniformity of a semiconductor wafer
US5916365A (en) 1996-08-16 1999-06-29 Sherman; Arthur Sequential chemical vapor deposition
US5835677A (en) 1996-10-03 1998-11-10 Emcore Corporation Liquid vaporizer system and method
US5923056A (en) 1996-10-10 1999-07-13 Lucent Technologies Inc. Electronic components with doped metal oxide dielectric materials and a process for making electronic components with doped metal oxide dielectric materials
US5807792A (en) 1996-12-18 1998-09-15 Siemens Aktiengesellschaft Uniform distribution of reactants in a device layer
US5879459A (en) 1997-08-29 1999-03-09 Genus, Inc. Vertically-stacked process reactor and cluster tool system for atomic layer deposition
US6174377B1 (en) 1997-03-03 2001-01-16 Genus, Inc. Processing chamber for atomic layer deposition processes
AU6631298A (en) 1997-03-03 1998-09-22 Adcock Ingram Limited A composition comprising a carrier and a purified mycobacterial lipid cell-wall component and its use in the prevention, treatment and diagnosis of disease
US5866795A (en) 1997-03-17 1999-02-02 Applied Materials, Inc. Liquid flow rate estimation and verification by direct liquid measurement
DE69808037T2 (de) 1997-07-09 2003-06-05 Mitsui Chemicals Inc Verfahren zur herstellung von hydroperoxiden
KR100385946B1 (ko) 1999-12-08 2003-06-02 삼성전자주식회사 원자층 증착법을 이용한 금속층 형성방법 및 그 금속층을장벽금속층, 커패시터의 상부전극, 또는 하부전극으로구비한 반도체 소자
US6287965B1 (en) 1997-07-28 2001-09-11 Samsung Electronics Co, Ltd. Method of forming metal layer using atomic layer deposition and semiconductor device having the metal layer as barrier metal layer or upper or lower electrode of capacitor
KR100269306B1 (ko) 1997-07-31 2000-10-16 윤종용 저온처리로안정화되는금속산화막으로구성된완충막을구비하는집적회로장치및그제조방법
KR100261017B1 (ko) 1997-08-19 2000-08-01 윤종용 반도체 장치의 금속 배선층을 형성하는 방법
US6348376B2 (en) 1997-09-29 2002-02-19 Samsung Electronics Co., Ltd. Method of forming metal nitride film by chemical vapor deposition and method of forming metal contact and capacitor of semiconductor device using the same
US6197683B1 (en) 1997-09-29 2001-03-06 Samsung Electronics Co., Ltd. Method of forming metal nitride film by chemical vapor deposition and method of forming metal contact of semiconductor device using the same
KR100274603B1 (ko) 1997-10-01 2001-01-15 윤종용 반도체장치의제조방법및그의제조장치
FI104383B (fi) 1997-12-09 2000-01-14 Fortum Oil & Gas Oy Menetelmä laitteistojen sisäpintojen päällystämiseksi
KR100269328B1 (ko) 1997-12-31 2000-10-16 윤종용 원자층 증착 공정을 이용하는 도전층 형성방법
US6015917A (en) 1998-01-23 2000-01-18 Advanced Technology Materials, Inc. Tantalum amide precursors for deposition of tantalum nitride on a substrate
KR100267885B1 (ko) 1998-05-18 2000-11-01 서성기 반도체 박막증착장치
KR100282853B1 (ko) 1998-05-18 2001-04-02 서성기 연속기체분사에의한반도체박막증착장치
NL1009327C2 (nl) 1998-06-05 1999-12-10 Asm Int Werkwijze en inrichting voor het overbrengen van wafers.
KR100319888B1 (ko) 1998-06-16 2002-01-10 윤종용 선택적 금속층 형성방법, 이를 이용한 커패시터 형성 및 콘택홀 매립방법
KR100275738B1 (ko) 1998-08-07 2000-12-15 윤종용 원자층 증착법을 이용한 박막 제조방법
KR100327328B1 (ko) 1998-10-13 2002-05-09 윤종용 부분적으로다른두께를갖는커패시터의유전막형성방버뵤
KR100297719B1 (ko) 1998-10-16 2001-08-07 윤종용 박막제조방법
KR100331544B1 (ko) 1999-01-18 2002-04-06 윤종용 반응챔버에 가스를 유입하는 방법 및 이에 사용되는 샤워헤드
US6540838B2 (en) 2000-11-29 2003-04-01 Genus, Inc. Apparatus and concept for minimizing parasitic chemical vapor deposition during atomic layer deposition
US6200893B1 (en) 1999-03-11 2001-03-13 Genus, Inc Radical-assisted sequential CVD
US6305314B1 (en) 1999-03-11 2001-10-23 Genvs, Inc. Apparatus and concept for minimizing parasitic chemical vapor deposition during atomic layer deposition
US6358629B1 (en) 1999-03-31 2002-03-19 Mitsubishi Denki Kabushiki Kaisha Epoxy resin composition and semiconductor device using the same
KR100347379B1 (ko) 1999-05-01 2002-08-07 주식회사 피케이엘 복수매 기판의 박막 증착 공정이 가능한 원자층 증착장치
FI118342B (fi) 1999-05-10 2007-10-15 Asm Int Laite ohutkalvojen valmistamiseksi
US6124158A (en) 1999-06-08 2000-09-26 Lucent Technologies Inc. Method of reducing carbon contamination of a thin dielectric film by using gaseous organic precursors, inert gas, and ozone to react with carbon contaminants
IT1312358B1 (it) 1999-06-17 2002-04-15 Stoppani Luigi Spa Addotto della vitamina k,particolarmente idoneo come integratorevitaminico per mangimi
KR100319494B1 (ko) 1999-07-15 2002-01-09 김용일 원자층 에피택시 공정을 위한 반도체 박막 증착장치
US6732391B2 (en) 1999-08-11 2004-05-11 Comfort Research, Llc Frameless chair
US6984415B2 (en) 1999-08-20 2006-01-10 International Business Machines Corporation Delivery systems for gases for gases via the sublimation of solid precursors
US6391785B1 (en) 1999-08-24 2002-05-21 Interuniversitair Microelektronica Centrum (Imec) Method for bottomless deposition of barrier layers in integrated circuit metallization schemes
US6511539B1 (en) 1999-09-08 2003-01-28 Asm America, Inc. Apparatus and method for growth of a thin film
US6472107B1 (en) 1999-09-30 2002-10-29 Photronics, Inc. Disposable hard mask for photomask plasma etching
US6475276B1 (en) 1999-10-15 2002-11-05 Asm Microchemistry Oy Production of elemental thin films using a boron-containing reducing agent
US6203613B1 (en) 1999-10-19 2001-03-20 International Business Machines Corporation Atomic layer deposition with nitrate containing precursors
US6780704B1 (en) 1999-12-03 2004-08-24 Asm International Nv Conformal thin films over textured capacitor electrodes
KR100330749B1 (ko) 1999-12-17 2002-04-03 서성기 반도체 박막증착장치
KR100705926B1 (ko) 1999-12-22 2007-04-11 주식회사 하이닉스반도체 반도체 소자의 캐패시터 제조방법
KR100624903B1 (ko) 1999-12-22 2006-09-19 주식회사 하이닉스반도체 반도체 소자의 캐패시터 제조방법
FI118343B (fi) 1999-12-28 2007-10-15 Asm Int Laite ohutkalvojen valmistamiseksi
FI118474B (fi) 1999-12-28 2007-11-30 Asm Int Laite ohutkalvojen valmistamiseksi
FI20000099A0 (fi) 2000-01-18 2000-01-18 Asm Microchemistry Ltd Menetelmä metalliohutkalvojen kasvattamiseksi
KR100378871B1 (ko) 2000-02-16 2003-04-07 주식회사 아펙스 라디칼 증착을 위한 샤워헤드장치
WO2001066832A2 (en) 2000-03-07 2001-09-13 Asm America, Inc. Graded thin films
TW576873B (en) 2000-04-14 2004-02-21 Asm Int Method of growing a thin film onto a substrate
KR100363088B1 (ko) 2000-04-20 2002-12-02 삼성전자 주식회사 원자층 증착방법을 이용한 장벽 금속막의 제조방법
US6482733B2 (en) 2000-05-15 2002-11-19 Asm Microchemistry Oy Protective layers prior to alternating layer deposition
FI118805B (fi) 2000-05-15 2008-03-31 Asm Int Menetelmä ja kokoonpano kaasufaasireaktantin syöttämiseksi reaktiokammioon
KR100403611B1 (ko) 2000-06-07 2003-11-01 삼성전자주식회사 금속-절연체-금속 구조의 커패시터 및 그 제조방법
KR100332314B1 (ko) 2000-06-24 2002-04-12 서성기 박막증착용 반응용기
KR100332313B1 (ko) 2000-06-24 2002-04-12 서성기 Ald 박막증착장치 및 증착방법
KR100444149B1 (ko) 2000-07-22 2004-08-09 주식회사 아이피에스 Ald 박막증착설비용 클리닝방법
KR100396879B1 (ko) 2000-08-11 2003-09-02 삼성전자주식회사 동일 물질로 이루어진 이중막을 포함하는 다중막으로캡슐화된 캐패시터를 구비한 반도체 메모리 소자 및 그의제조 방법
KR100436941B1 (ko) 2000-11-07 2004-06-23 주성엔지니어링(주) 박막 증착 장치 및 그 방법
US6613695B2 (en) 2000-11-24 2003-09-02 Asm America, Inc. Surface preparation prior to deposition
EP1340269B1 (en) 2000-11-30 2009-02-25 Asm International N.V. Thin films for magnetic devices
US20020197402A1 (en) 2000-12-06 2002-12-26 Chiang Tony P. System for depositing a film by modulated ion-induced atomic layer deposition (MII-ALD)
US6949450B2 (en) 2000-12-06 2005-09-27 Novellus Systems, Inc. Method for integrated in-situ cleaning and subsequent atomic layer deposition within a single processing chamber
US6428859B1 (en) 2000-12-06 2002-08-06 Angstron Systems, Inc. Sequential method for depositing a film by modulated ion-induced atomic layer deposition (MII-ALD)
US6878402B2 (en) 2000-12-06 2005-04-12 Novellus Systems, Inc. Method and apparatus for improved temperature control in atomic layer deposition
US6416822B1 (en) 2000-12-06 2002-07-09 Angstrom Systems, Inc. Continuous method for depositing a film by modulated ion-induced atomic layer deposition (MII-ALD)
US20020104481A1 (en) 2000-12-06 2002-08-08 Chiang Tony P. System and method for modulated ion-induced atomic layer deposition (MII-ALD)
US20020076481A1 (en) 2000-12-15 2002-06-20 Chiang Tony P. Chamber pressure state-based control for a reactor
US20020076507A1 (en) 2000-12-15 2002-06-20 Chiang Tony P. Process sequence for atomic layer deposition
US6630201B2 (en) 2001-04-05 2003-10-07 Angstron Systems, Inc. Adsorption process for atomic layer deposition
US20020073924A1 (en) 2000-12-15 2002-06-20 Chiang Tony P. Gas introduction system for a reactor
KR100434487B1 (ko) 2001-01-17 2004-06-05 삼성전자주식회사 샤워 헤드 및 이를 포함하는 박막 형성 장비
US6844604B2 (en) 2001-02-02 2005-01-18 Samsung Electronics Co., Ltd. Dielectric layer for semiconductor device and method of manufacturing the same
JP2002262392A (ja) 2001-02-28 2002-09-13 Pioneer Electronic Corp 蝶ダンパ
FI109770B (fi) 2001-03-16 2002-10-15 Asm Microchemistry Oy Menetelmä metallinitridiohutkalvojen valmistamiseksi
US7348042B2 (en) 2001-03-19 2008-03-25 Novellus Systems, Inc. Continuous method for depositing a film by modulated ion-induced atomic layer deposition (MII-ALD)
US20020144655A1 (en) 2001-04-05 2002-10-10 Chiang Tony P. Gas valve system for a reactor
KR100363332B1 (en) 2001-05-23 2002-12-05 Samsung Electronics Co Ltd Method for forming semiconductor device having gate all-around type transistor
US6828218B2 (en) 2001-05-31 2004-12-07 Samsung Electronics Co., Ltd. Method of forming a thin film using atomic layer deposition
JP4680429B2 (ja) 2001-06-26 2011-05-11 Okiセミコンダクタ株式会社 テキスト音声変換装置における高速読上げ制御方法
TW539822B (en) 2001-07-03 2003-07-01 Asm Inc Source chemical container assembly
US6820570B2 (en) 2001-08-15 2004-11-23 Nobel Biocare Services Ag Atomic layer deposition reactor
US6806145B2 (en) 2001-08-31 2004-10-19 Asm International, N.V. Low temperature method of forming a gate stack with a high k layer deposited over an interfacial oxide layer
US20030042630A1 (en) 2001-09-05 2003-03-06 Babcoke Jason E. Bubbler for gas delivery
US6960537B2 (en) 2001-10-02 2005-11-01 Asm America, Inc. Incorporation of nitrogen into high k dielectric film
JP3901533B2 (ja) 2002-02-04 2007-04-04 株式会社東芝 モニタ方法、エッチング方法及び半導体装置の製造方法
US7829243B2 (en) * 2005-01-27 2010-11-09 Applied Materials, Inc. Method for plasma etching a chromium layer suitable for photomask fabrication
US7985689B2 (en) * 2006-06-16 2011-07-26 Applied Matrials, Inc. Patterning 3D features in a substrate
US20080099435A1 (en) * 2006-10-30 2008-05-01 Michael Grimbergen Endpoint detection for photomask etching
US8808559B2 (en) * 2011-11-22 2014-08-19 Applied Materials, Inc. Etch rate detection for reflective multi-material layers etching

Patent Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
TW200514135A (en) * 2003-09-09 2005-04-16 Photronics Inc Photomask having an internal substantially transparent etch stop layer
TW200717178A (en) * 2005-09-27 2007-05-01 Schott Ag Mask blank and photomask having antireflective properties
US20080070128A1 (en) * 2006-09-15 2008-03-20 Applied Materials, Inc. Method of etching extreme ultraviolet light (euv) photomasks
EP1926125A1 (en) * 2006-10-30 2008-05-28 Applied Materials, Inc. Endpoint detection for photomask etching

Also Published As

Publication number Publication date
US20150111315A1 (en) 2015-04-23
TW201331704A (zh) 2013-08-01
US8900469B2 (en) 2014-12-02
US9142467B2 (en) 2015-09-22
US20130157388A1 (en) 2013-06-20
WO2013095818A1 (en) 2013-06-27

Similar Documents

Publication Publication Date Title
TWI579642B (zh) 抗反射塗層及吸收層蝕刻之蝕刻速率偵測
US8092695B2 (en) Endpoint detection for photomask etching
JP5441332B2 (ja) フォトマスクエッチングのための終点検出
US9335206B2 (en) Wave front aberration metrology of optics of EUV mask inspection system
US8961804B2 (en) Etch rate detection for photomask etching
TWI512393B (zh) 光罩製造應用中之用於蝕刻石英基板的裝置及方法
US20080099435A1 (en) Endpoint detection for photomask etching
US10453696B2 (en) Dual endpoint detection for advanced phase shift and binary photomasks
US20080099436A1 (en) Endpoint detection for photomask etching
TWI522732B (zh) 測定反射性多材料層之蝕刻終點的方法
US20070023393A1 (en) Interferometer endpoint monitoring device
US20230360914A1 (en) Reflection mode photomask
US8778204B2 (en) Methods for reducing photoresist interference when monitoring a target layer in a plasma process
US20240077804A1 (en) Photomask and methods for measuring and manufacturing the photomask

Legal Events

Date Code Title Description
MM4A Annulment or lapse of patent due to non-payment of fees