ATE498901T1 - Endpunkterkennung für die atzung von photomasken - Google Patents

Endpunkterkennung für die atzung von photomasken

Info

Publication number
ATE498901T1
ATE498901T1 AT07021045T AT07021045T ATE498901T1 AT E498901 T1 ATE498901 T1 AT E498901T1 AT 07021045 T AT07021045 T AT 07021045T AT 07021045 T AT07021045 T AT 07021045T AT E498901 T1 ATE498901 T1 AT E498901T1
Authority
AT
Austria
Prior art keywords
endpoint detection
etching
photomask
support member
substrate support
Prior art date
Application number
AT07021045T
Other languages
English (en)
Inventor
Michael Grimbergen
Original Assignee
Applied Materials Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Priority claimed from US11/844,868 external-priority patent/US20080099436A1/en
Priority claimed from US11/844,838 external-priority patent/US20080099435A1/en
Application filed by Applied Materials Inc filed Critical Applied Materials Inc
Application granted granted Critical
Publication of ATE498901T1 publication Critical patent/ATE498901T1/de

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • H01L21/3065Plasma etching; Reactive-ion etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67242Apparatus for monitoring, sorting or marking
    • H01L21/67253Process monitoring, e.g. flow or thickness monitoring
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F1/00Originals for photomechanical production of textured or patterned surfaces, e.g., masks, photo-masks, reticles; Mask blanks or pellicles therefor; Containers specially adapted therefor; Preparation thereof
    • G03F1/68Preparation processes not covered by groups G03F1/20 - G03F1/50
    • G03F1/80Etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67017Apparatus for fluid treatment
    • H01L21/67063Apparatus for fluid treatment for etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67017Apparatus for fluid treatment
    • H01L21/67063Apparatus for fluid treatment for etching
    • H01L21/67069Apparatus for fluid treatment for etching for drying etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L22/00Testing or measuring during manufacture or treatment; Reliability measurements, i.e. testing of parts without further processing to modify the parts as such; Structural arrangements therefor
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L22/00Testing or measuring during manufacture or treatment; Reliability measurements, i.e. testing of parts without further processing to modify the parts as such; Structural arrangements therefor
    • H01L22/20Sequence of activities consisting of a plurality of measurements, corrections, marking or sorting steps
    • H01L22/26Acting in response to an ongoing measurement without interruption of processing, e.g. endpoint detection, in-situ thickness measurement
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/0001Technical content checked by a classifier
    • H01L2924/0002Not covered by any one of groups H01L24/00, H01L24/00 and H01L2224/00

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • General Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Plasma & Fusion (AREA)
  • Drying Of Semiconductors (AREA)
  • Preparing Plates And Mask In Photomechanical Process (AREA)
  • Weting (AREA)
AT07021045T 2006-10-30 2007-10-26 Endpunkterkennung für die atzung von photomasken ATE498901T1 (de)

Applications Claiming Priority (4)

Application Number Priority Date Filing Date Title
US86349006P 2006-10-30 2006-10-30
US11/844,868 US20080099436A1 (en) 2006-10-30 2007-08-24 Endpoint detection for photomask etching
US11/844,838 US20080099435A1 (en) 2006-10-30 2007-08-24 Endpoint detection for photomask etching
US96932807P 2007-08-31 2007-08-31

Publications (1)

Publication Number Publication Date
ATE498901T1 true ATE498901T1 (de) 2011-03-15

Family

ID=39279419

Family Applications (1)

Application Number Title Priority Date Filing Date
AT07021045T ATE498901T1 (de) 2006-10-30 2007-10-26 Endpunkterkennung für die atzung von photomasken

Country Status (7)

Country Link
EP (2) EP1926125B1 (de)
JP (1) JP5441332B2 (de)
KR (1) KR100932574B1 (de)
CN (2) CN104614932A (de)
AT (1) ATE498901T1 (de)
DE (1) DE602007012503D1 (de)
TW (1) TWI388936B (de)

Families Citing this family (37)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20080099450A1 (en) * 2006-10-30 2008-05-01 Applied Materials, Inc. Mask etch plasma reactor with backside optical sensors and multiple frequency control of etch distribution
KR101631615B1 (ko) * 2008-12-31 2016-06-17 엘지디스플레이 주식회사 롤 프린트용 인쇄판의 제조방법 및 이를 이용한 액정표시장치의 제조방법
US9347132B2 (en) * 2011-04-29 2016-05-24 Applied Materials, Inc. Optical endpoint detection system
JP2012253142A (ja) * 2011-06-01 2012-12-20 Shibaura Mechatronics Corp 基板の製造装置および基板の製造方法
US9887071B2 (en) * 2011-12-16 2018-02-06 Taiwan Semiconductor Manufacturing Co., Ltd. Multi-zone EPD detectors
US8900469B2 (en) * 2011-12-19 2014-12-02 Applied Materials, Inc. Etch rate detection for anti-reflective coating layer and absorber layer etching
TWI582837B (zh) * 2012-06-11 2017-05-11 應用材料股份有限公司 在脈衝式雷射退火中使用紅外線干涉技術之熔化深度測定
CN103943447B (zh) * 2013-01-17 2017-02-08 中微半导体设备(上海)有限公司 一种等离子处理装置及其处理方法
CN103472542B (zh) * 2013-09-13 2016-01-20 河南仕佳光子科技有限公司 用于定位光纤阵列的梯形槽的制作方法
US9299614B2 (en) * 2013-12-10 2016-03-29 Applied Materials, Inc. Method and carrier for dicing a wafer
CN104733336B (zh) * 2013-12-19 2017-11-03 中微半导体设备(上海)有限公司 等离子体去胶工艺的终点检测系统和方法
CN103811291B (zh) 2013-12-20 2018-01-23 京东方科技集团股份有限公司 一种阵列基板制作方法、膜层刻蚀防损伤监控方法及设备
JP6329790B2 (ja) * 2014-03-25 2018-05-23 株式会社日立ハイテクノロジーズ プラズマ処理装置
DE102014115708A1 (de) * 2014-10-29 2016-05-04 Aixtron Se Verfahren zum Trennen einer Kohlenstoffstruktur von einer Keimstruktur
TW201634219A (zh) * 2015-01-15 2016-10-01 Mks儀器公司 聚合物複合物真空組件
US9953886B2 (en) * 2015-08-21 2018-04-24 Microchip Technology Incorporated Single-wafer real-time etch rate and uniformity predictor for plasma etch processes
US9893058B2 (en) * 2015-09-17 2018-02-13 Semiconductor Components Industries, Llc Method of manufacturing a semiconductor device having reduced on-state resistance and structure
WO2017142523A1 (en) 2016-02-17 2017-08-24 Accustrata, Inc. System and method for monitoring atomic absorption during a surface modification process
US10184183B2 (en) * 2016-06-21 2019-01-22 Applied Materials, Inc. Substrate temperature monitoring
CN107546141B (zh) * 2016-06-28 2020-12-04 中微半导体设备(上海)股份有限公司 监测等离子体工艺制程的装置和方法
CN107546094B (zh) * 2016-06-28 2019-05-03 中微半导体设备(上海)股份有限公司 监测等离子体工艺制程的等离子体处理装置和方法
CN107644811B (zh) * 2016-07-20 2020-05-22 中微半导体设备(上海)股份有限公司 博世工艺的刻蚀终点监测方法以及博世刻蚀方法
CN107993946B (zh) * 2016-10-27 2020-11-20 中微半导体设备(上海)股份有限公司 宽带光谱光学测量装置及等离子体处理装置
JP6820717B2 (ja) * 2016-10-28 2021-01-27 株式会社日立ハイテク プラズマ処理装置
JP6808596B2 (ja) * 2017-03-10 2021-01-06 キオクシア株式会社 センシングシステム
US11022877B2 (en) * 2017-03-13 2021-06-01 Applied Materials, Inc. Etch processing system having reflective endpoint detection
KR101966806B1 (ko) * 2017-09-01 2019-04-09 세메스 주식회사 기판 처리 장치 및 기판 처리 방법
CN108461412A (zh) * 2018-03-22 2018-08-28 北京北方华创微电子装备有限公司 在线监测系统及半导体加工设备
TWI659258B (zh) * 2018-05-23 2019-05-11 亞智科技股份有限公司 蝕刻時間偵測方法及蝕刻時間偵測系統
US10978278B2 (en) 2018-07-31 2021-04-13 Tokyo Electron Limited Normal-incident in-situ process monitor sensor
KR20200052487A (ko) * 2018-11-06 2020-05-15 삼성전자주식회사 반도체 소자의 제조 방법
CN113447243B (zh) * 2020-05-26 2023-03-10 重庆康佳光电技术研究院有限公司 一种终点检测装置、蚀刻设备以及检测方法
CN111975191B (zh) * 2020-08-17 2023-01-24 北京中科镭特电子有限公司 一种加工腔组件及激光加工装置
CN112078237B (zh) * 2020-08-25 2022-05-27 北京黎马敦太平洋包装有限公司 一种具有自动烫印质量检测装置的烫印系统
EP4341641A1 (de) * 2021-05-20 2024-03-27 Applied Materials, Inc. In-situ-filmstegsensoranordnung, vorrichtung und verfahren
CN115097570B (zh) * 2022-08-22 2023-04-07 上海羲禾科技有限公司 一种波导的刻蚀方法
CN117270317B (zh) * 2023-11-20 2024-02-09 深圳市龙图光罩股份有限公司 图形辅助的干法刻蚀装置及方法

Family Cites Families (15)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPS625107A (ja) * 1985-07-01 1987-01-12 Nippon Kogaku Kk <Nikon> レジストパタ−ン測定装置
JPH08316279A (ja) * 1995-02-14 1996-11-29 Internatl Business Mach Corp <Ibm> 半導体基体の厚さ測定方法及びその測定装置
JPH10298787A (ja) * 1997-04-25 1998-11-10 Shibaura Eng Works Co Ltd ドライエッチング装置
JP2000200783A (ja) * 1999-01-06 2000-07-18 Hitachi Ltd プラズマ処理装置および方法
US6251217B1 (en) 1999-01-27 2001-06-26 Applied Materials, Inc. Reticle adapter for a reactive ion etch system
JP2001176851A (ja) 1999-12-15 2001-06-29 Matsushita Electric Ind Co Ltd ドライエッチング装置およびドライエッチングの終点検出方法
US6534756B1 (en) 2000-06-22 2003-03-18 Applied Materials Inc. Ultra-stable, compact, high intensity fiber-coupled light source for use in monitoring and process control
JP3924427B2 (ja) * 2000-12-14 2007-06-06 松下電器産業株式会社 ドライエッチング方法及び装置
JP2002270588A (ja) * 2001-03-09 2002-09-20 Sony Corp エッチング装置およびエッチング方法
JP2003232678A (ja) * 2002-02-08 2003-08-22 Olympus Optical Co Ltd 光強度測定装置
TWI314762B (en) * 2002-08-13 2009-09-11 Lam Res Corp Method for controlling a recess etch process
US8257546B2 (en) * 2003-04-11 2012-09-04 Applied Materials, Inc. Method and system for monitoring an etch process
US7077973B2 (en) * 2003-04-18 2006-07-18 Applied Materials, Inc. Methods for substrate orientation
US6905624B2 (en) * 2003-07-07 2005-06-14 Applied Materials, Inc. Interferometric endpoint detection in a substrate etching process
US7158221B2 (en) * 2003-12-23 2007-01-02 Applied Materials, Inc. Method and apparatus for performing limited area spectral analysis

Also Published As

Publication number Publication date
EP1926125A1 (de) 2008-05-28
DE602007012503D1 (de) 2011-03-31
EP2309533A1 (de) 2011-04-13
TW200844667A (en) 2008-11-16
CN104614932A (zh) 2015-05-13
KR20080039251A (ko) 2008-05-07
TWI388936B (zh) 2013-03-11
JP2008112167A (ja) 2008-05-15
CN101174082A (zh) 2008-05-07
JP5441332B2 (ja) 2014-03-12
EP1926125B1 (de) 2011-02-16
KR100932574B1 (ko) 2009-12-17

Similar Documents

Publication Publication Date Title
ATE498901T1 (de) Endpunkterkennung für die atzung von photomasken
TW200639591A (en) Method for plasma etching a chromium layer suitable for photomask fabrication
EP1783822A4 (de) Belichtungseinrichtung, belichtungseinrichtungs-elementreinigungsverfahren, belichtungseinrichtungs-wartungsverfahren, wartungseinrichtung und einrichtungsherstellungsverfahren
TW200601429A (en) Method and apparatus for photomask plasma etching
SG148015A1 (en) Lithographic apparatus and device manufacturing method
TW200611082A (en) Exposure system and device production method
DE602007004290D1 (de) Verfahren und Vorrichtung zur Messtechnikintegration mit einer Ätzbehandlung
WO2007131057A3 (en) Vacuum processing chamber suitable for etching high aspect ratio features and components of same
TW200626870A (en) Apparatus and method of inspecting mura-defect and method of fabricating photomask
TW200633107A (en) Integrated metrology chamber for transparent substrates
WO2009158311A3 (en) Methods and apparatus for in-situ chamber dry clean during photomask plasma etching
TW200629405A (en) Method for quartz photomask plasma etching
TW200637008A (en) Liquid crystal display device and method for manufacturing the same
TW200615715A (en) Semiconductor processing using energized hydrogen gas and in combination with wet cleaning
WO2002015238A3 (en) Device and method for optical inspection of semiconductor wafer
DE602005011067D1 (de) Ultraviolettdetektionssensor und Verfahren zu dessen Herstellung
TW200500644A (en) Patterned phase difference plate, method of manufacturing patterned phase difference plate, liquid crystal display panel, and liquid crystal display apparatus
SG142213A1 (en) Etching of nano-imprint templates using an etch reactor
WO2007120276A3 (en) An apparatus and a method for cleaning a dielectric film
TW200746259A (en) Measuring and/or inspecting method, measuring and/or inspecting apparatus, exposure method, device manufacturing method, and device manufacturing apparatus
TW200641556A (en) A new immersion lithography apparatus and method
TW200741818A (en) Exposure apparatus and device manufacturing method
TW200719397A (en) Analyzing apparatus, processing apparatus, measuring instrument, exposure apparatus, substrate processing system, analysis method, and program
WO2004086143A3 (en) Multi-step process for etching photomasks
TW200703548A (en) Exposing apparatus having substrate chuck of good flatness

Legal Events

Date Code Title Description
RER Ceased as to paragraph 5 lit. 3 law introducing patent treaties