KR102410496B1 - 반사성 종료점 검출을 하는 에칭 처리 시스템 - Google Patents

반사성 종료점 검출을 하는 에칭 처리 시스템 Download PDF

Info

Publication number
KR102410496B1
KR102410496B1 KR1020217039608A KR20217039608A KR102410496B1 KR 102410496 B1 KR102410496 B1 KR 102410496B1 KR 1020217039608 A KR1020217039608 A KR 1020217039608A KR 20217039608 A KR20217039608 A KR 20217039608A KR 102410496 B1 KR102410496 B1 KR 102410496B1
Authority
KR
South Korea
Prior art keywords
light
alignment
lid
chamber
substrate
Prior art date
Application number
KR1020217039608A
Other languages
English (en)
Other versions
KR20210151251A (ko
Inventor
마이클 엔. 그림버겐
키엠 케이. 응우옌
Original Assignee
어플라이드 머티어리얼스, 인코포레이티드
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 어플라이드 머티어리얼스, 인코포레이티드 filed Critical 어플라이드 머티어리얼스, 인코포레이티드
Publication of KR20210151251A publication Critical patent/KR20210151251A/ko
Application granted granted Critical
Publication of KR102410496B1 publication Critical patent/KR102410496B1/ko

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32917Plasma diagnostics
    • H01J37/32935Monitoring and controlling tubes by information coming from the object and/or discharge
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F1/00Originals for photomechanical production of textured or patterned surfaces, e.g., masks, photo-masks, reticles; Mask blanks or pellicles therefor; Containers specially adapted therefor; Preparation thereof
    • G03F1/68Preparation processes not covered by groups G03F1/20 - G03F1/50
    • G03F1/80Etching
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F1/00Originals for photomechanical production of textured or patterned surfaces, e.g., masks, photo-masks, reticles; Mask blanks or pellicles therefor; Containers specially adapted therefor; Preparation thereof
    • G03F1/22Masks or mask blanks for imaging by radiation of 100nm or shorter wavelength, e.g. X-ray masks, extreme ultraviolet [EUV] masks; Preparation thereof
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F1/00Originals for photomechanical production of textured or patterned surfaces, e.g., masks, photo-masks, reticles; Mask blanks or pellicles therefor; Containers specially adapted therefor; Preparation thereof
    • G03F1/36Masks having proximity correction features; Preparation thereof, e.g. optical proximity correction [OPC] design processes
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F1/00Originals for photomechanical production of textured or patterned surfaces, e.g., masks, photo-masks, reticles; Mask blanks or pellicles therefor; Containers specially adapted therefor; Preparation thereof
    • G03F1/38Masks having auxiliary features, e.g. special coatings or marks for alignment or testing; Preparation thereof
    • G03F1/42Alignment or registration features, e.g. alignment marks on the mask substrates
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32917Plasma diagnostics
    • H01J37/32935Monitoring and controlling tubes by information coming from the object and/or discharge
    • H01J37/32963End-point detection
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32917Plasma diagnostics
    • H01J37/3299Feedback systems
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • H01L21/3065Plasma etching; Reactive-ion etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67017Apparatus for fluid treatment
    • H01L21/67063Apparatus for fluid treatment for etching
    • H01L21/67069Apparatus for fluid treatment for etching for drying etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67242Apparatus for monitoring, sorting or marking
    • H01L21/67253Process monitoring, e.g. flow or thickness monitoring
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/68Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for positioning, orientation or alignment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L22/00Testing or measuring during manufacture or treatment; Reliability measurements, i.e. testing of parts without further processing to modify the parts as such; Structural arrangements therefor
    • H01L22/10Measuring as part of the manufacturing process
    • H01L22/12Measuring as part of the manufacturing process for structural parameters, e.g. thickness, line width, refractive index, temperature, warp, bond strength, defects, optical inspection, electrical measurement of structural dimensions, metallurgic measurement of diffusions
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L22/00Testing or measuring during manufacture or treatment; Reliability measurements, i.e. testing of parts without further processing to modify the parts as such; Structural arrangements therefor
    • H01L22/20Sequence of activities consisting of a plurality of measurements, corrections, marking or sorting steps
    • H01L22/26Acting in response to an ongoing measurement without interruption of processing, e.g. endpoint detection, in-situ thickness measurement
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L22/00Testing or measuring during manufacture or treatment; Reliability measurements, i.e. testing of parts without further processing to modify the parts as such; Structural arrangements therefor
    • H01L22/30Structural arrangements specially adapted for testing or measuring during manufacture or treatment, or specially adapted for reliability measurements

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • General Physics & Mathematics (AREA)
  • Plasma & Fusion (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Chemical & Material Sciences (AREA)
  • Analytical Chemistry (AREA)
  • Drying Of Semiconductors (AREA)

Abstract

실시예들은 웨이퍼 및 포토마스크 처리 장비를 포함한다. 광원 및 광 검출기를 갖는 종료점 검출 시스템을 포함하는 에칭 처리 시스템이 설명된다. 일례로, 광원은 에칭 챔버의 기판 지지 부재 위의 정렬 영역을 향해 광을 방출하고, 광 검출기는 정렬 영역으로부터의 광의 반사를 수신한다. 종료점 및 프로세스 제어를 위해 반사가 모니터링된다. 제2 광원은 정렬 영역을 향해 광을 방출하고, 카메라는 광을 수신하여 정렬 영역을 이미지화한다. 이미지는 종료점 검출 시스템에 의해 방출된 광을 정렬 영역 내의, 예컨대 기판 지지 부재 상에 장착된 기판의 정렬 개구 내의 스폿 위치에 정렬하는 데 사용될 수 있다.

Description

반사성 종료점 검출을 하는 에칭 처리 시스템{ETCH PROCESSING SYSTEM HAVING REFLECTIVE ENDPOINT DETECTION}
본 출원은 "ETCH PROCESSING SYSTEM HAVING REFLECTIVE ENDPOINT DETECTION"이라는 명칭으로 2017년 3월 13일자 출원된 미국 가출원 제62/470,850호를 우선권으로 주장하며, 이 가출원의 전체 내용은 이로써 인용에 의해 본 명세서에 포함된다. 본 출원은 또한 "ETCH PROCESSING SYSTEM HAVING REFLECTIVE ENDPOINT DETECTION"이라는 명칭으로 2017년 4월 10일자 출원된 미국 가출원 제62/483,758호를 우선권으로 주장하며, 이 가출원의 전체 내용은 이로써 인용에 의해 본 명세서에 포함된다.
실시예들은 반도체 처리 분야, 특히 종료점 검출 능력을 갖는 에칭 처리 장비에 관한 것이다.
반도체 제품들의 임계 치수들은 계속해서 줄어든다. 웨이퍼 처리 장비의 능력들의 개선들에 의해 지속적인 소형화가 가능해진다. 종료점 프로세스 제어는 웨이퍼 제조 프로세스, 예를 들어 에칭 프로세스의 종료점을 검출하는 웨이퍼 처리 장비의 능력이다. 예를 들어, 얇은 산화물 층과 같은 에칭 층이 베이스 층, 예컨대 하부 실리콘 층으로부터 제거될 때 에칭 프로세스의 종료점이 발생할 수 있다. 이러한 종료점 검출의 정확성 및 정밀도는 예를 들어, 수집된 에칭률 데이터의 정확성에 의존할 수 있다.
반사율 모니터링에 기초한 종료점 검출, 즉 반사성 종료점 검출을 포함하는 에칭 처리 시스템의 실시예들이 설명된다. 에칭 처리 시스템은 기판 지지 부재 주위에 챔버 본체를 갖는 에칭 챔버를 포함한다. 기판은 기판 지지 부재 상에 장착될 수 있고, 에칭 챔버에 의한 에칭 프로세스에서 기판의 에칭이 수행될 수 있다. 에칭 처리 시스템은 에칭 중에 기판으로부터의 광의 반사들을 모니터링하기 위한 종료점 검출 시스템을 포함한다. 보다 구체적으로, 에칭 처리 시스템은 기판 상의 정렬 영역을 향해 광을 방출하기 위한 하나 이상의 광원들을 포함할 수 있고, 종료점 검출 시스템은 실질적으로 정렬 영역 내에서부터의 광의 반사를 수신하기 위한 광 검출기를 포함할 수 있다. 종료점 검출 시스템은 에칭 중에 반사율 변화들을 모니터링하여, 종료점에 도달하는 시점을 결정할 수 있다.
일 실시예에서, 반사율 모니터링은 마스크 층에 의해 커버되지 않는 기판 표면으로부터의 반사율을 측정하는 것을 포함한다. 마스크 층으로부터의 반사율 변화들은 에칭 층이 제거될 때 프로세스를 종료하는 것과 같은 프로세스 제어를 위해, 원하는 신호와는 다른 신호를 제공할 수 있다. 이에 따라, 반사율 모니터링은 마스크 층 대신 에칭되고 있는 기판 표면으로부터의 반사들을 측정할 수 있다. 일 실시예에서, 종료점 검출 시스템의 광원에 의해 방출된 광은 기판 표면 상의 빔 스폿으로 포커싱되고, 빔 스폿은 마스크 층 내의 정렬 개구에 정렬될 수 있다. 예를 들어, 에칭 처리 시스템은 빔 스폿의 반사를 이미지화하기 위한 카메라를 포함할 수 있고, 이미지는 빔 스폿을 정렬 개구 내의 스폿 위치로 이동시키는 데 사용될 수 있다.
기판으로부터 반사된 광의 일부를 카메라를 향하게 하기 위해 기판으로 진행하는 그리고/또는 기판으로부터 돌아오는 광의 광 경로에 광 빔 스플리터가 추가될 수 있다. 카메라는 반사된 광을 이미지화하여, 모니터링되고 있는 표면의 시각적 이미지를 캡처할 수 있다. 그 다음, 마스크 층이 없는 원하는 영역을 보기 위해 광학 어셈블리의 포지션이 조정된다. 빔 스플리터는 제2 광 경로로 광을 전환시키는 데 최소 손실을 야기하기 때문에 어셈블리에 빔 스플리터가 유지될 수 있다. 조정이 이루어졌고 포지션이 고정되면 카메라가 제자리에 유지되거나 제거될 수 있다.
실시예들은 광을 빔 스폿에 포커싱하기 위한 그리고 광학 모니터링 시스템의 카메라 및 광 검출기로 광을 향하게 하는 포커싱 광학계(focusing optics)를 포함한다. 포커싱 광학계는 파장에 무관한 반사성 광학계를 포함할 수 있다. 반사성 광학계에서는, 초점 및 빔 스폿 크기가 모든 파장들에서 동일하다. 그래서 스폿 크기 및 위치의 포커스 조정 및 평가가 단일 파장에서 이루어질 수 있다. 이 단일 파장은 셋업을 위해 스펙트럼의 가시 부분에서 편리하게 선택할 수 있으며, 프로세스 모니터링 및 제어를 위해 심자외선(deep UV)에서부터 근적외선(near IR)에 이르는 넓은 범위의 파장들에 동일한 포커스가 적용될 것이다. 포커싱 광학계의 광학 표면들은 의도된 범위의 파장들에 걸쳐 높은 반사율을 갖는 코팅을 가질 수 있다.
광 검출기는 빔 스폿의 반사의 크기를 검출할 수 있다. 크기의 변화는 에칭 프로세스 내에서 순간에 따라 변할 수 있다. 예를 들어, 빔 스폿은 기판의 에칭 층으로부터의 특정 반사율을 가질 수 있고, 에칭 프로세스에 의해 에칭 층이 완전히 제거될 때, 반사율은 서로 다른 값일 수 있다. 서로 다른 값(또는 반사율 값의 변화율의 차이)이 발생하면, 에칭 처리 시스템은 에칭 프로세스의 종료점에 도달한 것으로 결정할 수 있다.
위의 요약은 모든 양상들의 완전한 목록을 포함하지 않는다. 위에 요약된 다양한 양상들뿐만 아니라 아래의 발명을 실시하기 위한 구체적인 내용에 개시되고 특히 출원으로 제출된 청구항들에서 지적된 것들의 모든 적절한 조합들로부터 실시될 수 있는 모든 시스템들 및 방법들이 포함되는 것이 고려된다. 이러한 조합들은 위의 요약에서 구체적으로 언급되지 않은 특별한 이점들을 갖는다.
도 1은 일 실시예에 따른 에칭 처리 시스템의 개략도이다.
도 2는 일 실시예에 따른 에칭 처리 시스템의 광학 구성의 도면이다.
도 3은 일 실시예에 따른 에칭 처리 시스템의 광학 구성의 도면이다.
도 4는 일 실시예에 따른, 패터닝된 마스크 층 내에 정렬 개구를 갖는 기판의 단면도이다.
도 5는 일 실시예에 따른 에칭 프로세스의 종료점을 결정하는 방법의 흐름도이다.
도 6a - 도 6b는 일 실시예에 따른 기판의 정렬 영역의 평면도들이다.
도 7a - 도 7b는 일 실시예에 따른 기판 및 정렬 레티클(reticle)의 평면도들이다.
도 8a - 도 8b는 일 실시예에 따른 종료점 자취들의 그래프들이다.
도 9는 일 실시예에 따른 컴퓨터 시스템의 개략도이다.
에칭 처리 시스템들, 및 정렬 영역으로부터의 반사율에 기초하여 에칭 프로세스의 종료점을 결정하기 위해 이러한 시스템들을 사용하는 방법들이 설명된다. 다음 설명에서는, 실시예들의 전반적인 이해를 제공하기 위해 많은 특정 세부사항들이 제시된다. 실시예들은 이러한 특정 세부사항들 없이 실시될 수 있음이 당해 기술분야에서 통상의 지식을 가진 자에게 명백할 것이다. 다른 경우들에는, 잘 알려진 양상들은 실시예들을 불필요하게 불명료하게 하지 않도록 상세하게 설명되지 않는다. 게다가, 첨부 도면들에 도시된 다양한 실시예들은 예시적인 표현들이며 반드시 실측대로 그려진 것은 아니라고 이해되어야 한다.
기존 웨이퍼 처리 장비의 종료점 프로세스 제어 능력들은 웨이퍼 또는 포토마스크의 에칭이 완료되는 시점을 결정하기 위해 웨이퍼 또는 포토마스크의 반사율을 모니터링할 수 있다. 그러나 이 모니터링은 프로세스 제어의 정확성을 제한하는 부정확성들을 겪게 된다. 보다 구체적으로, 모니터링은 웨이퍼 또는 포토마스크 상의 패터닝된 마스킹 층에 의해 야기되는 마스킹 층 효과들을 겪게 된다. 마스킹 층은 통상적으로 광을 반사시키는 포토레지스트 재료로 형성된다. 마스킹 층에 의해 반사된 광은 웨이퍼 또는 포토마스크 상의 하부 층으로부터 반사된 광에 간섭할 수 있다. 광 간섭은 종료점 프로세스 제어가 기반으로 하는 반사된 광의 측정들을 모호하게 할 수 있다. 따라서 마스킹 층 효과들과 무관한 종료점 프로세스 제어 능력을 갖는 에칭 처리 시스템은 웨이퍼 및 포토마스크 처리 정확성을 향상시킬 수 있다. 이 용어를 명확히 하기 위해, 마스킹 층은 에칭에 사용될 수 있고 패터닝된 웨이퍼 또는 패터닝된 포토마스크 기판 상에 있을 수 있다.
일 양상에서, 에칭 처리 시스템은 반사성 종료점 프로세스 제어를 한다. 에칭 처리 시스템은 기판, 예컨대 웨이퍼 또는 포토마스크의 정렬 영역을 향해 광을 방출하기 위한 종료점 검출 시스템을 포함한다. 정렬 영역은 기판의 패터닝된 마스크 층 내에 정렬 개구를 포함할 수 있다. 카메라는 정렬 영역의 이미지를 동시에 캡처할 수 있고, 이미지는 광을 정렬 개구에 정렬하는 데 사용될 수 있다. 정렬 개구 아래의 기판의 베이스 층으로부터의 광의 반사가 종료점 검출 시스템에 의해 수신되어 에칭 프로세스의 종료점을 결정할 수 있다. 즉, 웨이퍼가 에칭될 수 있고, 포토레지스트 재료를 포함하지 않는 정렬 개구로부터 반사된 광이 측정되어 에칭 프로세스의 종료점을 검출할 수 있다. 마스킹 층 효과들로 인해 종료점 검출이 명백해질 수 있다.
도 1을 참조하면, 일 실시예에 따라 에칭 처리 시스템의 개략도가 도시된다. 에칭 처리 시스템(100)은 에칭 챔버(102)를 포함할 수 있다. 에칭 챔버(102)는 플라즈마 에칭 챔버, 용량 결합 병렬 플레이트 챔버, 또는 자기 강화 이온 에칭 챔버일 수 있다. 에칭 챔버(102)는 유도 결합 플라즈마 에칭 챔버일 수 있다. 이에 따라, 도 1에 예시된 에칭 챔버(102)의 특정 실시예는 예시적이며, 제한이 아니라고 인식된다.
에칭 챔버(102)는 챔버 본체(104)를 포함할 수 있다. 챔버 본체(104)는 챔버 벽(106), 예컨대 원통형 측벽, 및 챔버 본체(104) 상에 장착된 챔버 리드(lid)(108)를 포함할 수 있다. 챔버 리드(108)는 평면, 직사각형, 아치형, 원뿔형, 돔 또는 다중 반경 형상일 수 있다. 챔버 본체(104)는 또한 챔버 바닥(110)을 포함할 수 있다. 이에 따라, 챔버 벽(106)과 챔버 리드(108)와 챔버 바닥(110) 사이의 챔버 본체(104) 내에 챔버 용적이 둘러싸일 수 있다. 챔버 본체(104)의 부분들, 예컨대 챔버 벽(106)은 양극산화된 알루미늄과 같은 금속으로 만들어질 수 있고, 챔버 본체(104)의 부분들, 예컨대 챔버 리드(108)의 부분들은 세라믹 또는 다른 유전체 재료와 같은 에너지 투과 재료로 만들어질 수 있다.
챔버 용적 내에 기판 지지 부재(112)가 배치될 수 있다. 보다 구체적으로, 챔버 본체(104)는 기판 지지 부재(112) 주위로 연장될 수 있다. 일 실시예에서, 기판 지지 부재(112)는 에칭 챔버(102) 내에서 기판(114)을 지지한다. 예를 들어, 에칭 프로세스 동안 기판 지지 부재(112) 상에 기판(114)이 장착될 수 있다. 기판(114)이 기판 지지 부재(112) 상에 로딩되면, 에칭 처리 시스템(100)은 에칭 프로세스 어셈블리로 지칭될 수 있다.
기판 지지 부재(112)는 기판 지지 부재(112)의 적어도 일부가 전기 전도성이고 프로세스 바이어스 캐소드로서의 역할을 할 수 있는 종래의 기계적 또는 정전 척일 수 있다. 도시되지 않았지만, 포토마스크 어댑터가 기판 지지 부재(112) 상에 포토마스크를 고정시키는 데 사용될 수 있다. 포토마스크 어댑터는 일반적으로, 기판 지지 부재(112)의 상부 부분을 커버하도록 구성된 하부 부분, 및 포토마스크를 홀딩하도록 크기 및 형상이 정해진 개구, 예컨대 정사각형 개구를 갖는 최상부 부분을 포함한다.
에칭 챔버(102)는 가스 분배기(118)를 통해 챔버 용적 내로 프로세스 가스들을 도입하도록 구성된 프로세스 가스 소스(116)를 포함할 수 있다. 가스 분배기(118)는 기판 지지 부재(112)를 중심으로 둘레에 배치될 수 있고 그리고/또는 챔버 리드(108)에 배치될 수 있다. 각각의 프로세스 가스에 대한, 또는 대안으로 프로세스 가스의 혼합물들에 대한 (도시되지 않은) 질량 유량 제어기들이 챔버 본체(104)와 프로세스 가스 소스(116) 사이에 배치되어 프로세스 가스들의 각각의 유량들을 조절할 수 있다.
일 실시예에서, 기판 지지 부재(112)와 챔버 리드(108) 사이의 챔버 용적 내에 플라즈마 구역(120)이 한정된다. RF 정합 네트워크(126)를 통해 전력 공급부(122), 예컨대 코일 전력 공급부로부터 유도 코일들(124)에 전력을 공급함으로써 플라즈마 구역(120)에서 프로세스 가스들로부터 플라즈마가 발생된다. 에칭 챔버(102)는 챔버 리드(108)의 적어도 일부 위에 배치된 적어도 하나의 유도 코일(124)을 포함할 수 있다. 도 1에 도시된 실시예에서, 2개의 동심 코일들(124)이 도시된다.
기판 지지 부재(112)는 그 내부에 배치된 전극을 포함할 수 있으며, 이러한 전극은 전극 전력 공급부(128)에 의해 전력을 공급받고 RF 정합 네트워크(130)를 통해 에칭 챔버(102)에서 용량성 전기장을 발생시킨다. 통상적으로, 챔버 본체(104)가 전기적으로 접지되는 동안 기판 지지 부재(112) 내의 전극에 RF 전력이 인가된다. 기판 지지 부재(112)의 평면에 횡 방향인 용량성 전기장은 기판(114)의 보다 이방성 에칭을 제공하도록 하전 종의 방향성에 영향을 준다.
프로세스 가스들 및 에칭제 부산물들은 에칭 챔버(102)로부터 배기 포트(132)를 통해 배기 시스템(134)으로 배기된다. 배기 포트(132)는 프로세스 가스들의 제거를 위해 챔버 본체(104)에, 예컨대 챔버 바닥(110) 내에 배치될 수 있다. 에칭 챔버(102)의 챔버 용적에서의 압력을 제어하기 위해 스로틀 밸브(136)가 배기 포트(132)에 제공될 수 있다.
일 실시예에서, 에칭 처리 시스템(100)은 에칭 챔버(102)에 동작 가능하게 결합된 광학 모니터링 시스템(138)을 포함한다. 광학 모니터링 시스템(138)은 에칭 프로세스의 종료점, 예컨대 에칭률 또는 기판(114)으로부터 층 두께의 제거를 결정하도록 구성된 종료점 검출 시스템(140)을 포함할 수 있다. 종료점 검출 시스템(140)은 에칭 챔버(102)의 챔버 용적으로부터의 광 신호들을 검출하도록 구성될 수 있다.
일 실시예에서, 챔버 리드(108)는 하나 이상의 리드 윈도우들(142)을 포함한다. 리드 윈도우(142)는 챔버 리드(108) 내의 광 액세스 포트 또는 뷰포트일 수 있다. 마찬가지로, 챔버 용적 안팎으로의 광 전달을 가능하게 하도록 하나 이상의 광 액세스 포트들 또는 뷰포트들이 챔버 벽(106) 또는 챔버 바닥(110)에 위치될 수 있다. 리드 윈도우(142)는 챔버 리드(108)의 중앙 영역에 있을 수 있다. 일반적으로, 더 큰 리드 윈도우(142)가 광 컴포넌트들의 설치를 가능하게 한다. 그러나 리드 윈도우(142)의 크기는 광학 모니터링을 위해 충분히 크지만 RF 간섭으로부터의 잠재적인 악영향을 피하기에 충분히 작게 선택된다. 작은 리드 윈도우(142)를 선택하는 것은 또한, 챔버 리드(108)의 측방향 온도 균일성을 향상시킨다. 광 액세스 포트는 일반적으로, 넓은 파장 스펙트럼에 걸쳐 광을 투과시키고 플라즈마 에칭에 저항하는, 석영 또는 다른 재료들로 만들어진 평평한 윈도우를 포함할 수 있다.
챔버 리드(108)는 여러 개의 모니터링 위치들을 제공하기 위해 여러 개의 리드 윈도우들(142)을 포함할 수 있다. 예를 들어, 제1 리드 윈도우(142)는 제1 각위치(예컨대, 0도 포지션)에서 리드의 중심으로부터 방사상 외측으로 챔버 리드(108)의 둘레 근처에 위치될 수 있다. 제2 리드 윈도우는 제2 각위치(예컨대, 제1 각위치에 대한 90도 포지션)에서 리드의 중심으로부터 방사상 외측으로 둘레 근처에 위치될 수 있다. 챔버 본체(104) 내에 장착된 기판(114) 상의 관심 정렬 개구(148) 위에 어느 리드 윈도우가 위치되는지에 따라, 광학 모니터링 시스템(138)이 리드 윈도우들 중 어느 하나에 정렬될 수 있다.
리드 윈도우들(142) 사이의 각도 오프셋은 적어도 하나의 리드 윈도우(142)가 기판(114)의 배향과 무관하게, 수직으로 정렬 개구(148) 위에 있음을 보장하도록 설정될 수 있다. 예를 들어, 기판(114)은 아래에서 설명되는 바와 같이 종료점 모니터링에 사용될 수 있는 여러 개의 정렬 개구들(148)을 포함할 수 있다. 정렬 개구들 각각은 서로 180도 오프셋될 수 있다. 즉, 하나의 정렬 개구는 기판(114) 상의 제1 각위치에 있을 수 있고, 다른 정렬 개구는 기판(114) 상에서 제1 정렬 개구와 정반대에 있을 수 있다. 기판(114)이 90도 오프셋된 리드 윈도우들(142)을 갖는 챔버의 챔버 용적 내에 장착될 때, 기판(114)이 0도 위치에서 시작하여 90도씩 증분하여 장착되는 한, 적어도 하나의 정렬 개구(148)는 적어도 하나의 리드 윈도우(142) 아래에 있을 것이다. 이러한 구성은 광학 모니터링 시스템(138)의 포지션이 프로세스 모니터링을 위해 정렬 개구(148) 위에 있도록 두 위치들 중 하나에 배치되는 것을 가능하게 할 수 있다.
일 실시예에서, 챔버 리드(108)는 챔버 본체(104) 상에 반복적으로 그리고 안전하게 장착되도록 구성된다. 예를 들어, 챔버 리드(108)는 예컨대, 시스템 유지보수를 위해 챔버 리드(108)가 챔버 본체(104)로부터 제거될 수 있음을, 그리고 재조립시 챔버 리드(108)가 분해 전에 위치되었던 동일한 위치에 배향됨을 보장하도록 챔버 본체(104)와의 타이트한 공차를 가질 수 있다. 이에 따라, 리드 윈도우들(142)은 챔버 리드(108)가 제거되고 재장착될 때마다 동일한 포지션들에 위치될 것이다.
챔버 리드(108)의 반복 가능한 장착은 하나 이상의 피처들에 의해 가능해질 수 있다. 먼저, 챔버 리드(108)의 정확한 각위치 결정을 보장하도록 챔버 리드(108)와 챔버 본체(104) 사이에 키(key) 피처가 존재할 수 있다. 일 실시예에서, 챔버 리드(108)는 리드 둘레로부터 퍼져 나가는 키, 예컨대 갈래(prong)를 포함한다. 키는 챔버 본체(104)의 에지에 형성된 홈과 맞물리도록 크기가 정해질 수 있다. 따라서 챔버 리드(108)가 홈에서 키에 의해 챔버 본체(104) 상에 장착될 때, 리드와 본체 사이의 상대적 각위치가 정확하게 제어될 수 있다. 노치(notch) 또는 평평한 영역과 같은 다른 타입들의 키 피처들이 이용될 수 있다. 둘째, 챔버 리드(108) 상에 인입(lead-in) 에지를 제공함으로써 챔버 리드(108)와 챔버 본체(104) 사이의 상대적 방사상 위치가 제어될 수 있다. 인입 에지는 더 높은 위치에서의 최대 치수, 예컨대 직경에서부터 더 낮은 위치에서의 최소 치수까지 테이퍼링되는 웨지 각도를 리드 에지 상에 가질 수 있다. 이에 따라, 챔버 리드(108)가 챔버 본체(104)의 개구 내로 낙하될 때, 더 낮은 위치가 먼저 리드 에지와 챔버 벽 사이의 슬라이딩 피트(sliding fit)로서 진입할 것이고, 더 높은 위치는 마지막으로 리드 에지와 챔버 벽 사이의 슬립 또는 프레스 피트(press fit)로서 맞물릴 것이다. 슬라이딩 피트에서부터 슬립 또는 간섭 피트로의 이러한 점진적인 전환은 또한 챔버 리드와 본체 사이의 바인딩을 감소시킬 수 있다.
종료점 검출 시스템(140)은 리드 윈도우(142)를 통해 광 신호들을 검출하도록 구성된다. 에칭 중에 기판(114)의 표면 상의 다양한 위치들의 광학적 모니터링을 가능하게 하도록 하나보다 많은 윈도우가 챔버 리드(108) 또는 에칭 챔버(102)의 다른 경계 구조들에 형성될 수 있다는 점이 주목된다. 예를 들어, 측면 윈도우(144)가 챔버 벽(106)에 형성될 수 있고, 아래에서 설명되는 바와 같이, 에칭 프로세스 모니터링을 위해 챔버 용적으로 광을 전달하기 위해 광원이 측면 윈도우(144)에 결합될 수 있다.
종료점 검출 시스템(140)은 기판 지지 부재(112) 위의 정렬 영역(148)을 향해 제1 광(146)을 방출하기 위한 광원을 포함할 수 있다. 종료점 검출 시스템(140)은 순방향 광 경로를 따라 제1 광(146)을 광원으로부터 리드 윈도우(142)를 통해 정렬 영역(148)으로 향하게 하기 위한 포커싱 광학계(150)를 포함할 수 있다. 제1 광(146)은 반사성 종료점 모니터링을 위한 광원일 수 있다. 예를 들어, 종료점 검출 시스템(140)은 리드 윈도우(142)를 통해 정렬 영역(148)으로부터의 반사(154)를 수신하기 위한 광 검출기를 포함할 수 있다. 반사(154)는 제1 광(146) 및/또는 챔버 용적 내의 다른 광의 반사(154)일 수 있다. 반사(154)는 리드 윈도우(142)를 통해 챔버 용적으로부터 종료점 검출 시스템(140)으로 이동할 수 있다. 포커싱 광학계(150)는 복귀 광 경로를 따라 반사(154)를 리드 윈도우(142)로부터 종료점 검출 시스템(140)으로 향하게 할 수 있다.
일 실시예에서, 광학 모니터링 시스템(138)은 카메라(152)를 포함한다. 카메라(152)는 종료점 검출 시스템(140)에 인접하게 배치될 수 있다. 예를 들어, 종료점 검출 시스템(140), 포커싱 광학계(150) 및 카메라(152)는 동일한 광학 뷰 포트, 예컨대 리드 윈도우(142)를 통해 기판(114)을 보는 것을 보조하기 위해 광학 모니터링 시스템(138)의 동일한 섀시 내에 장착될 수 있다. 즉, 카메라(152)는 종료점 검출 시스템(140)에 의해 방출된 제1 광(146)이 기판(114) 상의 정확한 위치로 향하게 됨을 확인하기 위해 정렬 영역(148)으로부터의 반사(154)를 이미지화할 수 있다.
반사(154)는 종료점 검출 시스템(140)에 의해 방출된 제1 광(146) 및 정렬 영역(148)으로부터 반사된 다른 광을 포함할 수 있다. 예를 들어, 에칭 처리 시스템(100)은 측면 윈도우(144)에 결합되어 측면 윈도우(144)를 통해 정렬 영역(148)을 향해 제2 광(158)을 방출하는 제2 광원(156)을 포함할 수 있다. 카메라(152)는 정렬 영역(148)으로부터의 제1 광(146) 및 제2 광(158)을 포함하는 반사(154)를 이미지화할 수 있다.
일 실시예에서, 종료점 검출 시스템(140)은 반사, 간섭계 또는 투과 모드들 중 적어도 하나에서 동작하고, 반사율 또는 투과율, 간섭계, 또는 광 방출 분광법과 같은 서로 다른 타입들의 측정들을 위해 구성된다. 관심 애플리케이션, 예컨대 처리되고 있는 재료 층들 또는 기판 구조에 따라, 종료점들은 반사율 또는 투과율 강도들의 변화, 간섭 프린지(fringe)들의 수, 또는 특정 파장들에서의 광 방출 강도들의 변화, 또는 이들의 조합에 기초하여 검출될 수 있다. 특정 실시예에서, 종료점 검출 시스템(140)은 정렬 영역(148) 내에서 기판(114)의 에칭된 표면으로부터의 반사율의 변화, 예컨대 반사(154)의 크기의 변화에 기초하여 에칭 프로세스의 종료점을 결정하도록 구성된다(도 8a - 도 8b).
반사 동작 모드는 반사율(또는 반사계) 및 간섭계 측정이 수행되게 한다. 일 실시예에서, 광학 모니터링 시스템(138)은 도 9와 관련하여 아래에 더 설명되는 컴퓨터 시스템(160)을 포함한다. 컴퓨터 시스템(160)은 제1 광(146)의 반사(154)의 측정된 광 신호들에 기초하여 실시간 파형의 부분들을 계산할 수 있고, 계산된 파형을 저장된 특성 파형 패턴과 비교하여 에칭 프로세스와 관련된 정보를 추출할 수 있다. 일 실시예에서, 계산은 반사 또는 투과 모드에서, 검출된 신호들의 기울기 변화들 또는 다른 특성 변화들에 기초할 수 있다. 예를 들어, 검출된 신호들은 특성 반사율 크기들(802)을 가질 수 있거나 막이 타깃 깊이로 에칭될 때 변한다. 대안으로, 계산은 에칭 중에 트렌치의 깊이 또는 막의 두께가 변화함에 따른 간섭계 신호들에 기초할 수 있다. 다른 실시예들에서, 에칭 프로세스의 임의의 지점에서 깊이 또는 두께를 결정하여 에칭되고 있는 대상의 에칭률을 결정하기 위해 넓은 스펙트럼에 걸쳐 획득된 간섭계 신호들을 기초로 보다 상세한 계산들이 수행될 수 있다.
컴퓨터 시스템(160)은 에칭 처리 시스템(100)의 다른 기능들을 제어할 수 있다. 예를 들어, 일 실시예에서, 에칭 처리 시스템(100)은 (예컨대, 예시된 바와 같이 리드 윈도우(142) 위에서 광학 모니터링 시스템(138)의 섀시를 이동시킴으로써) 종료점 검출 시스템(140) 또는 (도시되지 않은) 기판 지지 부재(112) 중 하나 이상에 동작 가능하게 결합된 조정 메커니즘(162)을 포함한다. 컴퓨터 시스템(160)은 기판(114)의 정렬 영역(148)에서 제1 광(146)이 충돌하는 위치를 이동시키기 위해 모터들, 서보들 등을 작동시킴으로써 조정 메커니즘(162)의 이동을 제어할 수 있다.
도 2를 참조하면, 일 실시예에 따라 에칭 처리 시스템의 광학 구성의 도면이 도시된다. 일 실시예에서, 종료점 검출 시스템(140)은 제1 광(146)을 방출하기 위한 광원(202)을 포함한다. 제1 광(146)은 광섬유에 의해 광학 어셈블리, 즉 포커싱 광학계(150)로 전달될 수 있다. 일 실시예에서, 포커싱 광학계(150)는 순방향 경로를 따라 제1 광(146)을 리드 윈도우(142)를 통해 정렬 영역(148) 쪽을 향하게 하기 위한 곡면 미러(205)를 포함한다. 보다 구체적으로, 곡면 미러(205)는 제1 광(146)을 정렬 영역(148)의 빔 스폿(206)으로 반사시키고 포커싱할 수 있다. 빔 스폿(206)은 기판(114)의 표면 상의 스폿 위치(208)에 있을 수 있다.
일 실시예에서, 광의 반사(154)는 정렬 영역(148)으로부터 반사되고 광 검출기(204)에 의해 수집된다. 반사(154)는 제2 광원(156)에 의해 방출된 제1 광(146) 및 제2 광(158)을 포함할 수 있다. 예를 들어, 제1 광(146)은 기판(114) 및/또는 기판 지지 부재(112)의 최상부 표면(209)에 직교하게 정렬 영역(148)을 향해 이동하도록 포커싱 광학계(150)에 의해 아래쪽 방향으로 향하게 될 수 있다. 동시에, 제2 광원(156)으로부터의 제2 광(158)은 챔버 벽(106)의 측면 윈도우(144)를 통해 챔버 용적으로 들어가도록 측방향으로 향하게 될 수 있다. 제2 광(158)은 최상부 표면(209)에 대해 비스듬하거나 그에 평행하게 정렬 영역(148)을 향해 이동할 수 있다. 예를 들어, 제2 광(158)은 정렬 영역(148)을 조명하기 위해 최상부 표면(209)에 대해 낮은 각도로 이동할 수 있다. 제2 광(158)의 적어도 일부는 카메라(152)의 시야 내에서 정렬 영역(148)으로부터 위쪽으로 반사될 것이다. 즉, 제1 광(146)과 제2 광(158) 둘 다 정렬 영역(148) 위에 포지셔닝된 리드 윈도우(142)를 향해 반사(154) 내에서 위쪽으로 반사될 것이다. 반사(154)는 복귀 경로를 따라 리드 윈도우(142)를 통해 광 검출기(204) 및 카메라(152)를 향해 이동한다.
광원들(156, 202)은 단색, 다색, 백색광 또는 다른 적절한 광원들일 수 있다. 아래에서 설명되는 바와 같이, 반사(154)로부터의 광 신호가 분석되어 층의 존재 또는 부재에 관한 정보를 추출할 수 있다. 예를 들어, 빔 스폿(206)의 반사(154)가 분석되어, 에칭 프로세스 동안 에칭 층, 예컨대 반사 방지 코팅 층 또는 흡수제 층이 제거되었는지 여부를 결정할 수 있다. 대안으로, 분석은 스폿 위치(208) 내의 특정 재료 층들의 두께를 결정할 수 있다. 입사 빔 스폿(206)의 강도는 측정 가능한 강도를 갖는 반사 광 빔을 제공하기에 충분히 높게 선택된다. 광원(들)의 램프는 또한 온 및 오프 전환되어 배경 조명을 뺄 수 있다.
일 실시예에서, 광원들(156, 202)은 예컨대, Hg-Cd 램프, 아크 램프, 또는 발광 다이오드(LED: light emitting diode) 또는 LED 어레이로부터 다색 광을 제공하는데, 이러한 광원들은 각각 170㎚ 내지 약 800㎚, 또는 약 200 내지 800㎚, 예를 들어 약 250㎚ 내지 약 800㎚의 파장 범위의 광을 생성한다. 다색 광원(202)은 선택된 주파수들을 갖는 입사 광 빔을 제공하도록 필터링될 수 있다. 광원(들)은 또한 플래시 램프, 예컨대 크세논(Xe) 또는 다른 할로겐 램프, 또는 선택된 파장에서 광 방출을 제공하는 단색 광원을 포함할 수 있다. 예를 들어, 광원(202)은 He-Ne 또는 ND-YAG 레이저일 수 있다.
광원(들)(156, 202)은 연속 또는 펄스 모드에서 동작하도록 구성될 수 있다. 대안으로, 파장 범위는 안정적인 심자외선 투과를 하는 광학 재료들을 사용하고 불활성 가스 또는 다른 적합한 캐리어 가스, 이를테면 질소 가스로 공기 경로들을 정화함으로써 170㎚ 이상의 낮은 심자외선으로 확장될 수 있다.
포커싱 광학계(150)는 제1 광(146) 및 제2 광(158)의 반사(154)를 카메라(152)로 향하게 할 수 있다. 보다 구체적으로, 정렬 영역(148)으로부터 반사된 광은 시야로부터 수집될 수 있다. 일 실시예에서, 포커싱 광학계(150)는 제1 광(146) 및 제2 광(158)의 반사(154)를 카메라(152)로 향하게 하도록 카메라(152)와 리드 윈도우(142) 사이에 빔 스플리터(210)를 포함한다. 반사(154)는 빔 스플리터(210)에 의해 렌즈(213)로 반사될 수 있다. 그 다음, 렌즈(213)로부터의 이미지가 카메라(152)에 의해 캡처된다.
카메라(152)에 의해 이미지화된 시야는 빔 스폿(206) 주위에서 정렬 영역(148)으로부터 위쪽으로 반사되는 제2 광(158)을 포함할 수 있다. 그러나 정렬 영역(148)의 이미지는 또한 기판(114)으로부터의 빔 스폿(206)의 반사를 포함할 수 있다. 즉, 빔 스폿(206)으로 포커싱된 제1 광(146)은 리드 윈도우(142)를 통해 빔 스플리터(210)로 위쪽으로 향하게 될 수 있고, 빔 스플리터(210)는 카메라(152)에 의해 수집되도록 빔 스폿(206)을 렌즈(213)를 향해 부분적으로 반사시킬 수 있다. 이에 따라, 카메라(152)에 의해 캡처된 결과적인 이미지는 기판(114) 상의 정렬 영역(148)의 그리고 정렬 영역(148) 내의 스폿 위치(208)에서 빔 스폿(206)을 보여주는 복합 이미지일 수 있다. 아래에서 설명되는 바와 같이, 이미지는 빔 스폿(206)을 미리 정해진 스폿 위치에 정렬하기 위해, 예컨대 빔 스폿(206)을 기판(114) 상의 포토마스크의 정렬 개구에 정렬하기 위해 스폿 위치(208)를 결정하고 조정하는 데 사용될 수 있다.
포커싱 광학계(150)는 제1 광(146)의 반사(154)를 광 검출기(204)로 향하게 할 수 있다. 보다 구체적으로, 정렬 영역(148)으로부터 반사된 광은 반사된 빔 스폿(206)을 포함하는 반사(154) 부분(카메라(152)에 반사되지 않은 부분)을 광 검출기(204)로 통과시킬 수 있다. 보다 구체적으로, 통과된 반사(154)는 광 신호를 광 검출기(204)로 전달하는 광섬유들을 향해 곡면 미러(205)로부터 반사될 수 있다. 빔 스플리터(210)는 광 검출기(204)의 전체 파장 범위를 유지하기 위해 넓은 범위의 파장들에 걸쳐, 바람직하게는 200㎚ 이하에 이르기까지 투과하고 있을 수 있다.
광 검출기(204)는 기판(114)의 스폿 위치(208)로부터 반사된 반사 광 빔의 강도를 측정하도록 구성될 수 있다. 즉, 광 검출기(204)는 빔 스폿(206)의 반사(154)의 크기를 검출 또는 측정할 수 있다. 포커싱 광학계(150)는 광 검출기(204)에 들어가는 반사 광 빔의 강도를 측정하기 전에, 원하는 광 파장을 제외한 모든 파장들을 필터링하기 위해 광 검출기(204) 앞에 배치된 컬러 필터들을 포함할 수 있다. 광 검출기(204)는 반사 광 빔의 측정된 강도에 대한 응답으로 신호를 제공하는 감광성 전자 컴포넌트, 이를테면 광기전력 전지, 포토다이오드, 포토트랜지스터 또는 광전자 증배관을 포함할 수 있다. 신호는 전기 컴포넌트를 통과하는 전류의 레벨 변화 또는 전기 컴포넌트에 걸쳐 인가된 전압의 변화의 형태일 수 있다. 광 검출기(204)는 또한 약 170㎚ 내지 800㎚의 넓은 파장 범위, 이를테면 자외선에서부터 가시광선까지 데이터를 제공하기 위해 분광계(파장-분산 엘리먼트를 갖는 어레이 검출기)를 포함할 수 있다. 반사 광 빔은 광 빔의 강도를 증가 또는 감소시키는 보강 및/또는 상쇄 간섭을 겪고, 광 검출기(204)는 반사 광 빔의 측정된 강도와 관련하여 전기 출력 신호를 제공한다. 전기 출력 신호는 시간에 따른 반사 광 빔의 가변 강도에 대응하는 파형 패턴을 갖는 스펙트럼을 제공하도록 시간의 함수로써 플롯팅될 수 있다.
원하는 스폿 위치(208)로의 빔 스폿(206)의 정렬은 조정 메커니즘(162)에 의해 이루어질 수 있다. 보다 구체적으로, 조정 메커니즘(162)은 포커싱 광학계(150)를 측면 방향(212)으로 또는 경사 방향(214)으로 이동시킴으로써 정렬 영역(148)에서 스폿 위치(208)의 포지션을 조정할 수 있다. 측면 방향(212)에서의 조정은 2개의 축들에서 챔버 리드(108)에 평행할 수 있다. 측방향 조정은 빔 스폿(206)을 원하는 스폿 위치(208)로 이동시킬 수 있다. 이 조정은 병진 스테이지 또는 다른 메커니즘들을 사용하여 수동으로 이루어질 수 있다. 경사 방향(214)에서의 조정은 액추에이터, 고정 나사(set screw), 또는 기판(114) 또는 기판 지지 부재(112)의 최상부 표면(209)에 대한 제1 광(146)의 입사각을 설정하기에 적합한 다른 디바이스에 의해 이루어질 수 있다. 예를 들어, 조정 메커니즘(162)은 경사 스테이지를 포함할 수 있다. 입사각은 최상부 표면(209)에 수직으로 설정될 수 있다. 입사각의 조정은 반사각에 영향을 미치며, 따라서 경사 정렬은 광 검출기(204)를 향해 다시 반사되는 광의 양을 최적화함으로써 광학 방사선의 수집을 최적화할 수 있다.
도 3을 참조하면, 일 실시예에 따라 에칭 처리 시스템의 광학 구성의 도면이 도시된다. 포커싱 광학계(150)의 구성은 도 2와 비교하여 도 3에서 상이할 수 있다. 그러나 포커싱 광학계(150)의 기능은 대체로 동일하게 유지될 수 있다.
일 실시예에서, 포커싱 광학계(150)는 폴딩 미러(302)를 포함한다. 폴딩 미러(302)는 광원(202)에 의해 방출된 제1 광(146)을 리드 윈도우(142)를 향해 반사시킨다. 포커싱 광학계(150)는 제1 광(146)을 빔 스폿(206)으로 포커싱하기 위해 그리고 리드 윈도우(142)를 통해 제1 광(146)을 정렬 영역(148)의 스폿 위치(208)로 향하게 하기 위해 렌즈 스택(304)에 여러 개의 렌즈들을 포함할 수 있다. 렌즈 스택(304)의 각각의 렌즈는 파장들의 범위에 걸쳐 최소 변동을 갖는 초점 길이를 가질 수 있다. 카메라(152)의 시야 내에 제1 광(146) 및 제2 광(158)을 포함하는 반사(154)는 렌즈 스택(304)에 의해 수집될 수 있고, 카메라(152)에 의해 이미지화된 부분 및 광 검출기(204)에 의해 검출 및 측정된 부분으로의 분할을 위해 빔 스플리터(210)로 전달될 수 있다. (도시되지 않은) 일 실시예에서, 빔 스플리터(210)는 제1 광(146)을 광원(202)으로부터 정렬 영역(148)으로 향하게 하도록 폴딩 미러(302)를 대체한다.
렌즈 스택(304)은 입사 광 빔을 기판(114) 표면 상의 스폿 위치(208)에 포커싱하는 데, 그리고 반사 광 빔을 광 검출기(204)의 활성 표면 상에 다시 포커싱하는 데 사용되는 하나 이상의 볼록 포커싱 렌즈들을 포함할 수 있다. 스폿 위치(208)는 디바이스 설계 피처들 및 기판(114)의 표면 토포그래피의 변화들을 보상하기에 충분히 커야 한다. 이는 조밀하게 존재하거나 더욱 분리될 수 있는 작은 개구들, 이를테면 비아들 또는 깊고 좁은 트렌치들을 갖는 고 종횡비 피처들에 대한 에칭 종료점들의 검출을 가능하게 한다. 반사 광 빔의 면적은 광 검출기(204)의 활성 광 검출 표면의 넓은 부분을 활성화하기에 충분히 커야 한다. 입사 및 반사 광 빔들은 광 빔들이 처리 환경 안팎으로 통과할 수 있게 하는, 에칭 챔버(102) 내의 투명 리드 윈도우(142)를 통해 지향된다.
도 4를 참조하면, 일 실시예에 따라 패터닝된 마스크 층 내에 정렬 개구를 갖는 기판의 단면도가 도시된다. 일 실시예에서, 기판(114)은 베이스 층(404) 위에 에칭 층(402)을 포함한다. 패터닝된 마스크 층(406)은 에칭 층(402) 위에 또는 에칭 층(402) 상에 장착될 수 있다. 예를 들어, 패터닝된 마스크 층(406)은 하부 에칭 층(402)의 에칭을 가능하게 하기 위해 여러 개의 개구들을 포함할 수 있다. 정렬 영역(148)에서, 패터닝된 마스크 층(406)은 스폿 위치(208)에서 빔 스폿(206)으로 입사 제1 광(146)을 수신하기 위한, 그리고 에칭 층(402)(또는 에칭 층(402)이 에칭 제거될 때 베이스 층(404))으로부터 위쪽으로 빔 스폿(206)의 반사(154)를 반사시키기 위한 정렬 개구(408)를 포함한다. 마찬가지로, 제2 광(158)은 정렬 영역(148)에 충돌하고 위쪽으로 반사되어 카메라(152)의 시야 내에 반사(154)의 일부를 형성할 수 있다. 반사(154)는 광 검출기(204) 및 카메라(152)에 의해 수신될 수 있다. 예를 들어, 에칭 층(402)이 에칭 프로세스에 의해 제거될 때, 하부 베이스 층(404)으로부터의 제1 광(146)의 반사(154)는 정렬 개구(408)를 통해 위쪽으로 포커싱 광학계(150)를 향해 그리고 카메라(152) 및/또는 광 검출기(204)로 반사될 수 있다.
정렬 개구(408)는 기판(114) 상의 미리 결정된 위치에 있을 수 있다. 예를 들어, 정렬 개구(408)는 기판(114)의 둘레 또는 외측 에지 근처에 있거나, 아니면 기판(114) 상의 중심 위치에 대해 중심을 벗어나 있을 수 있다. 일 실시예에서, 정렬 개구(408)는 제1 방사 방향으로, 예컨대 x 축을 따라, 중심 위치로부터 50-75㎜, 예컨대 68㎜의 거리에 위치된다. 정렬 개구(408)는 제2 방사 방향으로, 예컨대 y 축을 따라, 중심 위치로부터 5-20㎜, 예컨대 10㎜의 거리에 위치될 수 있다. 특정 미리 결정된 위치는 정렬 개구(408)의 중심점, (예컨대, 정렬 개구(408)가 직사각형일 때) 정렬 개구(408)의 코너, 또는 (예컨대, 정렬 개구(408)가 타원형일 때) 정렬 개구(408)의 에지의 위치를 의미할 수 있다.
기판(114)은 석영 포토마스크, 저온 팽창 극자외선(EUV: extreme ultraviolet) 포토마스크 또는 실리콘 웨이퍼와 같은 재료들을 포함할 수 있다. 에칭 층(402)은 통상적으로 기판(114)의 최상부 층인데, 즉 기판(114)의 다른 베이스 층(들), 이를테면 포토마스크 상의 EUV 스택 상의 반사 방지 EUV 층, 또는 실리콘 상의 산화물 상에 있다. 패터닝된 마스크 층(406)은 통상적으로 포토레지스트이지만, 또한 하드마스크, 또는 에칭 층(402)의 부분들을 보호하는 것으로 의도된 다른 패터닝된 재료일 수 있다. 패터닝된 마스크 층(406)은 기판(114)의 일부 또는 층인 것으로 설명되었지만, 일 실시예에서, 패터닝된 마스크 층(406)은 기판(114)의 에칭 층(402) 위에 홀딩된 별도의 재료 플레이트일 수 있다.
일 실시예에서, 빔 스폿(206)은 패터닝된 마스크 층(406) 내의 정렬 개구(408)에 정렬된다. 조정 메커니즘(162)은 이러한 정렬을 이루도록 광학 모니터링 시스템(138) 및 기판(114)의 상대 위치를 이동시킬 수 있다. 대안으로, (도시되지 않은) 광 빔 포지셔너(positioner)가 에칭 프로세스를 모니터링할 기판 표면의 적절한 부분으로 입사 광 빔을 기판(114)을 가로질러 이동시키는 데 사용될 수 있다. 광 빔 포지셔너는 포커싱 광학계(150)의 일부일 수 있는 하나 이상의 1차 미러들을 포함할 수 있는데, 이러한 미러들은 광원(202)으로부터의 광 빔을 기판 표면의 서로 다른 포지션들로 편향시키기 위해 작은 각도들로 회전한다. 반사 광 빔을 광 검출기(204) 상으로 향하게 하기 위해 추가 2차 미러들이 사용될 수 있다. 광 빔 포지셔너는 또한 기판(114)의 표면을 가로질러 래스터 패턴으로 광 빔을 스캔하는 데 사용될 수 있다. 예를 들어, 광 빔 포지셔너는 조정 메커니즘(162)과 유사한 이동 가능 스테이지로 구성된 스캐닝 어셈블리를 포함할 수 있다. 이동 가능 스테이지는 기판(114)을 가로질러 빔 스폿(206) 위치를 스캔하기 위해 스테퍼 모터 또는 검류계(galvanometer)와 같은 구동 메커니즘에 의해 설정된 간격들을 통해 이동될 수 있다. 일 실시예에서, 이동 가능 스테이지는 조정 메커니즘(162)의 일부인데, 즉 광 빔 포지셔너는 조정 메커니즘(162)의 하위 컴포넌트이다.
스폿 위치(208)에서의 빔 스폿(206)의 직경은 일반적으로 약 2㎜ 내지 약 10㎜이다. 그러나 정렬 영역(148)이 적은 수의 에칭된 피처들만을 포함하는 기판(114)의 넓은 분리된 영역들을 포괄할 때, 더 많은 수의 에칭된 피처들을 포괄하기 위해 더 큰 빔 스폿(206)을 사용하는 것이 필요할 수 있다. 따라서 빔 스폿(206)의 크기는 특정 디바이스에 대한 설계 피처들에 따라 최적화될 수 있다. 신호가 충분하다면, 큰 빔 스폿(206) 또는 시야가 모니터링된 신호를 발생시키는 기판(114)의 에칭된 영역과 정렬 개구(408)의 포지션을 정확하게 일치시키지 않으면서 프로세스 제어를 가능하게 할 것이다.
도 5를 참조하면, 일 실시예에 따라 종료점을 결정하는 방법의 흐름도가 도시된다. 도 5의 방법의 동작들은 기판(114)의 정렬 영역(148)의 평면도들을 도시하는 도 6a - 도 6b에 예시된다. 따라서 도 5 - 도 6b는 아래에서 조합하여 설명된다.
동작(502)에서, 기판(114)이 에칭 챔버(102) 내로 이송되고 기판 지지 부재(112) 상에 장착된다. 일 실시예에서, 기판(114)은 패터닝된 마스크 층(406)을 포함한다. 패터닝된 마스크 층(406)은 반사 방지 층 및 흡수제 층을 갖는 다층 EUV 스택과 같은 포토마스크, 또는 석영 상의 크롬 몰리브덴 실리사이드와 같은 포토마스크일 수 있다. 패터닝된 마스크 층(406)은 프로세스 모니터링을 위해 미리 결정된 위치에서 타깃 영역으로 간주될 수 있는 개구들, 예컨대 정렬 개구(408)를 포함할 수 있다. 웨이퍼의 경우, 타깃 영역은 다이들 사이에 또는 다이의 전용 부분에 있을 수 있다.
동작(504)에서, 제1 광(146)이 기판(114)의 정렬 영역(148)을 향해 방출된다. 제1 광(146)은 포커싱 광학계(150)의 곡면 미러(205) 또는 렌즈 스택(304) 중 하나 이상에 의해 기판(114) 상의 빔 스폿(206)으로 포커싱될 수 있다. 동작(506)에서, 제2 광(158)이 정렬 영역(148)을 향해 방출된다. 도 6a를 참조하면, 정렬 영역(148)은 카메라(152)의 시야에 대응할 수 있다. 즉, 동작(508)에서, 정렬 영역(148)의 이미지가 카메라(152)에 의해 캡처될 수 있다. 이미지는 카메라(152)에 의한 보기를 위해 기판(114)으로부터의 제1 광(146) 및 제2 광(158)의 반사(154)를 포함하는 정렬 영역(148)의 전체 영역에 대응할 수 있다. 초기에 기판(114)을 장착하고 정렬 영역(148) 상에 빔 스폿(206)을 포커싱한 후에, 캡처된 이미지는 빔 스폿(206)과 정렬 개구(408)가 오정렬되었음을 표시한다. 즉, 빔 스폿(206)의 적어도 일부는 패터닝된 마스크 층(406)에서 정렬 개구(408)를 한정하는 에지의 외부에 있을 수 있다.
동작(510)에서, 빔 스폿(206)이 정렬 영역(148) 내의 정렬 개구(408)에 정렬된다. 도 6b를 참조하면, 정렬 개구(408)의 에지의 둘레 내에 빔 스폿(206)의 둘레가 완전히 포함될 때까지 빔 스폿(206)이 기판(114)을 가로질러 측 방향으로 이동될 수 있다. 정렬은 카메라(152)에 의해 캡처된 정렬 영역(148)의 이미지에 기초할 수 있는데, 이는 정렬 개구(408)에 대한 빔 스폿(206)의 반사 포지션을 보여준다. 즉, 종료점 검출 시스템(140)으로부터의 방사선은 카메라(152)에 의해 캡처된 이미지(들)에 기초하여 기판(114)의 타깃 영역 상의 원하는 위치에 정렬될 수 있다. 정렬 후에, 빔 스폿(206)으로부터 반사된 포인트 광은 마스크 층이 아니라 단지 에칭 표면으로부터만 나올 것이다.
동작(512)에서, 기판(114)이 에칭 챔버에 의해 에칭된다. 에칭 기판(114) 상에서 에칭 프로세스가 수행되어, 패터닝된 마스크 층(406)에 의해 커버되지 않은 노출된 영역들, 예컨대 정렬 개구(408) 아래의 타깃 영역을 에칭할 수 있다. 기판(114) 상에서 수행되는 에칭 프로세스는 빔 스폿(206)을 정렬 개구(408)에 정렬한 이후일 수 있다. 이에 따라, 에칭 프로세스는 광 검출기(204)가 정렬 개구(408) 아래의 에칭 층(402)으로부터의 반사(154)를 측정하는 것과 동시에 정렬 개구(408)를 통해 에칭 층(402)을 제거할 수 있다.
동작(514)에서, 빔 스폿(206)의 반사(154)에 기초하여 에칭 프로세스의 종료점이 결정된다. 종료점 검출 시스템(140)으로부터의 포커싱된 방사선이 기판(114) 상에 떨어져 반사된다. 반사(154)는 에칭 프로세스 동안 수집되고 처리되어 반사율 신호를 발생시킨다. 종료점을 검출하기 위해 에칭 중에 반사율 신호가 분석된다. 종료점 검출을 위해 에칭 중에 재료가 제거될 때 반사율 신호가 동시에 분석될 수 있다. 빔 스폿(206)이 (정렬 개구(408)를 통해 에칭 층(402)으로부터가 아니라) 정렬 개구(408)를 통해 베이스 층(404)으로부터 반사될 때, 에칭 층(402)이 없고 에칭 층(402)을 제거하는 것으로 의도된 에칭 프로세스가 완료된 것으로 결정될 수 있다. 즉, 종료점을 결정하는 것은 패터닝된 마스크 층(406)과 베이스 층(404) 사이의 에칭 층(402)이 정렬 개구(408) 아래에서 완전히 제거되는 시점을 검출하는 것을 포함할 수 있다.
다시 도 5를 참조하면, 종료점을 결정하는 방법은 일부 차이들을 제외하고는 앞서 설명한 방식과 유사하게 수행될 수 있다. 보다 구체적으로, 타깃 영역에 대한 검출 및 정렬은 도 7a - 도 7b와 도 6a - 도 6b 간에 상이할 수 있다. 일 실시예에서, 타깃 영역을 식별하기 위해 정렬 기판이 사용될 수 있다. 정렬 기판은 에칭 처리 시스템(100)에 의해 에칭되는 기판들과는 다른 기판일 수 있다. 보다 구체적으로, 도 7a - 도 7b에 도시된 바와 같이, 정렬 레티클(702)을 포함하는 정렬 기판이 사용될 수 있다. 도 7a - 도 7b는 최종적으로 에칭된 기판(114)과는 다를 수 있는 정렬 기판의 정렬 영역(148)의 평면도들을 도시한다.
일 실시예에서, 정렬 기판은 상부 표면을 갖는 기판, 예컨대 석영 기판이다. 정렬 레티클(702), 예컨대 마스크 레티클은 상부 표면에 인쇄되거나 스크라이브(scribe)될 수 있다. 예를 들어, 정렬 레티클(702)은 카메라(152)에 의해 보여지는 바와 같이 콘트라스트를 향상시키기 위해 광 산란 표면을 제공하도록 상부 표면으로 연마될 수 있다. 정렬 레티클(702)은 기판(114)의 패터닝된 마스크 층(406)에서 정렬 개구(408)의 기하학적 구조와 유사한 기하학적 구조를 갖는 정렬 패턴(702)을 포함할 수 있다. 예를 들어, 정렬 레티클(702)은 기판(114) 상의 타깃 영역과 동일한 프로파일 및 위치를 가질 수 있다.
도 7a는 정렬 레티클(702)을 마킹들, 예컨대 중심선들을 갖는 박스 패턴으로서 도시한다. 정렬 레티클(702)을 갖는 정렬 기판은 카메라(152)에 의해 이미지화된 바와 같이 정렬 영역(148) 내에 배치될 수 있다. 정렬 영역(148)은 기판(114)의 타깃 영역이 결국 홀딩될 기판 지지 부재(112) 위의 영역일 수 있다. 빔 스폿(206)은 초기에 정렬 레티클(702)과 오정렬될 수 있고, 도 7b에 도시된 바와 같이 정렬 레티클(702)과 정렬하여 이동될 수 있다. 빔 스폿(206)이 정렬 레티클(702)과 정렬될 때, 빔 스폿(206)은 또한 결국 정렬 개구(408)를 포함할 영역과 정렬될 수 있다. 정렬 레티클(702) 및 정렬 개구(408)는 동일한 프로파일 및 위치를 가질 수 있으며, 따라서 일단 빔 스폿(206)이 정렬 레티클(702)에 정렬되면, 정렬 기판과 동일한 형상 및 크기를 갖는 기판(114)이 기판 지지 부재(112) 상에 장착된다면 빔 스폿(206)이 정렬 개구(408)에 사전 정렬될 수 있다.
빔 스폿(206)이 정렬 레티클(702)에 정렬될 때, 정렬 기판은 챔버 본체(104)의 챔버 용적으로부터 제거되고 기판(114)으로 대체될 수 있다. 즉, 기판(114)이 챔버 용적 내로 삽입되어 기판 지지 부재(112) 상에 장착될 수 있다. 장착시, 기판(114) 상의 타깃 영역은 빔 스폿(206)과 정렬될 수 있고, 앞서 설명한 바와 같이 에칭 및 에칭 모니터링이 수행될 수 있다.
도 5의 방법에 따라 제1 웨이퍼(114)가 처리된 후, 에칭 처리 시스템은 제1 웨이퍼와 동일한 타깃 영역을 갖는 모든 후속 마스크들에 대해 사전 정렬된다. 이에 따라, 후속 웨이퍼들이 에칭 챔버(102) 내로 로딩되어 기판 지지 부재(112) 상에 장착될 수 있고, 후속 웨이퍼들의 정렬 개구들(408)은 빔 스폿(206)과 이미 정렬될 수 있고, 예컨대 동작(510)을 통한 추가 정렬을 필요로 하지 않을 수 있다. 동작들(512-514)의 에칭 프로세스 및 종료점 검출로 진행하기 전에 동작들(504-508)을 통해 정렬의 검증이 수행될 수 있다. 이에 따라, 생산 및 제조를 위한 일관되고 정확한 종료점 제어의 유리한 방법이 제공된다.
도 8a - 도 8b를 참조하면, 일 실시예에 따라 종료점 자취들의 그래프들이 도시된다. 그래프들은 종료점 검출에 반사율 모니터링이 어떻게 사용될 수 있는지를 추가로 설명하기 위해 제공된다.
그래프들은 얇은 반사 방지 층(402)이 에칭될 EUV 에칭 기판에 대해 수집된 데이터에 대응한다. 예상되는 신호는 에칭 시간에 따라 반사율이 증가하고, 이후에 층(402)이 제거된 후에 증가를 멈추고 평탄화되는 것이다. 광 신호의 이러한 평탄화는 타깃 에칭 층이 완전히 에칭되는 시점에 발생하기 때문에 이러한 평탄화는 에칭 프로세스의 종료점에 해당한다.
도 8a를 참조하면, 광 검출기(204)는 빔 스폿(206)의 반사(154)의 크기(802), 예컨대 강도를 검출할 수 있다. 빔 스폿(206)이 정렬 개구(408)에 정렬되지 않을 때, 예컨대 빔 스폿(206)이 마스크 재료 상에 있을 때(도 6a 및 도 7a), 측정된 크기(802)는 시간에 따라 계속 변화한다. 예를 들어, 에칭 중에 마스크 층이 더 얇아지게 되고 있기 때문에 에칭 시간 동안 반사율이 떨어지고 있다. 패터닝된 마스크 층(406)은 에칭 층(402)보다 더 두꺼울 수 있고, 따라서 층이 계속해서 에칭됨에 따라, 측정된 반사율은 예상 시간을 넘어서 계속 변할 수 있다. 도 8a에 도시된 신호는 에칭 프로세스 동안의 예상 신호를 나타내지 않으며, 따라서 에칭 프로세스가 정확한 시간에 중단되는 데 실패할 수 있다.
도 8b를 참조하면, 빔 스폿(206)이 정렬 개구(408)에 정렬된다(도 6b 및 도 7b). 시간이 지남에 따라 에칭 프로세스가 계속됨에 따라, 광 검출기(204)에 의해 수집된 반사율 데이터는 예상 종료점(804)까지 증가할 수 있다. 즉, 반사율의 크기(802)는 종료점(804)에서 안정화될 수 있다. 보다 구체적으로, 에칭 프로세스의 종료점(804)에 도달하면 시간에 대한 크기(802)의 변화가 감소될 수 있다. 컴퓨터 시스템(160)에 의해 레이트 변화의 감소가 식별되어, 예컨대 동작(514)에서 에칭 프로세스의 종료점(804)에 도달되는 것을 결정할 수 있다. 그 다음, 에칭 프로세스가 정확한 시간에 자동으로 중단되어, 에칭 층(402)을 성공적으로 에칭한다.
컴퓨터 시스템(160)은 비-일시적 컴퓨터 판독 가능 매체 상에 저장된 명령들을 실행하여 에칭 처리 시스템(100)이 앞서 설명한 동작들 및 기능들 중 임의의 것을 수행하게 할 수 있다. 예를 들어, 컴퓨터 시스템(160) 상의 컴퓨터 프로그램은 도 8a - 도 8b와 관련하여 설명된 반사율 모니터링뿐만 아니라, 반사 광 빔의 측정된 파형 패턴의 형상을 분석하여 에칭 프로세스의 종료점(804)을 결정할 수 있다. 파형은 사인 곡선형 발진 형상을 가질 수 있는데, 에칭된 피처의 깊이가 복귀 신호를 오버레이 층에 의해 반사된 복귀 신호와 위상이 180도 어긋나게 할 때 각각의 파장의 트로프(trough)가 발생한다. 종료점(804)은 측정된 파형, 측정된 파형의 위상 정보 및/또는 측정된 파형과 기준 파형의 비교를 사용하여 에칭율을 계산함으로써 결정될 수 있다. 이에 따라, 간섭 신호의 주기가 깊이 및 에칭율을 계산하는 데 사용될 수 있다. 프로그램은 또한 측정된 파형에 대해 동작하여, 서로 다른 층들로부터 반사된 광 사이의 위상 차를 나타내는 변곡점과 같은 특성 파형을 검출할 수 있다. 동작들은 변곡점을 검출하기 위해 이동 미분을 평가하는 것과 같은 간단한 수학 연산들일 수 있다.
도 9를 참조하면, 일 실시예에 따라 컴퓨터 시스템의 개략도가 도시된다. 실시예들의 부분들은 예를 들어, 컴퓨터 시스템(160)의 기계 사용 가능 매체에 상주하는 비-일시적 기계 판독 가능 및 기계 실행 가능 명령들로 구성되거나 그러한 명령들에 의해 제어된다. 컴퓨터 시스템(160)은 예시적이며, 본 발명의 실시예들은 범용 네트워크화 컴퓨터 시스템들, 내장형 컴퓨터 시스템들, 라우터들, 스위치들, 서버 디바이스들, 클라이언트 디바이스들, 다양한 중간 디바이스들/노드들, 독립형 컴퓨터 시스템들 등을 포함하는 다수의 서로 다른 컴퓨터 시스템들 상에서 또는 그 내부에서 동작하거나 그러한 시스템들에 의해 제어될 수 있다. 컴퓨터 시스템(160)은 앞서 설명한 방법 동작들을 수행하도록 에칭 처리 시스템(100)의 서브시스템들을 제어할 수 있다.
도 9의 컴퓨터 시스템(160)은 정보를 전달하기 위한 어드레스/데이터 버스(902), 및 버스(902)에 결합되어 정보 및 명령들을 처리하기 위한 프로세서(904)를 포함한다. 컴퓨터 시스템(160)은 또한, 버스(902)에 결합되어 프로세서(904)를 위한 정보 및 명령들을 저장하기 위한 컴퓨터 사용 가능 휘발성 메모리(906), 예컨대 랜덤 액세스 메모리(RAM: random access memory), 버스(902)에 결합되어 프로세서(904)를 위한 정적 정보 및 명령들을 저장하기 위한 컴퓨터 사용 가능 비휘발성 메모리(908), 예컨대 판독 전용 메모리(ROM: read only memory), 및 버스(902)에 결합되어 정보 및 명령들을 저장하기 위한 데이터 저장 디바이스(910)(예컨대, 자기 또는 광 디스크 및 디스크 드라이브)와 같은 데이터 저장 피처들을 포함한다. 본 실시예의 컴퓨터 시스템(160)은 버스(902)에 결합되어 정보 및 커맨드 선택들을 프로세서(904)에 전달하기 위한 영숫자 및 기능 키들을 포함하는 선택적인 영숫자 입력 디바이스(912)를 또한 포함한다. 컴퓨터 시스템(160)은 또한 버스(902)에 결합되어 사용자 입력 정보 및 커맨드 선택들을 프로세서(904)에 전달하기 위한 선택적인 커서 제어 디바이스(914)를 선택적으로 포함한다. 본 실시예의 컴퓨터 시스템(160)은 또한 버스(902)에 결합되어 정보를 디스플레이하기 위한 선택적인 디스플레이 디바이스(916)를 포함한다.
데이터 저장 디바이스(910)는 본 명세서에 설명된 방법들 또는 동작들 중 임의의 하나 이상을 구현하는 하나 이상의 세트들의 명령들(예컨대, 소프트웨어(922))이 저장되는 비-일시적 기계 판독 가능 저장 매체(920)를 포함할 수 있다. 소프트웨어(922)는 또한 휘발성 메모리(906), 비휘발성 메모리(908) 내에, 그리고/또는 컴퓨터 시스템(160)에 의한 실행 중에 프로세서(904) 내에 완전히 또는 적어도 부분적으로 상주할 수 있으며, 휘발성 메모리(906), 비휘발성 메모리(908) 및 프로세서(904)는 또한 비-일시적 기계 판독 가능 저장 매체(920)를 구성한다.
상기한 명세서에서, 특정한 예시적인 실시예들이 설명되었다. 다음 청구항들의 범위를 벗어나지 않으면서 그에 대한 다양한 수정들이 이루어질 수 있음이 명백할 것이다. 명세서 및 도면들은 이에 따라, 제한적인 의미보다는 예시적인 의미로 여겨져야 한다.

Claims (15)

  1. 에칭 처리 시스템으로서,
    기판 지지 부재 주위에 챔버 본체를 갖는 에칭 챔버 ― 상기 에칭 챔버는 챔버 리드(lid) 및 복수의 리드 윈도우들(windows)을 가지고, 상기 복수의 리드 윈도우들은 제1 포지션에서 상기 챔버 리드의 중심으로부터 방사상 외측으로 상기 챔버 리드의 둘레 근처에 위치되는 제1 리드 윈도우를 포함하고, 상기 챔버 본체는 챔버 벽을 포함하고, 측면 윈도우가 상기 챔버 벽에 형성됨 ―;
    상기 기판 지지 부재 상에 장착되고 정렬 영역을 포함하는 기판;
    상기 기판 지지 부재 위의 상기 정렬 영역을 향해 제1 광을 방출하기 위한 제1 광원, 및 상기 정렬 영역으로부터의 상기 제1 광의 반사를 수신하기 위한 광 검출기를 포함하는 종료점 검출 시스템;
    상기 측면 윈도우에 결합되어 측면 윈도우를 통해 상기 정렬 영역을 향해 제2 광을 방출하기 위한 제2 광원; 및
    상기 정렬 영역으로부터의 상기 제1 광 및 상기 제2 광의 반사를 이미지화하기 위한 카메라를 포함하고,
    상기 제1 광은 상기 복수의 리드 윈도우들 중 하나를 통해 상기 정렬 영역을 향해 이동하고, 상기 제1 광 및 상기 제2 광의 반사는 상기 복수의 리드 윈도우들 중 하나를 통해 상기 광 검출기 및 상기 카메라를 향해 이동하는,
    에칭 처리 시스템.
  2. 제1 항에 있어서,
    상기 복수의 리드 윈도우들은 제2 포지션에서 상기 챔버 리드의 둘레 근처에 위치되는 제2 리드 윈도우를 포함하고, 상기 제2 리드 윈도우의 상기 제2 포지션은 상기 제1 리드 윈도우의 상기 제1 포지션으로부터 원주 방향으로 오프셋되고, 원주 방향 오프셋은 상기 기판 지지 부재 상의 기판의 배향과 무관하게 상기 정렬 영역 위에 수직으로 상기 제1 리드 윈도우 또는 상기 제2 리드 윈도우 중 하나를 제공하는,
    에칭 처리 시스템.
  3. 제1 항에 있어서,
    상기 제1 광을 상기 복수의 리드 윈도우들 중 하나를 통해 상기 정렬 영역으로 향하게 하고, 상기 제1 광의 반사를 상기 광 검출기로 향하게 하고, 그리고 상기 제1 광 및 상기 제2 광의 반사를 상기 카메라로 향하게 하기 위한 포커싱 광학계(focusing optics)를 더 포함하는,
    에칭 처리 시스템.
  4. 제3 항에 있어서,
    상기 포커싱 광학계는 상기 정렬 영역 내의 빔 스폿으로 상기 제1 광을 포커싱하기 위한 곡면 미러 또는 복수의 렌즈들 중 하나 이상을 포함하는,
    에칭 처리 시스템.
  5. 제4 항에 있어서,
    상기 기판 지지 부재 또는 상기 종료점 검출 시스템 중 하나 이상에 동작 가능하게 결합되어 상기 정렬 영역에서 상기 빔 스폿의 스폿 위치를 이동시키기 위한 조정 메커니즘을 더 포함하는,
    에칭 처리 시스템.
  6. 제3 항에 있어서,
    상기 포커싱 광학계는 상기 제1 광 및 상기 제2 광의 반사를 상기 카메라로 향하게 하도록 상기 카메라와 상기 복수의 리드 윈도우들 중 하나 사이에 빔 스플리터(beam splitter)를 포함하는,
    에칭 처리 시스템.
  7. 제1 항에 있어서,
    상기 제1 광은 상기 기판 지지 부재의 최상부 표면에 직교하게 상기 정렬 영역을 향해 이동하고,
    상기 제2 광은 상기 최상부 표면에 대해 비스듬하게 상기 정렬 영역을 향해 이동하는,
    에칭 처리 시스템.
  8. 에칭 처리 시스템으로서,
    기판 지지 부재 주위에 챔버 본체를 갖는 에칭 챔버 ― 상기 에칭 챔버는 챔버 리드 및 복수의 리드 윈도우들을 가지고, 상기 복수의 리드 윈도우들은 제1 포지션에서 상기 챔버 리드의 중심으로부터 방사상 외측으로 상기 챔버 리드의 둘레 근처에 위치되는 제1 리드 윈도우를 포함하고, 상기 챔버 본체는 챔버 벽을 포함하고, 측면 윈도우가 상기 챔버 벽에 형성됨 ―;
    상기 기판 지지 부재 상에 장착되는 기판 ― 상기 기판은 베이스 층 위의 에칭 층 및 상기 에칭 층 위의 패터닝된 마스크 층을 가지는 정렬 영역을 포함하고, 상기 패터닝된 마스크 층은 정렬 개구를 포함함 ―;
    상기 기판의 상기 정렬 영역을 향해 제1 광을 방출하기 위한 제1 광원, 및 상기 정렬 개구를 통한 상기 베이스 층으로부터의 상기 제1 광의 반사를 수신하기 위한 광 검출기를 포함하는 종료점 검출 시스템;
    상기 측면 윈도우에 결합되어 측면 윈도우를 통해 상기 정렬 영역을 향해 제2 광을 방출하기 위한 제2 광원; 및
    상기 정렬 영역으로부터의 상기 제1 광 및 상기 제2 광의 반사를 이미지화하기 위한 카메라를 포함하고,
    상기 제1 광 및 상기 제2 광의 반사는 상기 복수의 리드 윈도우들 중 하나를 통해 상기 정렬 영역으로부터 상기 카메라로 이동하는,
    에칭 처리 시스템.
  9. 제8 항에 있어서,
    상기 복수의 리드 윈도우들은 제2 포지션에서 상기 챔버 리드의 둘레 근처에 위치되는 제2 리드 윈도우를 포함하고, 상기 제2 리드 윈도우의 상기 제2 포지션은 상기 제1 리드 윈도우의 상기 제1 포지션으로부터 원주 방향으로 오프셋되고, 원주 방향 오프셋은 상기 기판 지지 부재 상의 기판의 배향과 무관하게 상기 정렬 영역 위에 수직으로 상기 제1 리드 윈도우 또는 상기 제2 리드 윈도우 중 하나를 제공하는,
    에칭 처리 시스템.
  10. 제8 항에 있어서,
    상기 정렬 개구 내의 빔 스폿으로 상기 제1 광을 포커싱하기 위한 포커싱 광학계를 더 포함하는,
    에칭 처리 시스템.
  11. 제8 항에 있어서,
    상기 제1 광은 상기 패터닝된 마스크 층의 상부 표면에 직교하는 상기 정렬 개구 내로 지향되고, 상기 제2 광은 상기 상부 표면에 비스듬하게 상기 정렬 영역 상으로 지향되는,
    에칭 처리 시스템.
  12. 삭제
  13. 삭제
  14. 삭제
  15. 삭제
KR1020217039608A 2017-03-13 2018-03-06 반사성 종료점 검출을 하는 에칭 처리 시스템 KR102410496B1 (ko)

Applications Claiming Priority (8)

Application Number Priority Date Filing Date Title
US201762470850P 2017-03-13 2017-03-13
US62/470,850 2017-03-13
US201762483758P 2017-04-10 2017-04-10
US62/483,758 2017-04-10
US15/909,650 US11022877B2 (en) 2017-03-13 2018-03-01 Etch processing system having reflective endpoint detection
US15/909,650 2018-03-01
PCT/US2018/021082 WO2018169728A1 (en) 2017-03-13 2018-03-06 Etch processing system having reflective endpoint detection
KR1020197029539A KR20190117809A (ko) 2017-03-13 2018-03-06 반사성 종료점 검출을 하는 에칭 처리 시스템

Related Parent Applications (1)

Application Number Title Priority Date Filing Date
KR1020197029539A Division KR20190117809A (ko) 2017-03-13 2018-03-06 반사성 종료점 검출을 하는 에칭 처리 시스템

Publications (2)

Publication Number Publication Date
KR20210151251A KR20210151251A (ko) 2021-12-13
KR102410496B1 true KR102410496B1 (ko) 2022-06-16

Family

ID=63444866

Family Applications (2)

Application Number Title Priority Date Filing Date
KR1020217039608A KR102410496B1 (ko) 2017-03-13 2018-03-06 반사성 종료점 검출을 하는 에칭 처리 시스템
KR1020197029539A KR20190117809A (ko) 2017-03-13 2018-03-06 반사성 종료점 검출을 하는 에칭 처리 시스템

Family Applications After (1)

Application Number Title Priority Date Filing Date
KR1020197029539A KR20190117809A (ko) 2017-03-13 2018-03-06 반사성 종료점 검출을 하는 에칭 처리 시스템

Country Status (6)

Country Link
US (1) US11022877B2 (ko)
JP (1) JP7051888B2 (ko)
KR (2) KR102410496B1 (ko)
CN (1) CN110383449B (ko)
TW (1) TWI716672B (ko)
WO (1) WO2018169728A1 (ko)

Families Citing this family (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP7101577B2 (ja) 2018-09-21 2022-07-15 株式会社日本マイクロニクス 検査方法及び検査システム
GB201916079D0 (en) * 2019-11-05 2019-12-18 Spts Technologies Ltd Apparatus and method
CN112652566B (zh) * 2020-12-30 2023-03-07 合肥晶合集成电路股份有限公司 一种集成电路的制备方法

Citations (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20150111315A1 (en) * 2011-12-19 2015-04-23 Applied Materials, Inc. Etch rate detection for anti-reflective coating layer and absorber layer etching

Family Cites Families (26)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPS6035519A (ja) * 1983-08-08 1985-02-23 Hitachi Micro Comput Eng Ltd 膜厚モニタ−装置
JPS6035551A (ja) 1984-07-25 1985-02-23 Hitachi Ltd 半導体装置の製法
JP2698286B2 (ja) * 1992-05-22 1998-01-19 大日本スクリーン製造株式会社 表面処理終点検出装置
US5450205A (en) 1993-05-28 1995-09-12 Massachusetts Institute Of Technology Apparatus and method for real-time measurement of thin film layer thickness and changes thereof
FR2718231B1 (fr) 1994-04-05 1996-06-21 Sofie Procédé et dispositif pour quantifier in situ la morphologie et l'épaisseur dans une zone localisée d'une couche superficielle en cours de traitement sur une structure à couches minces .
JP3242526B2 (ja) * 1994-05-24 2001-12-25 オリンパス光学工業株式会社 プラズマエッチャーのエンドポイント検出装置
US5814365A (en) * 1997-08-15 1998-09-29 Micro C Technologies, Inc. Reactor and method of processing a semiconductor substate
JP3892656B2 (ja) * 2000-09-13 2007-03-14 株式会社ルネサステクノロジ 合わせ誤差測定装置及びそれを用いた半導体デバイスの製造方法
US6917419B2 (en) 2000-09-20 2005-07-12 Kla-Tencor Technologies Corp. Methods and systems for determining flatness, a presence of defects, and a thin film characteristic of a specimen
JP2003051471A (ja) * 2001-08-07 2003-02-21 Hitachi Ltd 半導体装置の製造方法および半導体製造装置
JP3779629B2 (ja) * 2002-02-20 2006-05-31 株式会社日立製作所 半導体素子の製造方法並びにプラズマ処理方法
US8440049B2 (en) * 2006-05-03 2013-05-14 Applied Materials, Inc. Apparatus for etching high aspect ratio features
US20080099436A1 (en) * 2006-10-30 2008-05-01 Michael Grimbergen Endpoint detection for photomask etching
DE602007012503D1 (de) * 2006-10-30 2011-03-31 Applied Materials Inc Endpunkterkennung für die Atzung von Photomasken
JP2009142969A (ja) * 2007-12-18 2009-07-02 Ebara Corp 研磨終点検出方法、研磨終点検出装置、および研磨装置
JP4897006B2 (ja) * 2008-03-04 2012-03-14 エーエスエムエル ネザーランズ ビー.ブイ. アラインメントマークを設ける方法、デバイス製造方法及びリソグラフィ装置
KR101293799B1 (ko) 2009-08-06 2013-08-06 시바우라 메카트로닉스 가부시끼가이샤 플라즈마 에칭 장치 및 플라즈마 에칭 방법
JP5385875B2 (ja) * 2010-08-26 2014-01-08 東京エレクトロン株式会社 プラズマ処理装置及び光学モニタ装置
JP2012059984A (ja) * 2010-09-10 2012-03-22 Nuflare Technology Inc マスク検査装置及び露光用マスク製造装置
JP5849505B2 (ja) * 2011-08-05 2016-01-27 大日本印刷株式会社 半導体製造システム
JP2013048183A (ja) 2011-08-29 2013-03-07 Shimadzu Corp エッチングモニタリング装置
US20130059403A1 (en) * 2011-09-06 2013-03-07 Applied Materials, Inc. Method and apparatus for wafer temperature measurement using an independent light source
US8808559B2 (en) 2011-11-22 2014-08-19 Applied Materials, Inc. Etch rate detection for reflective multi-material layers etching
US9887071B2 (en) * 2011-12-16 2018-02-06 Taiwan Semiconductor Manufacturing Co., Ltd. Multi-zone EPD detectors
US9157730B2 (en) * 2012-10-26 2015-10-13 Applied Materials, Inc. PECVD process
US9543225B2 (en) 2014-04-29 2017-01-10 Lam Research Corporation Systems and methods for detecting endpoint for through-silicon via reveal applications

Patent Citations (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20150111315A1 (en) * 2011-12-19 2015-04-23 Applied Materials, Inc. Etch rate detection for anti-reflective coating layer and absorber layer etching

Also Published As

Publication number Publication date
JP2020510311A (ja) 2020-04-02
US20210263408A1 (en) 2021-08-26
WO2018169728A1 (en) 2018-09-20
US11022877B2 (en) 2021-06-01
TWI716672B (zh) 2021-01-21
CN110383449A (zh) 2019-10-25
JP7051888B2 (ja) 2022-04-11
KR20210151251A (ko) 2021-12-13
TW201843753A (zh) 2018-12-16
US20180259848A1 (en) 2018-09-13
KR20190117809A (ko) 2019-10-16
CN110383449B (zh) 2023-06-27

Similar Documents

Publication Publication Date Title
JP5441332B2 (ja) フォトマスクエッチングのための終点検出
US8092695B2 (en) Endpoint detection for photomask etching
US20080099435A1 (en) Endpoint detection for photomask etching
US8961804B2 (en) Etch rate detection for photomask etching
US20080099436A1 (en) Endpoint detection for photomask etching
TWI828781B (zh) 減弱干涉端點檢測系統中的內部反射的方法及處理腔室
KR102410496B1 (ko) 반사성 종료점 검출을 하는 에칭 처리 시스템
TWI512393B (zh) 光罩製造應用中之用於蝕刻石英基板的裝置及方法
US20160351403A1 (en) Dual endpoint detection for advanced phase shift and binary photomasks
US12007686B2 (en) Etch processing system having reflective endpoint detection
KR20230025465A (ko) 간섭계 종료점 검출을 위한 광 케이블
US20200013588A1 (en) Tilted interferometric endpoint (iep) window for sensitivity improvement

Legal Events

Date Code Title Description
A107 Divisional application of patent
A201 Request for examination
E902 Notification of reason for refusal
E701 Decision to grant or registration of patent right
GRNT Written decision to grant