CN110383449A - 具有反射终点检测的蚀刻处理系统 - Google Patents

具有反射终点检测的蚀刻处理系统 Download PDF

Info

Publication number
CN110383449A
CN110383449A CN201880015447.2A CN201880015447A CN110383449A CN 110383449 A CN110383449 A CN 110383449A CN 201880015447 A CN201880015447 A CN 201880015447A CN 110383449 A CN110383449 A CN 110383449A
Authority
CN
China
Prior art keywords
light
etching
alignment
substrate
alignment area
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
CN201880015447.2A
Other languages
English (en)
Other versions
CN110383449B (zh
Inventor
M·N·格芮姆比戈安
K·K·恩古耶
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Applied Materials Inc
Original Assignee
Applied Materials Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Applied Materials Inc filed Critical Applied Materials Inc
Publication of CN110383449A publication Critical patent/CN110383449A/zh
Application granted granted Critical
Publication of CN110383449B publication Critical patent/CN110383449B/zh
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32917Plasma diagnostics
    • H01J37/32935Monitoring and controlling tubes by information coming from the object and/or discharge
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F1/00Originals for photomechanical production of textured or patterned surfaces, e.g., masks, photo-masks, reticles; Mask blanks or pellicles therefor; Containers specially adapted therefor; Preparation thereof
    • G03F1/68Preparation processes not covered by groups G03F1/20 - G03F1/50
    • G03F1/80Etching
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F1/00Originals for photomechanical production of textured or patterned surfaces, e.g., masks, photo-masks, reticles; Mask blanks or pellicles therefor; Containers specially adapted therefor; Preparation thereof
    • G03F1/22Masks or mask blanks for imaging by radiation of 100nm or shorter wavelength, e.g. X-ray masks, extreme ultraviolet [EUV] masks; Preparation thereof
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F1/00Originals for photomechanical production of textured or patterned surfaces, e.g., masks, photo-masks, reticles; Mask blanks or pellicles therefor; Containers specially adapted therefor; Preparation thereof
    • G03F1/36Masks having proximity correction features; Preparation thereof, e.g. optical proximity correction [OPC] design processes
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F1/00Originals for photomechanical production of textured or patterned surfaces, e.g., masks, photo-masks, reticles; Mask blanks or pellicles therefor; Containers specially adapted therefor; Preparation thereof
    • G03F1/38Masks having auxiliary features, e.g. special coatings or marks for alignment or testing; Preparation thereof
    • G03F1/42Alignment or registration features, e.g. alignment marks on the mask substrates
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32917Plasma diagnostics
    • H01J37/32935Monitoring and controlling tubes by information coming from the object and/or discharge
    • H01J37/32963End-point detection
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32917Plasma diagnostics
    • H01J37/3299Feedback systems
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • H01L21/3065Plasma etching; Reactive-ion etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67017Apparatus for fluid treatment
    • H01L21/67063Apparatus for fluid treatment for etching
    • H01L21/67069Apparatus for fluid treatment for etching for drying etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67242Apparatus for monitoring, sorting or marking
    • H01L21/67253Process monitoring, e.g. flow or thickness monitoring
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/68Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for positioning, orientation or alignment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L22/00Testing or measuring during manufacture or treatment; Reliability measurements, i.e. testing of parts without further processing to modify the parts as such; Structural arrangements therefor
    • H01L22/10Measuring as part of the manufacturing process
    • H01L22/12Measuring as part of the manufacturing process for structural parameters, e.g. thickness, line width, refractive index, temperature, warp, bond strength, defects, optical inspection, electrical measurement of structural dimensions, metallurgic measurement of diffusions
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L22/00Testing or measuring during manufacture or treatment; Reliability measurements, i.e. testing of parts without further processing to modify the parts as such; Structural arrangements therefor
    • H01L22/20Sequence of activities consisting of a plurality of measurements, corrections, marking or sorting steps
    • H01L22/26Acting in response to an ongoing measurement without interruption of processing, e.g. endpoint detection, in-situ thickness measurement
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L22/00Testing or measuring during manufacture or treatment; Reliability measurements, i.e. testing of parts without further processing to modify the parts as such; Structural arrangements therefor
    • H01L22/30Structural arrangements specially adapted for testing or measuring during manufacture or treatment, or specially adapted for reliability measurements

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • General Physics & Mathematics (AREA)
  • Plasma & Fusion (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Chemical & Material Sciences (AREA)
  • Analytical Chemistry (AREA)
  • Drying Of Semiconductors (AREA)

Abstract

实施例包括晶片和光掩模处理设备。在此描述蚀刻处理系统,所述蚀刻处理系统包括终点检测系统,所述终点检测系统具有光源和光检测器。在一个示例中,光源朝向蚀刻腔室的基板支撑构件之上的对准区域发射光,且光检测器接收来自对准区域的光反射。对终点和工艺控制监测反射。第二光源朝向对准区域发射光,且相机接收光以将对准区域成像。所述图像可用于将终点检测系统发射的光对准到在对准区域内的点位置,例如在安装在基板支撑构件上的基板的对准开口内。

Description

具有反射终点检测的蚀刻处理系统
相关申请的交叉引用
本申请要求2017年3月13日提交的题为“ETCH PROCESSING SYSTEM HAVINGREFLECTIVE ENDPOINT DETECTION(具有反射终点检测的蚀刻处理系统)”的美国临时申请第62/470,850号的权益,其整体内容通过引用纳入本文中。本申请还要求2017年4月10日提交的题为“ETCH PROCESSING SYSTEM HAVING REFLECTIVE ENDPOINT DETECTION(具有反射终点检测的蚀刻处理系统)”的美国临时申请第62/483,758号的权益,其整体内容通过引用纳入本文中。
技术领域
实施例涉及半导体处理的领域,且具体而言涉及具有终点检测能力的蚀刻处理设备。
相关技术的描述
半导体产品的临界尺寸不断缩小。可通过改进晶片处理设备的能力来实现持续的小型化。终点工艺控制是晶片处理设备检测晶片制造工艺(例如蚀刻工艺)的终点的能力。例如,当从基层(例如下层的硅层)移除蚀刻层(诸如薄氧化物层)时,可出现蚀刻工艺的终点。此种终点检测的准确度和精确度可取决于例如所收集到的蚀刻速度数据的准确度。
发明内容
在此描述蚀刻处理系统的实施例,所述蚀刻处理系统包括基于反射率监测的终点检测,即反射性终点检测。蚀刻处理系统包括蚀刻腔室,所述蚀刻腔室具有腔室主体,所述腔室主体围绕基板支撑构件。基板可安装在基板支撑构件上,且可由蚀刻腔室在蚀刻工艺中执行对基板的蚀刻。所述蚀刻处理系统包括终点检测系统,以在蚀刻期间监测来自基板的光反射。更具体而言,蚀刻处理系统可包括一个或多个光源用于朝向基板上的对准区域发射光,并且终点检测系统可包括光检测器用于接收基本上来自对准区域内的光反射。终点检测系统可在蚀刻期间监测反射率变化以确定何时达到终点。
在一个实施例中,反射率监测包括从基板表面测量未被掩模层覆盖的反射率。来自掩模层的反射率变化可提供信号,所述信号与用于工艺控制的期望的信号不同,诸如在移除蚀刻层时结束工艺。从而,反射率监测可测量来自正在被蚀刻的基板表面而不是掩模层的反射。在一个实施例中,由终点检测系统的光源发射的光聚焦成基板表面上的束点(beam spot),且所述束点可与掩模层中的对准开口对准。例如,蚀刻处理系统可包括相机用于对束点的反射进行成像,且所述图像可用于将束点移动到对准开口内的点位置。
可在光前往基板和/或从基板返回的光路中增加光学分束器,以将来自基板的反射光的一部分引导向相机。相机可对反射光进行成像,以捕获正被监测的表面的视觉图像。接着调整光学组件的位置以观察不具有掩模层的所期望的区域。分束器可保留在组件中,因为所述分束器将光转向第二光路的损失最小。一旦完成了调整且固定了位置,相机可保持就位或被移除。
实施例包括聚焦光学元件,以将光聚焦成束点并将光引导到光学监测系统的光检测器和相机。聚焦光学元件可结合反射性光学元件,所述反射性光学元件不受波长影响。有了反射性光学元件,焦点和束点尺寸在所有波长处都相同。接着可在单一波长下完成聚焦调整和束点尺寸与位置的评估。可方便地在光谱的可见部分中选择此种单一波长来进行设置,且同一个焦点将应用于深UV到近IR的宽广波长范围,以用于工艺监测以及控制。聚焦光学元件的光学表面可具有涂层,所述涂层在预期的波长范围上具有高反射性。
光检测器可检测束点的反射幅度。幅度的改变可取决于蚀刻工艺中的时刻而变化。例如,束点可具有来自基板的蚀刻层的特定反射率,且当蚀刻工艺完全移除蚀刻层时,反射率可为不同的值。当不同的值(或反射率值的变化率差异)发生时,蚀刻处理系统可确定达到蚀刻工艺的终点。
以上发明内容不包括所有方面的详尽列表。预期所有系统和方法皆包括在本文中,所述系统和方法可从以上概述的各种方面的所有适当组合以及在以下的详细描述中公开的且特别是与本申请一起提交的权利要求中指出的适当组合来实现。此种组合具有以上发明内容中未具体地叙述的特定优点。
附图简单说明
图1是根据一个实施例的蚀刻处理系统的示意图。
图2是根据一个实施例的蚀刻处理系统的光学配置图。
图3是根据一个实施例的蚀刻处理系统的光学配置图。
图4是根据一个实施例的基板的截面图,所述基板在图案化的掩模层中具有对准开口。
图5是根据一个实施例的确定蚀刻工艺的终点的方法流程图。
图6A至图6B是根据一个实施例的基板的对准区域的顶视图。
图7A至图7B是根据一个实施例的基板和对准标线(reticle)的顶视图。
图8A至图8B是根据一个实施例的终点轨迹的图。
图9是根据一个实施例的计算机系统的示意图。
具体实施方式
在此描述蚀刻处理系统和使用此种系统以基于来自对准区域的反射来确定蚀刻工艺的终点的方法。在以下描述中,阐述了许多具体细节以便提供对实施例的透彻理解。对本领域技术人员来说明显的是,可在没有所述具体细节的情况下实践实施例。在其他情况下,未详述公知方面以避免不必要地使实施例混淆不清。此外,将理解到,附图中示出的各种实施例是说明性的呈现,且不一定按比例绘制。
现有晶片处理设备的终点工艺控制能力可监测晶片或光掩模的反射率,以确定晶片或光掩模的蚀刻何时完成。然而,此种监测受不准确性影响,所述不准确性限制工艺控制的准确度。更具体而言,监测经受掩模层效应,所述掩模层效应由晶片或光掩模之上的图案化掩模层所引起。掩模层通常由反射光的光刻胶材料形成。由掩模层反射的光可干涉从晶片或光掩模上的下层反射的光。光学干涉可遮蔽反射光的测量,其中终点工艺控制是基于所述反射光。因此,具有独立于掩模层效应的终点工艺控制能力的蚀刻处理系统可改进晶片和光掩模处理准确度。为了阐明此术语,掩模层可用于蚀刻且可位于图案化晶片或图案化光掩模基板上。
在一个方面中,蚀刻处理系统具有反射性终点工艺控制。所述蚀刻处理系统包括终点检测系统,以朝向基板(例如晶片或光掩模)的对准区域发射光。对准区域可包括基板的图案化掩模层中的对准开口。相机可同时捕获对准区域的图像,且所述图像可用来将光对准至所述对准开口。来自对准开口下方的基板的基层的光的反射可由终点检测系统接收,以确定蚀刻工艺的终点。即,可蚀刻晶片且可测量从对准开口(不包括光刻胶材料)反射的光,以检测蚀刻工艺的终点。终点检测可免于掩模层效应的遮蔽。
参考图1,根据一个实施例示出了蚀刻处理系统的示意图。蚀刻处理系统100可包括蚀刻腔室102。蚀刻腔室102可为等离子体蚀刻腔室、电容耦合平行板腔室或磁增强离子蚀刻腔室。蚀刻腔室102可为电感耦合等离子体蚀刻腔室。从而,认识到图1中所示的蚀刻腔室102的特定实施例是说明性而非限制性的。
蚀刻腔室102可包括腔室主体104。腔室主体104可包括腔室壁106(例如圆柱形侧壁)和安装在腔室主体104上的腔室盖108。腔室盖108可为平坦的、矩形的、弓形的、锥形的、圆顶形或多半径形的。腔室主体104还可包括腔室底部110。从而,可在腔室壁106、腔室盖108和腔室底部110之间的腔室主体104内封闭腔室容积。腔室主体104的部分(例如腔室壁106)可由金属制成,例如阳极化的铝(anodized aluminum),且腔室主体104的部分(例如腔室盖108)可由透射能量的(energy transparent)材料制成,诸如陶瓷或其他介电材料。
基板支撑构件112可设置在腔室容积内。更具体而言,腔室主体104可围绕基板支撑构件112延伸。在一个实施例中,基板支撑构件112支撑蚀刻腔室102内的基板114。例如,在蚀刻工艺期间,基板114可安装在基板支撑构件112上。当基板114被装载到基板支撑构件112上时,蚀刻处理系统100可被称为蚀刻工艺组件。
基板支撑构件112可为常规的机械卡盘或静电卡盘(chuck),其中基板支撑构件112的至少一部分是导电的且能够用作工艺偏压阴极。尽管未示出,但可使用光掩模适配器来将光掩模固定在基板支撑构件112上。光掩模适配器通常包括下部和顶部,所述下部经配置以覆盖基板支撑构件112的上部,且所述顶部具有开口(例如方形开口),所述开口的尺寸和形状经设定以保持光掩模。
蚀刻腔室102可包括工艺气源116,所述工艺气源116经配置以通过气体分配器118将工艺气体引入到腔室容积中。气体分配器118可绕着基板支撑构件112在外围设置和/或设置在腔室盖108中。用于每种工艺气体的质量流控制器(未示出)或替代地用于工艺气体混合物的质量流控制器可设置在腔室主体104和工艺气源116之间以调节工艺气体的相应的流速。
在一个实施例中,在基板支撑构件112和腔室盖108之间的腔室容积中限定等离子体区域120。通过经由RF匹配网络126将功率从电源122(例如线圈电源)供应到感应线圈124来在等离子体区域120中由工艺气体产生等离子体。蚀刻腔室102可包括至少一个感应线圈124,所述至少一个感应线圈124设置在腔室盖108的至少一部分的上方。在图1所描绘的实施例中,示出了两个同心线圈124。
基板支撑构件112可包括设置在其中的电极,所述电极由电极电源128供电且通过RF匹配网络130以在蚀刻腔室102中产生电容电场。通常,在腔室主体104被电接地的同时,RF功率被施加到基板支撑构件112中的电极。横切(transverse to)基板支撑构件112的平面的电容电场影响带电物质的方向性,以对基板114提供更为各向异性(anisotropic)的蚀刻。
工艺气体和蚀刻剂副产物通过排气口132从蚀刻腔室102排出到排气系统134。排气口132可设置在腔室主体104中(例如在腔室底部110内)以移除工艺气体。节流阀(throttle valve)136可设置在排气口132中,以用于控制蚀刻腔室102的腔室容积中的压力。
在一个实施例中,蚀刻处理系统100包括光学监测系统138,所述光学监测系统138可操作地耦合到蚀刻腔室102。光学监测系统138可包括终点检测系统140,所述终点检测系统140经配置以确定蚀刻工艺的终点,例如蚀刻速度或从基板114移除层厚度。终点检测系统140可经配置以检测来自蚀刻腔室102的腔室容积的光学信号。
在一个实施例中,腔室盖108包括一个或多个盖窗口142。盖窗口142可为腔室盖108中的光学进出口或观察孔。类似地,一个或多个光学进出口或观察孔可位于腔室壁106或腔室底部110中以允许光传入和传出腔室容积。盖窗口142可在腔室盖108的中心区域。通常,较大的盖窗口142有助于安装光学部件。然而,盖窗口142的尺寸被选择为大到足以进行光学监测,但仍小到足以避免来自RF干涉的潜在不利影响。选择小的盖窗口142还改进了腔室盖108的横向温度均匀性。光学进出口通常可包括平坦窗口,所述平坦窗口由石英或其他材料制成,所述平坦窗口在宽广的波长光谱上透射光,并抵抗等离子体蚀刻。
腔室盖108可包括数个盖窗口142以提供数个监测位置。例如,第一盖窗口142可在第一角度位置(例如,零度位置)处从盖的中心径向向外地位于腔室盖108的周边附近。第二盖窗口可在第二角度位置处(例如,相对于第一角度位置的90度位置处)从盖的中心径向向外地位于周边附近。光学监测系统138可对准至任一个盖窗口,这取决于哪个盖窗口位于基板114上的感兴趣的对准开口148之上,所述基板114安装在腔室主体104内。
盖窗口142之间的角度偏移可经设定以确保至少一个盖窗口142垂直地位于对准开口148上方,无论基板114的定向如何。例如,基板114可包括数个对准开口148,所述数个对准开口148可用于如以下所述的终点监测。每个对准开口可彼此偏移180度。即,一个对准开口可在基板114上的第一角度位置,且另一个对准开口可与基板114上的第一对准开口径向相对(diametrically opposite)。当基板114安装在具有偏移了90度的盖窗口142的腔室的腔室容积内时,只要基板114以从零度位置算起的90度增量安装,那么至少一个对准开口148将位于至少一个盖窗口142的下方。此种配置可使得光学监测系统138的位置能够被放置在两个位置中的一个位置处,以位于对准开口148上方来进行工艺监测。
在一个实施例中,腔室盖108经配置以重复且牢固地安装在腔室主体104上。例如,腔室盖108可具有与腔室主体104的紧密的公差,以确保腔室盖108可从腔室104移除,例如用于系统维护,且在重新组装时,腔室盖108被定向在拆卸之前所位于的相同位置。如此,每当腔室盖108被移除且重新安装时,盖窗口142将位于相同的位置。
可通过一个或多个特征来实现腔室盖142的可重复安装。首先,腔室盖142与腔室主体104之间可存在钥匙特征以确保腔室盖142的精确角度定位。在一个实施例中,腔室盖142包括钥匙(例如插脚(prong)),所述钥匙从盖的周边辐射。钥匙的尺寸可经设定以与槽接合,所述槽形成在腔室主体104的边缘中。因此,当腔室盖142安装在腔室主体104上并且钥匙位于槽中时,可精确地控制盖和主体之间的相对角度位置。可使用其他类型的钥匙特征,例如凹口或平坦区域。再者,可通过在腔室盖142上提供导入边缘来控制腔室盖142与腔室主体104之间的相对径向位置。导入边缘可在盖边缘上具有楔形角度,所述楔形角度从较高位置处的最大尺寸(例如直径)渐缩到较低位置处的最小尺寸。因此,随着腔室盖142落入腔室主体104的开口中,下部位置将首先进入以作为盖边缘与腔室壁之间的滑动配合(sliding fit),且较高的位置将最后接合以作为盖边缘和腔室壁之间的滑行配合(slipfit)或压入配合(press fit)。此种从滑动配合到滑行配合或干涉配合的逐渐转移还可减少腔室盖和主体之间的结合。
终点检测系统140经配置以通过盖窗口142检测光学信号。注意到,可在腔室盖108或蚀刻腔室102的其他边界结构中形成一个以上的窗口,以允许在蚀刻期间光学监测基板114的表面上的各种位置。例如,侧窗口144可形成在腔室壁106中,且光源可耦合到侧窗口144以将光传输到腔室主容积中,以用于蚀刻工艺监测,如以下所述。
终点检测系统140可包括光源,以朝向基板支撑构件112之上的对准区域148发射第一光146。终点检测系统140可包括聚焦光学元件150,以沿着从光源穿过盖窗口142至对准区域148的光路引导第一光146。第一光146可为用于反射性终点监测的光源。例如,终点检测系统140可包括光检测器,以通过盖窗口142从对准区域148接收反射154。反射154可为腔室容积内的第一光146和/或其他光的反射154。反射154可通过盖窗口142从腔室容积行进至终点检测系统140。聚焦光学元件150可以沿着从盖窗口142到终点检测系统140的返回光路来引导反射154。
在一个实施例中,光学监测系统138包括相机152。相机152可设置在与终点检测系统140相邻处。例如,终点检测系统140、聚焦光学元件150和相机152可安装在光学监测系统138的同一个底盘内,以帮助通过相同的光学观察孔(例如盖窗口142)来观察基板114。即,相机152可将来自对准区域148的反射154成像,以确认由终点检测系统140发射的第一光146被引导到基板114上的正确位置。
反射154可包括由终点检测系统140发射的第一光146和从对准区域148反射的其他光。例如,蚀刻处理系统100可包括第二光源156,所述第二光源156耦合到侧窗口144以通过侧窗口144朝向对准区域148发射第二光158。相机152可将来自对准区域148的反射154成像,所述反射154包含第一光146和第二光158。
在一个实施例中,终点检测系统140以反射模式、干涉测量术(interferometry)模式或传输模式中的至少一个进行操作,并且终点检测系统140经配置以用于不同类型的测量,诸如反射率或透射率、干涉测量术或光学发射光谱学。取决于感兴趣的应用(例如被处理的材料层或基板结构),可基于反射强度或透射强度的变化、干涉条纹的数量或特定波长处的光发射强度的变化或者其组合来检测终点。在一特定实施例中,终点检测系统140经配置以基于来自对准区域148内的基板114的被蚀刻的表面的反射率变化(例如,反射154的幅度的变化)来确定蚀刻工艺终点(图8A至图8B)。
操作的反射模式允许执行反射率(或反射测量术)和干涉测量术测量。在一个实施例中,光学监测系统138包括计算机系统160,如以下参照图9进一步所述。计算机系统160可基于第一光146的反射154的所测得的光学信号来计算实时波形的部分,且将计算出的波形与所存储的特征波形图案进行比较以提取与蚀刻工艺相关的数据。在一个实施例中,所述计算可基于在反射模式或者传输模式中所检测到的信号的斜率变化或其他特征变化。例如,检测到的信号可具有特征反射率幅度802,或在膜被蚀刻到目标深度时改变。替代地,所述计算可基于干涉信号,因为在蚀刻期间沟槽深度或膜厚度改变。在其他实施例中,可基于在宽光谱上取得的干涉信号来执行更详细的计算,以便确定蚀刻工艺中任何时刻的深度或厚度,以确定被蚀刻的物体的蚀刻速度。
计算机系统160可控制蚀刻处理系统100的其他功能。例如,在一个实施例中,蚀刻处理系统100包括调整机构162,所述调整机构160可操作地耦合到基板支撑构件112(未示出)中的一个或多个或终端检测系统140(例如,如所示的那样通过将光学监测系统138的底盘移动到盖窗口142之上)。计算机系统160可通过致动电机、伺服器(servo)等来控制调整机构162的移动,以移动第一光146撞击到基板114的对准区域148的位置。
参考图2,根据一个实施例示出了蚀刻处理系统的光学配置图。在一个实施例中,终点检测系统140包括光源202以发射第一光146。第一光146可通过光纤传输到光学组件,即聚焦光学元件150。在一个实施例中,聚焦光学元件150包括曲面镜205,以通过盖窗口142引导第一光146沿着前进路径朝向对准区域148。更具体而言,曲面镜205可将第一光146反射并聚焦成对准区域148中的束点206。束点206可位于基板114的表面上的点位置208处。
在一个实施例中,光的反射154从对准区域148反射并由光检测器204收集。反射154可包括由第二光源156发射的第一光146和第二光158。例如,聚焦光学元件150可在向下方向上引导第一光146以朝向对准区域148行进,所述对准区域148与基板114和/或基板支撑构件112的顶表面209正交。同时,来自第二光源156的第二光158可被横向引导以穿过腔室壁106中的侧窗口144进入腔室容积。第二光158可朝向与顶表面209倾斜或平行的对准区域148行进。例如,第二光158可以以低角度行进到顶表面209以照明对准区域148。第二光158的至少一部分将从相机152的视场内的对准区域148向上反射。即,第一光146和第二光158两者将在反射154内朝向盖窗口142向上反射,所述盖窗口142定位在对准区域148之上。反射154沿着返回路径穿过盖窗口142行进到光检测器204和相机152。
光源156、202可为单色的、多色的、白光或其他合适的光源。如下所述,可分析来自反射154的光学信号以提取关于层的存在或不存在的信息。例如,可分析束点206的反射154以确定在蚀刻工艺期间蚀刻层(例如,抗反射涂层或吸收层)是否已被移除。替代地,所述分析可确定点位置208内的特定材料层的厚度。入射束点206的强度经选择以高到足以提供具有可测量的强度的反射光束。(一个或多个)光源的灯具还可被打开和关闭以减去背景光。
在一个实施例中,光源156、202提供多色光,例如来自Hg-Cd灯、弧光灯(arc lamp)或发光二极体(LED)或LED阵列,所述各者产生的光的波长范围分别从170nm至约800nm、或约200nm至800nm,例如约250nm至约800nm。可过滤多色光源202以提供具有选定的频率的入射光束。(一个或多个)光源还可包括闪光灯,例如氙(Xe)或其他卤素灯,或者可包括单色光源,所述单色光源以选定的波长提供光学发射。例如,光源202可为氦-氖激光或Nd-YAG激光。
光源156、202可经配置以在连续模式或脉冲模式下进行操作。替代地,通过使用具有稳定深UV透射的光学材料和利用惰性气体或其他合适的载气(例如氮气)净化空气路径,波长范围可扩展到低至170nm或更高的深UV。
聚焦光学元件150可将第一光146和第二光158的反射154引导至相机152。更具体而言,可从视场收集从对准区域148反射的光。在一个实施例中,聚焦光学元件150包括在相机152和盖窗口142之间的分束器210,以将第一光146和第二光158的反射154引导至相机152。反射154可被分束器210反射到透镜213中。接着由相机152捕获来自透镜213的图像。
由相机152成像的视场可包括从围绕束点206的对准区域148向上反射的第二光158。然而,对准区域148的图像还可包括来自基板114的束点206的反射。即,聚焦成束点206的第一光146可通过盖窗口142向上引导至分束器210,且分束器210可部分地将束点206反射朝向透镜213以由相机152收集。从而,由相机152捕获的得到的图像可为基板114上的对准区域148和显示对准区域148内的束点位置208处的束点206的合成图像。如下所述,图像可用于确定和调整点位置208,以将束点206对准到预定束点位置,例如以将束点206对准到基板114上的光掩模中的对准开口。
聚焦光学元件150可将第一光146的反射154引导至光检测器204。更具体而言,从对准区域148反射的光可将包含所反射的束点206一部分的反射154(未被反射到相机152的部分)传递到光检测器204,。更具体而言,所传递的反射154可从曲面镜205反射朝向光纤光学元件,所述光纤光学元件将光学信号承载到光检测器204。分束器210可在宽广范围的波长上传输,优选地低至200nm或更低,以保持光检测器204的整个波长范围。
光检测器204可经配置以测量从基板114的点位置208反射的反射光束的强度。即,光检测器204可检测或测量束点206的反射154的幅度。聚焦光学元件150可包括滤色器,所述滤色器放置在光检测器204前方,以在测量进入光检测器204的反射光束的强度之前,滤掉除了所期望的波长的光之外的所有波长。光检测器204可包括光敏感的电子部件,例如光伏电池、光电晶体管、光电二极管或光电倍增器,其响应于所述反射光束的测得的强度提供信号。所述信号的形式可为通过电部件的电流的电平的变化或者施加在整个电子部件上的电压的变化。光检测器204还可包括光谱仪(具有波长散射元件的阵列检测器),以在宽广的波长范围上提供数据,诸如紫外线到可见光,从约170nm至800nm。反射光束经历建设性干涉或破坏性干涉,此举增加或减少了光束的强度,且光检测器204提供关于所测得的反射光束强度的电输出信号。可将电输出信号绘制为时间的函数,以提供具有波形图案的光谱,所述波形图案对应于随着时间而变化的反射光束的强度。
可由调整机构162来实现束点206对期望的点位置208的对准。更具体而言,调整机构162可通过在横向方向212上或在倾斜方向214上移动聚焦光学元件150来调整对准区域148中的束点位置208的定位。横向方向212上的调整可在两个轴中平行于腔室盖108。横向调整可将束点206移动到期望的点位置208。此调整可利用转移台或其他机构来手动进行。可由致动器、固定螺钉或者适用于设定第一光146相对于基板114或基板支撑构件112的顶表面209的入射角的另一设备来进行倾斜方向214的调整。例如,调整机构162可包括倾斜台。入射角可被设定为垂直于顶表面209。入射角的调整影响反射角,且因此,通过优化反射回朝向光检测器204的光量,倾斜对准可优化光辐射的收集。
参照图3,根据一个实施例示出了蚀刻处理系统的光学配置图。相较于图2,聚焦光学元件150的配置在图3中可能不同。然而,聚焦光学元件150的功能可保持大致相同。
在一个实施例中,聚焦光学元件150包括折叠式反射镜302。折叠式反射镜302将由光源202发射的第一光146反射朝向盖窗口142。聚焦光学元件150可包括透镜堆叠304中的数个透镜,以将第一光146聚焦成束点206并引导第一光146穿过盖窗口142到对准区域148中的点位置208。透镜堆叠304的每个透镜可具有焦距,所述焦距在波长范围上具有最小变化。在相机152的视场内包括第一光146和第二光158的反射154可由透镜堆叠304收集,并被传送到分束器210以分成由相机152成像的部分和由光检测器204检测并测量的部分。在一个实施例中(未示出),分束器210取代折叠式反射镜302以将来自光源202的第一光146引导到对准区域148。
透镜堆叠304可包括一个或多个凸面聚焦透镜,用于将入射光束聚焦到基板114表面上的点位置208,并将反射光束聚焦回到光检测器204的有源表面上。点位置208应该大到足以补偿基板114的表面形貌(surface topography)和设备设计特征的变化。此举实现了检测具有小开口的高长宽比(aspect ratio)特征的蚀刻终点,所述小开口诸如为可密集地存在或较分散地存在的通孔或深窄沟槽。反射光束的面积应该大到足以激活光检测器204的大部分的有源光检测表面。引导入射光束和反射光束穿过蚀刻腔室102中的透明盖窗口142,所述透明盖窗口142允许光束进入和离开处理环境。
参照图4,根据一个实施例示出了基板的截面图,所述基板在图案化掩模层中具有对准开口。在一个实施例中,基板114包括在基层404之上的蚀刻层402。图案化掩模层406可安装在蚀刻层402之上或者在蚀刻层402上。例如,图案化掩模层406可包括数个开口以允许下方蚀刻层402的蚀刻。在对准区域148中,图案化掩模层406包括对准开口408,以在点位置208处的束点206中接收入射的第一光146,且从蚀刻层402(或当蚀刻层402被蚀刻掉时,从基层404)向上反射束点206的反射154。类似地,第二光158可撞击对准区域148并向上反射以在相机152的视场内形成反射154的一部分。反射154可由光检测器204和相机152接收。例如,当由蚀刻工艺移除蚀刻层402时,来自下方的基层404的第一光146的反射154可穿过对准开口408向上反射朝向聚焦光学元件150并到相机152和/或光检测器204。
对准开口408可位于基板114上的预定位置处。例如,对准开口408可在基板114的周边或外边缘附近,或者否则相对于基板114上的中心位置偏离中心。在一个实施例中,对准开口408位于第一径向方向上(例如,沿着x轴)离中心位置50mm至75mm的距离,例如68mm。对准开口408可位于第二径向方向上(例如,沿着y轴)离中心位置5mm至20mm的距离,例如10mm。具体的预定位置可指对准开口408的中心点的位置、对准开口408的拐角(例如,当对准开口408为矩形时)或对准开口408的边缘(例如,当对准开口408为椭圆形时)。
基板114可包括材料,所述材料诸如为石英光掩模、低温膨胀极紫外(EUV)光掩模或硅晶片。蚀刻层402通常是基板114的顶层,即在基板114的(一个或多个)其他基层上,诸如在光掩模上的EUV堆叠上的抗反射EUV层或硅上的氧化物。图案化掩模层406通常为光刻胶,但也可为硬掩模或意欲保护蚀刻层402的部分的其他图案化材料。图案化掩模层406被描述为基板114的一部分或一层,然而,在一个实施例中,图案化掩模层406可为保持在基板114的蚀刻层402之上的分离材料板。
在一个实施例中,束点206与图案化掩模层406中的对准开口408对准。调整机构162可移动光学监测系统138和基板114的相对位置以实现此种对准。替代地,可使用光束定位器(未示出)来移动入射光束跨基板114到基板表面的适当部分,其中在所述基板表面的适当部分上监测蚀刻工艺。光束定位器可包括一个或多个主镜,所述主镜可为聚焦光学元件150的一部分,所述主镜以小角度旋转以将来自光源202的光束偏转到基板表面的不同位置上。额外的次镜可用于将反射的光束引导到光检测器204上。光束定位器还可用于以光栅(raster)图案在整个基板114的表面扫描光束。例如,光束定位器可包括扫描组件,所述扫描组件由可移动台组成,所述可移动台与调整机构162类似。可由驱动机构(例如步进电机或电流计)以在固定间隔当中移动可移动台阶,以跨基板114扫描束点206的位置。在一个实施例中,可移动台阶是调整机构162的一部分,即光束定位器是调整机构162的子部件。
在点位置208处的束点206的直径通常为约2mm至约10mm。然而,当对准区域148涵盖基板114的大的隔离区域时(所述大的隔离区域仅包含少量的被蚀刻的特征),可能必须使用较大的束点206来涵盖更多数量的蚀刻特征。因此可根据针对特定设备的设计特征来优化束点206的尺寸。若信号足够,则大的束点206或视场将实现工艺控制,而无需精确地匹配对准开口408的位置和基板114的蚀刻区域从而产生被监测的信号。
参考图5,根据一个实施例示出了确定终点的方法的流程图。图5的方法的操作示出在图6A至图6B,其示出了基板114的对准区域148的顶视图。因此,以下一起描述图5至图6B。
在操作502处,将基板114转移到蚀刻腔室102中并安装在基板支撑构件112上。在一个实施例中,基板114包括图案化掩模层406。图案化掩模层406可为光掩模(诸如,具有抗反射层和吸收层的多层EUV堆叠)或光掩模(诸如,石英上的铬钼硅化物)。图案化掩模层406可包括开口,例如对准开口408,所述开口可被视为用于工艺监测的在预定位置处的目标区域。对于晶片来说,目标区域可在管芯(die)之间或管芯的专用部分中。
在操作504处,将第一光146发射朝向基板114的对准区域148。第一光146可由聚焦光学元件150的曲面镜205或透镜堆叠304中的一个或多个来聚焦成基板114上的束点206。在操作506处,将第二光158发射朝向对准区域148。参考图6A,对准区域148可对应于相机152的视场。即,在操作508处,对准区域148的图像可由相机152捕获。所述图像可对应于对准区域148的总面积,所述总面积包括来自基板114的第一光146和第二光158的反射154以供相机152观看。在起初将基板114安装并将束点206聚焦到对准区域148上之后,所捕获的图像指示束点206和对准开口408未被对准。即,束点206的至少一部分可能在图案化掩模层406中的限定对准开口408的边缘之外。
在操作510处,束点206对准至对准区域148中的对准开口408。参考图6B,可跨基板114横向移动束点206,直到束点206的周边完全被包含在对准开口408的边缘的周边内。所述对准可基于相机152所捕获的对准区域148的图像,所述图像显示了束点206相对于对准开口408的反射位置。换言之,来自终点检测系统140的辐射可基于由相机152所捕获的(一个或多个)图像而对准至基板114的目标区域上的期望的位置。在对准之后,从束点206反射的点光将仅来自于蚀刻表面而非掩模层。
在操作512处,蚀刻腔室蚀刻基板114。可在蚀刻基板114上执行蚀刻工艺,以蚀刻未被图案化掩模层406覆盖的暴露区域,例如对准开口408下方的目标区域。可在将束点206对准到对准开口408之后,对基板114执行蚀刻工艺。从而,在光检测器204测量来自对准开口408下方的蚀刻层402的反射154的同时,蚀刻工艺可通过对准开口408移除蚀刻层402。
在操作514处,基于束点206的反射154来确定蚀刻工艺的终点。来自终点检测系统140的聚焦辐射落在基板114上并被反射。在蚀刻工艺期间收集反射154并且处理反射154以产生反射率信号。在蚀刻期间分析反射信号以检测终点。在蚀刻期间移除材料的同时,可分析反射信号以用于终点检测。当束点206从基层404反射穿过对准开口408(而不是从蚀刻层402穿过对准开口408)时,可确定蚀刻层402不存在,且旨在移除蚀刻层402的蚀刻工艺完成。即,确定终点可包括检测图案化掩模层406与基层404之间的蚀刻层402何时在对准开口408下方被完全移除。
再次参照图5,确定终点的方法可由类似于上述的方式来执行,但有一些不同。更具体而言,对目标区域的检测和对准在图7A至图7B和图6A至图6B之间可能不同。在一个实施例中,可使用对准基板来识别目标区域。对准基板可为与蚀刻处理系统100所蚀刻的基板不同的基板。更具体而言,如图7A至图7B所示,可使用包括对准标线702的对准基板。图7A至图7B示出了对准基板的对准区域148的顶视图,所述对准基板可能与最终被蚀刻的基板114不同。
在一个实施例中,对准基板是具有上表面的基板,例如石英基板。可将对准标线702(例如遮罩标线)印刷或刻划到上表面中。例如,可将对准标线702研磨到上表面中以提供光散射表面,以增强相机152所观察到的对比度。对准标线702可包括对准图案702,所述对准图案702的几何形状与基板114的图案化掩模层406中的对准开口408的几何形状类似。例如,对准标线702可具有与基板114上的目标区域相同的轮廓和位置。
图7A将对准标线702示出为具有标记(例如中心线)的框图案。具有对准标线702的对准基板当被相机152成像时可被放置在对准区域148内。对准区域148可为基板支撑构件112之上的区域,其中基板114的目标区域最终将被保持在所述区域处。束点206最初可能与对准标线702未对准,且可经移动以与对准标线702对准,如图7B所示。当束点206与对准标线702对准时,束点206还可与最终将包含对准开口408的区域对准。对准标线702和对准开口408可具有相同的轮廓和位置,且因此,一旦束点206与对准标线702对准,若具有与对准基板相同形状和尺寸的基板114被安装在基板支撑构件112上,则束点206可预先对准至对准开口408。
当束点206与对准标线702对准时,可从腔室主体104的腔室容积移除对准基板,并由基板114取代。即,基板114可插入腔室容积中并安装在基板支撑构件112上。在安装时,基板114上的目标区域可与束点206对准,且蚀刻和蚀刻监测可如上所述地进行。
在根据图5的方法处理第一晶片114之后,蚀刻处理系统预先对准了所有具有与第一晶片相同的目标区域的后续遮罩。因此,后续的晶片可被装载到蚀刻腔室102中且安装在基板支撑构件112上,且后续晶片的对准开口408可以已经与束点206对准且不需要进一步对准(例如经由操作510对准)。在进行操作512至514的蚀刻工艺和终点检测之前,可经由操作504至508来执行对准的验证。从而,提供了用于生产和制造的一致且准确的终点控制的有利方法。
参照图8A至图8B,根据一个实施例示出了终点轨迹的图表。提供图表以进一步描述如何使用反射率监测以进行终点检测。
所述图表对应于针对EUV蚀刻基板收集的数据,其中将在所述EUV蚀刻基板中蚀刻薄抗反射层402。期望的信号是反射率随着蚀刻时间增加,接着在移除层402后停止增加并且平坦化。光学信号的此平坦化对应于蚀刻工艺的终点,因为它发生在目标蚀刻层被完全蚀刻的时刻。
参考图8A,光检测器204可检测束点206的反射154的幅度802,例如强度。当束点206未对准至对准开口408时,例如,当束点206在掩模材料上时(图6A和图7A),测得的幅度802继续随着时间变化。例如,在蚀刻时间期间的反射率下降,因为在蚀刻期间掩模层变得更薄。图案化掩模层406可比蚀刻层402更厚,且因此,随着层继续地被蚀刻,所测得的反射率可超过预期的时间继续改变。图8A所显示的信号不代表在蚀刻工艺期间预期的信号,且因此,蚀刻工艺可能无法在正确的时间停止。
参考图8B,束点206对准至对准开口408(图6B和图7B)。随着蚀刻工艺随着时间持续进行,由光检测器204收集的反射率数据可增加到预期的终点804。即,反射率的幅度802可在终点804处稳定。更具体而言,当达到蚀刻工艺的终点804时,幅度802相对于时间的变化可减小。计算机系统160可识别速度变化的减少,以确定例如在操作514处达到蚀刻工艺的终点804。接着在正确的时间自动停止蚀刻工艺,这成功地蚀刻掉蚀刻层402。
计算机系统160可执行指令,所述指令存储在非瞬态计算机可读介质上,以使得蚀刻处理系统100执行上述的任何操作和功能。例如,除了关于图8A至图8B描述的反射率监测之外,计算机系统160上的计算机程序还可分析反射光束的测量出的波形图案的形状,以确定蚀刻工艺的终点804。波形可具有类似正弦的振荡形状,其中当被蚀刻的特征的深度导致返回信号与上覆层所反射的返回信号为180度异相(out of phase)时,出现每个波长的波谷。可利用测得的波形、测得的波形的相位信息和/或测得的波形与参考波形的对比,来计算蚀刻速度以确定终点804。如此,可使用干涉信号的周期来计算深度和蚀刻速度。程序还可对测得的波形进行操作以检测特征波形,例如拐点(inflection point),所述拐点指示从不同层反射的光之间的相位差。所述操作可为简单的数学运算,例如评估移动的导数(moving derivative)以检测拐点。
参考图9,根据一个实施例示出了计算机系统的示意图。实施例的某些部分由非瞬态机器可读指令和机器可执行指令组成或由其控制,所述非瞬态机器可读取指令和机器可执行指令驻留在例如计算机系统160的机器可用介质中。计算机系统160是示例性的,且本发明的实施例可在多种不同的计算机系统上操作、在多种不同的计算机系统内操作或由多种不同的计算机计算机系统控制,所述多种不同的计算机系统包括通用网络计算机系统、嵌入式计算机系统、路由器、交换机、服务器设备、客户端设备、各种中间设备/节点、独立计算机系统等等。计算机系统160可控制蚀刻处理系统100的子系统,以执行上述的方法操作。
图9的计算机系统160包括用于传送信息的地址/数据总线902,以及用于处理信息和指令的处理器904,所述处理器904耦合至总线902。计算机系统160还包括数据存储特征,诸如耦合到总线902以用于存储处理器904的信息和指令的计算机可用易失性存储器906(例如随机存取存储器(RAM))、耦合到总线902以用于存储处理器904的静态信息和指令的计算机可用非易失性存储器908(例如只读记忆体(ROM))、以及耦合到总线902以用于存储信息和指令的数据存储设备910(例如,磁盘或光盘和磁盘驱动)。本实施例的计算机系统160还包括任选的字母数字(alphanumeric)输入设备912,所述字母数字输入设备912包括耦合到总线902的字母数字键和功能键,用于向处理器904传送信息和命令选择。计算机系统160还任选地包括耦合到总线902的任选游标控制设备914,用以将用户输入信息和命令选择传送给处理器904。本实施例的计算机系统160还包括任选的显示设备916,所述显示设备916耦合到总线902用以显示信息。
数据存储设备910可包括非瞬态机器可读取存储介质920,其中在所述非瞬态机器920可读取存储介质上存储一个或多个指令集(例如,软件922),所述一个或多个指令集体现本文描述的任何一个或多个方法或操作。软件922还可在其被计算机系统160执行的期间完全地或至少部分地驻留在易失性存储器906、非易失性存储器908和/或处理器904内,且易失性存储器906、非易失性存储器908和处理器904也构成非瞬态机器可读存储介质920。
在前述的说明书中,已经描述了特定的示例性实施例。明显地,可对实施例进行各种修改而不偏离所附权利要求的范围。从而,说明书和附图被认为是说明性的而非限制性的。

Claims (15)

1.一种蚀刻处理系统,包括:
蚀刻腔室,所述蚀刻腔室具有腔室主体,所述腔室主体围绕基板支撑构件;和
终点检测系统,所述终点检测系统包括:光源,所述光源用于朝向所述基板支撑构件之上的对准区域发射第一光;和光检测器,所述光检测器用于接收来自所述对准区域的所述第一光的反射。
2.如权利要求1所述的蚀刻处理系统,进一步包括:相机,所述相机用于将来自所述对准区域的所述第一光和第二光的所述反射成像;和腔室盖,所述腔室盖安装在所述腔室主体上,其中所述腔室盖包括在所述对准区域之上的盖窗口,且其中所述第一光穿过所述盖窗口行进朝向所述对准区域,且所述第一光和所述第二光的所述反射穿过所述盖窗口行进朝向所述光检测器和所述相机。
3.如权利要求2所述的蚀刻处理系统,进一步包括聚焦光学元件,所述聚焦光学元件用于引导所述第一光穿过所述盖窗口至所述对准区域,用于引导所述第一光的所述反射至所述光检测器,并用于引导所述第一光和所述第二光的所述反射至所述相机。
4.如权利要求3所述的蚀刻处理系统,其中所述聚焦光学元件包括曲面镜中的一个或多个或多个透镜,以用于将所述第一光聚焦成所述对准区域中的束点。
5.如权利要求4所述的蚀刻处理系统,进一步包括调整机构,所述调整机构可操作地耦合到所述基板支撑构件或所述终点检测系统中的一个或多个,以用于将移动所述对准区域中的所述束点的点位置。
6.如权利要求3所述的蚀刻处理系统,其中所述聚焦光学元件包括分束器,所述分束器在所述相机和所述盖窗口之间,以将所述第一光和所述第二光的所述反射引导至所述相机。
7.如权利要求2所述的蚀刻处理系统,进一步包括第二光源,所述第二光源用于发射所述第二光朝向所述对准区域。
8.如权利要求7所述的蚀刻处理系统,其中所述第一光朝向与所述基板支撑构件的顶表面正交的所述对准区域行进,且其中所述第二光朝向与所述顶表面倾斜的所述对准区域行进。
9.一种方法,包括:
将基板安装到蚀刻腔室的基板支撑构件上,其中所述基板包括对准区域,所述对准区域具有在基层之上的蚀刻层以及在所述蚀刻层之上的图案化掩模层,且其中所述图案化掩模层包括对准开口;
观察或捕获所述对准区域的图像,其中所述图像包括来自所述基板的束点的反射;
将所述束点对准至所述对准开口;和
基于从所述基层穿过所述对准开口的所述束点的所述反射,确定蚀刻工艺的终点。
10.如权利要求9所述的方法,进一步包括:在将所述束点对准至所述对准开口后,由所述蚀刻腔室通过对准开口对所述蚀刻层进行蚀刻。
11.如权利要求10所述的方法,进一步包括:
由终点检测系统的光源朝向所述对准区域发射第一光,其中所述束点包括所述第一光;和
由第二光源朝向所述对准区域发射第二光,其中所述图像是来自所述对准区域的所述第一光和所述第二光的所述反射的图像。
12.如权利要求11所述的方法,进一步包括:将所述第一光聚焦成所述基板上的所述束点。
13.如权利要求12所述的方法,其中聚焦所述第一光是通过聚焦光学元件的曲面镜或多个透镜中的一个或多个。
14.如权利要求9所述的方法,其中确定所述蚀刻工艺的所述终点包括:由终点检测系统的光检测器检测所述束点的所述反射的幅度。
15.如权利要求14所述的方法,其中所述基板包括蚀刻层,所述蚀刻层在所述图案化掩模层和所述基层之间,且其中确定所述终点包括:检测在所述对准开口下方的所述蚀刻层何时被移除。
CN201880015447.2A 2017-03-13 2018-03-06 具有反射终点检测的蚀刻处理系统 Active CN110383449B (zh)

Applications Claiming Priority (7)

Application Number Priority Date Filing Date Title
US201762470850P 2017-03-13 2017-03-13
US62/470,850 2017-03-13
US201762483758P 2017-04-10 2017-04-10
US62/483,758 2017-04-10
US15/909,650 US11022877B2 (en) 2017-03-13 2018-03-01 Etch processing system having reflective endpoint detection
US15/909,650 2018-03-01
PCT/US2018/021082 WO2018169728A1 (en) 2017-03-13 2018-03-06 Etch processing system having reflective endpoint detection

Publications (2)

Publication Number Publication Date
CN110383449A true CN110383449A (zh) 2019-10-25
CN110383449B CN110383449B (zh) 2023-06-27

Family

ID=63444866

Family Applications (1)

Application Number Title Priority Date Filing Date
CN201880015447.2A Active CN110383449B (zh) 2017-03-13 2018-03-06 具有反射终点检测的蚀刻处理系统

Country Status (6)

Country Link
US (2) US11022877B2 (zh)
JP (1) JP7051888B2 (zh)
KR (2) KR20190117809A (zh)
CN (1) CN110383449B (zh)
TW (1) TWI716672B (zh)
WO (1) WO2018169728A1 (zh)

Families Citing this family (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP7101577B2 (ja) * 2018-09-21 2022-07-15 株式会社日本マイクロニクス 検査方法及び検査システム
GB201916079D0 (en) * 2019-11-05 2019-12-18 Spts Technologies Ltd Apparatus and method
CN112652566B (zh) * 2020-12-30 2023-03-07 合肥晶合集成电路股份有限公司 一种集成电路的制备方法
US12046522B2 (en) * 2022-02-18 2024-07-23 Applied Materials, Inc. Endpoint detection in low open area and/or high aspect ratio etch applications
JP2024084562A (ja) * 2022-12-13 2024-06-25 日新電機株式会社 プラズマ処理装置、及びその処理方法

Citations (10)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH05322515A (ja) * 1992-05-22 1993-12-07 Dainippon Screen Mfg Co Ltd 表面処理終点検出装置
JPH07321094A (ja) * 1994-05-24 1995-12-08 Olympus Optical Co Ltd プラズマエッチャーのエンドポイント検出装置
US20020048020A1 (en) * 2000-09-13 2002-04-25 Yasuhiro Yoshitake Process and apparatus for manufacturing semiconductor device
JP2003051471A (ja) * 2001-08-07 2003-02-21 Hitachi Ltd 半導体装置の製造方法および半導体製造装置
JP2003243375A (ja) * 2002-02-20 2003-08-29 Hitachi Ltd 半導体の製造方法並びにプラズマ処理方法およびその装置
JP2009142969A (ja) * 2007-12-18 2009-07-02 Ebara Corp 研磨終点検出方法、研磨終点検出装置、および研磨装置
US20090225331A1 (en) * 2008-03-04 2009-09-10 Asml Netherlands B.V. Method of Providing Alignment Marks, Device Manufacturing Method and Lithographic Apparatus
JP2012059984A (ja) * 2010-09-10 2012-03-22 Nuflare Technology Inc マスク検査装置及び露光用マスク製造装置
JP2013038145A (ja) * 2011-08-05 2013-02-21 Dainippon Printing Co Ltd 半導体製造システム
US20130157388A1 (en) * 2011-12-19 2013-06-20 Applied Materials, Inc. Etch rate detection for anti-reflective coating layer and absorber layer etching

Family Cites Families (17)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPS6035519A (ja) * 1983-08-08 1985-02-23 Hitachi Micro Comput Eng Ltd 膜厚モニタ−装置
JPS6035551A (ja) 1984-07-25 1985-02-23 Hitachi Ltd 半導体装置の製法
US5450205A (en) 1993-05-28 1995-09-12 Massachusetts Institute Of Technology Apparatus and method for real-time measurement of thin film layer thickness and changes thereof
FR2718231B1 (fr) * 1994-04-05 1996-06-21 Sofie Procédé et dispositif pour quantifier in situ la morphologie et l'épaisseur dans une zone localisée d'une couche superficielle en cours de traitement sur une structure à couches minces .
US5814365A (en) * 1997-08-15 1998-09-29 Micro C Technologies, Inc. Reactor and method of processing a semiconductor substate
US20020190207A1 (en) 2000-09-20 2002-12-19 Ady Levy Methods and systems for determining a characteristic of micro defects on a specimen
US8440049B2 (en) * 2006-05-03 2013-05-14 Applied Materials, Inc. Apparatus for etching high aspect ratio features
JP5441332B2 (ja) * 2006-10-30 2014-03-12 アプライド マテリアルズ インコーポレイテッド フォトマスクエッチングのための終点検出
US20080099436A1 (en) * 2006-10-30 2008-05-01 Michael Grimbergen Endpoint detection for photomask etching
WO2011016525A1 (ja) 2009-08-06 2011-02-10 芝浦メカトロニクス株式会社 プラズマエッチング装置及びプラズマエッチング方法
JP5385875B2 (ja) * 2010-08-26 2014-01-08 東京エレクトロン株式会社 プラズマ処理装置及び光学モニタ装置
JP2013048183A (ja) 2011-08-29 2013-03-07 Shimadzu Corp エッチングモニタリング装置
US20130059403A1 (en) * 2011-09-06 2013-03-07 Applied Materials, Inc. Method and apparatus for wafer temperature measurement using an independent light source
US8808559B2 (en) * 2011-11-22 2014-08-19 Applied Materials, Inc. Etch rate detection for reflective multi-material layers etching
US9887071B2 (en) * 2011-12-16 2018-02-06 Taiwan Semiconductor Manufacturing Co., Ltd. Multi-zone EPD detectors
US9157730B2 (en) * 2012-10-26 2015-10-13 Applied Materials, Inc. PECVD process
US9543225B2 (en) 2014-04-29 2017-01-10 Lam Research Corporation Systems and methods for detecting endpoint for through-silicon via reveal applications

Patent Citations (10)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH05322515A (ja) * 1992-05-22 1993-12-07 Dainippon Screen Mfg Co Ltd 表面処理終点検出装置
JPH07321094A (ja) * 1994-05-24 1995-12-08 Olympus Optical Co Ltd プラズマエッチャーのエンドポイント検出装置
US20020048020A1 (en) * 2000-09-13 2002-04-25 Yasuhiro Yoshitake Process and apparatus for manufacturing semiconductor device
JP2003051471A (ja) * 2001-08-07 2003-02-21 Hitachi Ltd 半導体装置の製造方法および半導体製造装置
JP2003243375A (ja) * 2002-02-20 2003-08-29 Hitachi Ltd 半導体の製造方法並びにプラズマ処理方法およびその装置
JP2009142969A (ja) * 2007-12-18 2009-07-02 Ebara Corp 研磨終点検出方法、研磨終点検出装置、および研磨装置
US20090225331A1 (en) * 2008-03-04 2009-09-10 Asml Netherlands B.V. Method of Providing Alignment Marks, Device Manufacturing Method and Lithographic Apparatus
JP2012059984A (ja) * 2010-09-10 2012-03-22 Nuflare Technology Inc マスク検査装置及び露光用マスク製造装置
JP2013038145A (ja) * 2011-08-05 2013-02-21 Dainippon Printing Co Ltd 半導体製造システム
US20130157388A1 (en) * 2011-12-19 2013-06-20 Applied Materials, Inc. Etch rate detection for anti-reflective coating layer and absorber layer etching

Also Published As

Publication number Publication date
US12007686B2 (en) 2024-06-11
KR20210151251A (ko) 2021-12-13
TWI716672B (zh) 2021-01-21
KR20190117809A (ko) 2019-10-16
TW201843753A (zh) 2018-12-16
WO2018169728A1 (en) 2018-09-20
US20210263408A1 (en) 2021-08-26
US20180259848A1 (en) 2018-09-13
JP2020510311A (ja) 2020-04-02
JP7051888B2 (ja) 2022-04-11
US11022877B2 (en) 2021-06-01
KR102410496B1 (ko) 2022-06-16
CN110383449B (zh) 2023-06-27

Similar Documents

Publication Publication Date Title
CN110383449A (zh) 具有反射终点检测的蚀刻处理系统
EP1926125B1 (en) Endpoint detection for photomask etching
US9142467B2 (en) Etch rate detection for anti-reflective coating layer and absorber layer etching
US8092695B2 (en) Endpoint detection for photomask etching
US8961804B2 (en) Etch rate detection for photomask etching
TWI512393B (zh) 光罩製造應用中之用於蝕刻石英基板的裝置及方法
TWI636345B (zh) 藉由非接觸式光學方法用以定位光刻遮罩的裝置和方法以及用於曝光晶圓的工具
US7682984B2 (en) Interferometer endpoint monitoring device
US10453696B2 (en) Dual endpoint detection for advanced phase shift and binary photomasks
CN111948908A (zh) 基材上图案的测量系统及方法
TWI251723B (en) Calibration method for a lithographic apparatus and device manufacturing method
US8778204B2 (en) Methods for reducing photoresist interference when monitoring a target layer in a plasma process

Legal Events

Date Code Title Description
PB01 Publication
PB01 Publication
SE01 Entry into force of request for substantive examination
SE01 Entry into force of request for substantive examination
GR01 Patent grant
GR01 Patent grant