CN109671624B - 用于确定工艺参数的方法和装置 - Google Patents

用于确定工艺参数的方法和装置 Download PDF

Info

Publication number
CN109671624B
CN109671624B CN201811002112.5A CN201811002112A CN109671624B CN 109671624 B CN109671624 B CN 109671624B CN 201811002112 A CN201811002112 A CN 201811002112A CN 109671624 B CN109671624 B CN 109671624B
Authority
CN
China
Prior art keywords
gaseous
time
concentration
product
trace
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Active
Application number
CN201811002112.5A
Other languages
English (en)
Other versions
CN109671624A (zh
Inventor
亚辛·卡布兹
吕克·阿尔巴雷德
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Lam Research Corp
Original Assignee
Lam Research Corp
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Lam Research Corp filed Critical Lam Research Corp
Publication of CN109671624A publication Critical patent/CN109671624A/zh
Application granted granted Critical
Publication of CN109671624B publication Critical patent/CN109671624B/zh
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L22/00Testing or measuring during manufacture or treatment; Reliability measurements, i.e. testing of parts without further processing to modify the parts as such; Structural arrangements therefor
    • H01L22/20Sequence of activities consisting of a plurality of measurements, corrections, marking or sorting steps
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32082Radio frequency generated discharge
    • H01J37/321Radio frequency generated discharge the radio frequency energy being inductively coupled to the plasma
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • H01L21/3065Plasma etching; Reactive-ion etching
    • GPHYSICS
    • G01MEASURING; TESTING
    • G01NINVESTIGATING OR ANALYSING MATERIALS BY DETERMINING THEIR CHEMICAL OR PHYSICAL PROPERTIES
    • G01N21/00Investigating or analysing materials by the use of optical means, i.e. using sub-millimetre waves, infrared, visible or ultraviolet light
    • G01N21/17Systems in which incident light is modified in accordance with the properties of the material investigated
    • G01N21/25Colour; Spectral properties, i.e. comparison of effect of material on the light at two or more different wavelengths or wavelength bands
    • G01N21/31Investigating relative effect of material at wavelengths characteristic of specific elements or molecules, e.g. atomic absorption spectrometry
    • G01N21/35Investigating relative effect of material at wavelengths characteristic of specific elements or molecules, e.g. atomic absorption spectrometry using infrared light
    • G01N21/3504Investigating relative effect of material at wavelengths characteristic of specific elements or molecules, e.g. atomic absorption spectrometry using infrared light for analysing gases, e.g. multi-gas analysis
    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06FELECTRIC DIGITAL DATA PROCESSING
    • G06F30/00Computer-aided design [CAD]
    • G06F30/20Design optimisation, verification or simulation
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/3244Gas supply means
    • H01J37/32449Gas control, e.g. control of the gas flow
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67017Apparatus for fluid treatment
    • H01L21/67063Apparatus for fluid treatment for etching
    • H01L21/67069Apparatus for fluid treatment for etching for drying etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67242Apparatus for monitoring, sorting or marking
    • H01L21/67253Process monitoring, e.g. flow or thickness monitoring
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J2237/00Discharge tubes exposing object to beam, e.g. for analysis treatment, etching, imaging
    • H01J2237/32Processing objects by plasma generation
    • H01J2237/33Processing objects by plasma generation characterised by the type of processing
    • H01J2237/334Etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31105Etching inorganic layers
    • H01L21/31111Etching inorganic layers by chemical means
    • H01L21/31116Etching inorganic layers by chemical means by dry-etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3205Deposition of non-insulating-, e.g. conductive- or resistive-, layers on insulating layers; After-treatment of these layers
    • H01L21/321After treatment
    • H01L21/3213Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer
    • H01L21/32133Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only
    • H01L21/32135Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only by vapour etching only
    • H01L21/32136Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only by vapour etching only using plasmas
    • H01L21/32137Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only by vapour etching only using plasmas of silicon-containing layers

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • General Physics & Mathematics (AREA)
  • Computer Hardware Design (AREA)
  • Chemical & Material Sciences (AREA)
  • Analytical Chemistry (AREA)
  • Plasma & Fusion (AREA)
  • Manufacturing & Machinery (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Spectroscopy & Molecular Physics (AREA)
  • Theoretical Computer Science (AREA)
  • Biochemistry (AREA)
  • Immunology (AREA)
  • Pathology (AREA)
  • Health & Medical Sciences (AREA)
  • Life Sciences & Earth Sciences (AREA)
  • General Health & Medical Sciences (AREA)
  • Evolutionary Computation (AREA)
  • General Engineering & Computer Science (AREA)
  • Geometry (AREA)
  • Drying Of Semiconductors (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • General Chemical & Material Sciences (AREA)
  • Automation & Control Theory (AREA)
  • Inorganic Chemistry (AREA)

Abstract

本发明涉及用于确定工艺参数的方法和装置。提供了一种使用至少一个基于时间轨迹的预测模型来处理在处理室中的衬底的方法。对衬底进行干法处理,其中干法处理产生至少一种气体副产物。测量至少一种气体副产物的浓度。确定至少一种气体副产物的浓度的时间轨迹。确定的浓度的时间轨迹被提供作为用于至少一个基于时间轨迹的预测模型的输入,以获得至少一个工艺输出。至少一个工艺输出用于调整至少一个工艺参数。

Description

用于确定工艺参数的方法和装置
相关申请的交叉引用
本公开涉及由Albarede等人于2015年9月23日提交的名称为“APPARATUS FORDETERMINING PROCESS RATE”的美国专利申请No.14/863,211和由Kabouzi等人于2015年9月23日提交并于2017年8月15日发布的名称为“METHOD AND APPARATUS FOR DETERMININGPROCESS RATE”的美国专利9,735,069,其出于所有目的通过引用并入。
技术领域
本公开涉及半导体器件的制造。更具体地,本公开涉及用于制造半导体器件的蚀刻。
背景技术
在半导体晶片处理期间,选择性地蚀刻含硅层。在蚀刻含硅层期间,期望逐个晶片或逐个室测量蚀刻速率、蚀刻CD(关键尺寸)、蚀刻轮廓和蚀刻均匀性。IR(红外)吸收可用于测量由蚀刻工艺产生的副产物的浓度。
当前的蚀刻系统不具有测量原位大范围(fleet-wide)的校准信号的装置。在大多数情况下,它们依赖于等离子体发射,这通常会在不同室以及不同湿法清洁中改变,使得依靠信号实现原位计量级故障检测具有挑战性。此外,当前可用的信号并不强烈依赖于晶片上的结果,从而使其成为弱预测器。
发明内容
为了实现前述内容并且根据本公开的目的,提供了一种使用至少一个基于时间轨迹的预测模型来处理在处理室中的衬底的方法。对衬底进行干法处理,其中干法处理产生至少一种气体副产物。测量至少一种气体副产物的浓度。获得至少一种气体副产物的浓度的时间轨迹。获得的浓度的时间轨迹被提供作为用于至少一个基于时间轨迹的预测模型的输入,以获得至少一个工艺输出。至少一个工艺输出用于调整至少一个工艺参数。
在另一表现形式中,提供了一种创建基于时间轨迹的预测模型的方法。干法处理多个衬底,其中干法处理产生至少一种气体副产物。测量至少一种气体副产物的浓度。记录工艺参数。对于每个衬底获得关于至少一种气体副产物的浓度随时间而变化的多个时间轨迹。使用所述工艺参数、获得的多个时间轨迹和测得的输出参数来创建至少一个工艺输出的基于时间轨迹的预测模型。
具体而言,本发明的一些方面可以阐述如下:
1.一种使用至少一种基于时间轨迹的预测模型处理在处理室中的衬底的方法,其包括:
干法处理衬底,其中所述干法处理产生至少一种气体副产物;
测量所述至少一种气体副产物的浓度;
获得所述至少一种气体副产物的所述浓度的时间轨迹;
提供所述浓度的所获得的所述时间轨迹作为所述至少一个基于时间轨迹的预测模型的输入,以获得至少一个工艺输出;以及
使用所述至少一个工艺输出来调整至少一个工艺参数。
2.如条款1所述的方法,其中,所述至少一个基于时间轨迹的预测模型基于多变量方法。
3.如条款1所述的方法,其还包括从所述浓度的所获得的所述时间轨迹计算吸收值的总和。
4.如条款1所述的方法,其中所述至少一个基于时间轨迹的预测模型还基于蚀刻速率、均匀性、关键尺寸、蚀刻轮廓、湿法清洁、蚀刻性能、室匹配和室清洁性能中的至少一种。
5.如条款1所述的方法,其中所述使用所述至少一个工艺输出包括确定蚀刻速率、均匀性、关键尺寸和蚀刻轮廓中的至少一种的变化。
6.如条款1所述的方法,其中所述使用所述至少一个工艺输出包括确定蚀刻速率、均匀性、CD和蚀刻轮廓中的至少一种是否超出规范。
7.如条款1所述的方法,其中基于光吸收来测量所述至少一种气体副产物的浓度。
8.如条款7所述的方法,其中使用光程长度至少为1米的多通气室测量所述至少一种气体副产物的所述浓度,其中通过将所述多通气室放置在排放泵的输出侧上,在所述至少一种气体副产物已经通过所述排放泵之后测量所述至少一种气体副产物。
9.如条款1所述的方法,其中,所述至少一个工艺输出包括至少两个工艺输出。
10.如条款1所述的方法,其中所述使用所述至少一个工艺输出来调整至少一个工艺参数使用所述至少一个工艺输出来实时调整所述至少一个工艺参数。
11.如条款1所述的方法,其中所述使用所述至少一个工艺输出来调整至少一个工艺参数包括使用所述基于时间轨迹的预测模型来确定工艺上限和工艺下限并将所述至少一个工艺输出与所述工艺上限和所述工艺下限比较。
12.如条款1所述的方法,其中所述测量所述至少一种气体副产物的浓度以十亿分之几的精度测量所述至少一种气体副产物。
13.一种创建基于时间轨迹的预测模型的方法,其包括:
干法处理多个衬底,其中所述干法处理产生至少一种气体副产物;
测量所述至少一种气体副产物的浓度;
记录工艺参数;
对于每个衬底获得关于所述至少一种气体副产物的所述浓度随时间而变化的多个时间轨迹;
测量输出参数;以及
使用所述工艺参数、获得的多个时间轨迹和测得的输出参数来创建至少一个工艺输出的基于时间轨迹的预测模型。
14.如条款13所述的方法,其中所述至少一种气体副产物包括含硅气体。
15.如条款13所述的方法,其中所述测量所述至少一种气体副产物的浓度以十亿分之几的精度测量所述至少一种气体副产物。
16.如条款13所述的方法,其中所述使用所述工艺参数,获得的多个时间轨迹和测得的输出参数来创建至少一种工艺的基于时间轨迹的预测模型使用多变量分析或神经联网中的至少一种。
17.如条款13所述的方法,其中基于光吸收测量所述至少一种气体副产物的所述浓度。
18.如条款17所述的方法,其中使用光程长度至少为1米的多通气室测量所述至少一种气体副产物的所述浓度,其中通过将所述多通气室放置在所排放泵的输出侧上,在所述至少一种气体副产物已经通过所述排放泵之后测量所述至少一种气体副产物。
19.如条款13所述的方法,其中所述至少一个工艺输出包括蚀刻速率、均匀性、关键尺寸、蚀刻轮廓、湿法清洁、蚀刻性能、室匹配和室清洁性能中的至少一种。
20.如条款13所述的方法,其中,所述至少一个工艺输出包括至少两个工艺输出。
本公开的这些和其他特征将结合下面的附图在下文的本发明的详细描述中更详细地描述。
附图说明
本公开在附图中的图形是通过举例的方式而不是通过限制的方式示出,其中相同的附图标记表示类似的元件,并且其中:
图1A是实施方式的高级流程图。
图1B是创建至少一个基于时间轨迹的预测模型的流程图。
图2是可以在实施方式中使用的等离子体处理室的示意图。
图3是图2所示实施方式的气室的更详细的示意图。
图4是可以在实施方式中使用的计算机系统。
图5A-5B是时间轨迹曲线图。
图6是在一实施方式中使用的递归神经网络模型的示意图。
图7是对于预测模型,可以如何使用多个时间轨迹来创建变换矩阵或回归系数的示意图。
图8是测得的预测CD与测得的CD的关系曲线图。
具体实施方式
现在将参照如附图中所示的其几个优选的实施方式详细描述本发明。在下面的描述中,阐述了许多具体细节以便彻底理解本公开。然而,对本领域的技术人员将显而易见的是,在没有部分或所有这些具体细节的情况下可以实现本公开。在其他情况下,没有详细描述众所周知的工艺步骤和/或结构从而避免不必要地使本公开难以理解。
用于工艺控制(例如,端点)的当前技术依赖于使用发射光谱、反射或RF(射频)电压和电流对等离子体参数的相对测量或间接测量。对于终点控制,当CD收缩到21nm以下并且深宽比增大到30:1以上时,光发射光谱达到极限,信号变化趋于零。对于原位蚀刻速率(ER)测量,使用RF电压/电流基于并非室至室始终保持相关性。
一实施方式依赖于对SiF4或SiBr4、或SiCl4或其他SiX4副产物的绝对测量,当使用基于碳氟化合物的化学物质时,所述副产物是大多数含硅蚀刻物(氮化物、氧化物、多晶硅和硅膜)的直接副产物。通过将测量与时间轨迹相关性组合,可以预测端点、作为深度的函数的ER、平均晶片选择性和在某些条件下的均匀性。使用IR吸收检测SiF4副产物,该IR吸收使用量子级联激光光谱法,从而允许十亿分之几的水平检测以进行准确预测。
本发明描述了一种组合与SiF4IR吸收耦合的时间轨迹相关性以控制蚀刻工艺的方法。该方法允许在诸如DRAM单元蚀刻和3D-NAND孔和沟槽图案化之类的高深宽比应用中扩展端点能力超出传统方法(例如发射光谱学)的范围。绝对密度测量和时间轨迹相关性的组合允许人们另外确定原位蚀刻输出参数,例如ER、选择性、CD和均匀性,其可用于实现逐次运行的工艺匹配。
在一个实施方式中,蚀刻工艺的特征在于测量直接稳定的副产物,其可以:1)用于确定用于工艺/CD控制的高深宽比DRAM和3D-NAND蚀刻的端点,2)用于缩放未来节点的端点检测,3)组合时间轨迹相关性以原位确定:a)平均晶片ER和ER与深度的函数关系(ARDE),b)平均晶片均匀性和选择性,其中两种测量可用于逐次运行匹配和故障检测,4)与高灵敏度量子级联激光光谱一起使用,以达到精确蚀刻端点和蚀刻参数估计所需的ppb级检测限。
为了便于理解,图1A是在一实施方式中使用的工艺的高级流程图。创建至少一个基于时间轨迹的预测模型(步骤104)。干法处理衬底(步骤108)。在干法处理期间,产生气体副产物。测量气体副产物的浓度(步骤112)。获得气体副产物的测得的浓度的时间轨迹(步骤116)。提供时间轨迹作为至少一个基于时间轨迹的预测模型的输入,以获得至少一个工艺输出(步骤120)。将该至少一个工艺输出用于调整至少一个工艺参数(步骤124)。
实施例
在示例性实施方式的示例中,创建至少一个基于时间轨迹的预测模型(步骤104)。图2示意性地示出了等离子体处理室200的示例,其可以用于创建至少一个基于时间轨迹的预测模型。在各种实施方式中,若干等离子体处理室200可用于创建至少一个基于时间轨迹的预测模型。等离子体处理室200包括等离子体反应器202,等离子体反应器202中具有等离子体处理约束室204。由匹配网络208调谐的等离子体电源206向位于功率窗212附近的TCP线圈210供电,以通过提供电感耦合功率在等离子体处理约束室204中产生等离子体214。TCP线圈(上部电源)210可以被配置为在等离子体处理约束室204内产生均匀的扩散分布。例如,TCP线圈210可以被配置为在等离子体214中产生环形功率分布。提供功率窗212以将TCP线圈210与等离子体处理约束室204分离,同时允许能量从TCP线圈210传递到等离子体处理约束室204。由匹配网络218调谐的晶片偏置电压电源216向电极220提供功率以在由电极220支撑的衬底264上设置偏置电压。控制器224为等离子体电源206、气体源/气体供应机构230和晶片偏置电压电源216设置点。
等离子体电源206和晶片偏置电压电源216可以被配置为在特定的射频下操作,例如,在13.56MHz、27MHz、2MHz、60MHz、200kHz、2.54GHz、400kHz、以及1MHz或其组合下操作。等离子体电源206和晶片偏置电压电源216可以适当地确定尺寸以提供一定范围的功率,以便实现期望的工艺性能。例如,在一实施方式中,等离子体电源206可以提供50至5000瓦范围内的功率,并且晶片偏置电压电源216可以提供20至2000V范围内的偏置电压。对于高达4kV或5kV的电压,提供不超过25kW的功率。另外,TCP线圈210和/或电极220可以包括可以由单个电源供电或由多个电源供电的两个或更多个子线圈或子电极。
如图2所示,等离子体处理室200还包括气体源/气体供应机构230。气体源/气体供应机构230通过气体入口(例如喷头240)与等离子体处理约束室204流体连接。气体入口可以位于等离子体处理约束室204中的任何有利位置,并且可以采用用于注入气体的任何形式。然而,优选地,气体入口可以配置成产生“可调”的气体注入分布,其使得能将流到等离子体处理约束室204中的多个区域的相应的气体流进行独立调节。工艺气体和副产物是经由压力控制阀242和泵244从等离子体处理约束室204去除,压力控制阀242和泵244还用于维持等离子体处理约束室204内的特定压力。气体源/气体供应机构230由控制器224控制。由加利福尼亚州弗里蒙特的朗姆研究公司(Lam Research Corp.)制造的工具可用于实践实施方式。在其他示例中,由加利福尼亚州弗里蒙特的Lam Research Corp.制造的Flex TM工具可用于实践实施方式。
在该实施方式中,在泵244之后提供连接到排放管246的气室232,废气流入气室232中。IR光源234位于气室232中的窗附近,使得来自IR光源234的IR光束被引导到气室232中。IR光束可以多次穿过气室232(通常>1m)以达到ppb级或甚至更低的ppt的百分之一的检测限,使得气室232是多通气室。当IR光在气室232内行进时,IR光被气体吸收。IR检测器236位于气室232中的另一个窗附近,以测量光吸收水平。
图3是图2所示的实施方式的气室232的更详细的示意图。排放管246从泵244的输出端延伸。气室232包括气腔室304,第一镜308和第二镜312。气腔室304、第一镜308和第二镜312限定光学腔316。排放管246使排放物流入气腔室304中的光学腔316,然后通过输出端口320流出光学腔316。在该实施方式中,排放物流入和流出光学腔316是沿着线性路径进行的。IR光源234(在该实施方式中是量子级联激光器(QCL)IR光源)设置在第一反射镜308中的窗328附近。输出光纤332通过第二反射镜312光学连接在IR检测器236和光学腔316之间。光可以直接耦合到气室232中或通过光纤耦合。加热器336邻近第一镜308和第二镜312放置。加热器336中的一个或多个可以具有热传感器。加热器336可以电连接到控制器224并由控制器224控制,并且可以向控制器224提供温度数据。提供了具有第一吹扫环通道342的第一吹扫环340和具有第二吹扫环通道346的第二吹扫环344,其围绕气腔室304。第一吹扫环340与第一镜308相邻并具有第一吹扫气体输入端348。第二吹扫环344与第二镜312相邻并具有第二吹扫气体输入端352。第一吹扫环340和第二吹扫环344通过多个吹扫气体喷嘴356与气室232和光腔316流体连通。
图4是显示计算机系统400的高级框图,其适合用于实现在实施方式中使用的控制器224。该计算机系统可以具有许多物理形式,范围从集成电路、印刷电路板和小型手持装置到巨型超级计算机。计算机系统400包括一个或多个处理器402,并且还可以包括电子显示装置404(用于显示图形、文本和其他数据)、主存储器406(例如,随机存取存储器(RAM))、存储装置408(例如,硬盘驱动器)、可移动存储装置410(例如,光盘驱动器)、用户接口装置412(例如,键盘、触摸屏、小键盘、鼠标或其他指针装置等)以及通信接口414(例如,无线网络接口)。通信接口414允许软件和数据经由链路在计算机系统400和外部装置之间传输。该系统还可以包括上述装置/模块所连接到的通信基础设施416(例如,通信总线、交叉杆或网络)。
通过通信接口414传输的信息可以是信号(诸如电子、电磁、光学或能够经由携带信号的通信链路被通信接口414接收的其他信号)的形式,并且可以使用电线或电缆、光纤、电话线、手机链路、射频链路和/或其他通信渠道来实现。可以设想,具有这样的通信接口,在执行上述方法步骤的工艺中一个或多个处理器402可以从网络接收信息,或可以输出信息到网络。此外,方法实施方式可以在处理器单独执行,或者可以通过网络(如Internet)结合共享该处理的部分的远程处理器执行。
术语“非瞬时计算机可读介质”一般用来指例如主存储器、辅助存储器、可移动存储以及存储装置(如硬盘、闪速存储器、磁盘驱动存储器、CD-ROM和其他形式的永久性存储器)等介质,并且不应被解释为涵盖瞬态标的物,例如载波或信号。计算机代码的实施方式包括机器代码(诸如由编译器产生的)和包含由计算机使用解释器执行的更高级代码的文件。计算机可读介质还可以是通过体现在载波中并且表示可由处理器执行的指令序列的计算机数据信号传输的计算机代码。
图1B是在一实施方式中用于创建至少一个基于时间轨迹的预测模型的流程图(步骤104)。在等离子体处理室200中提供衬底(步骤144)。处理衬底(步骤148)。将记录的工艺参数用于控制衬底的处理(步骤152)。测量气体副产物的浓度(步骤156)。当处理完成时,在计量工具中测量衬底(步骤160),该计量工具提供测得的输出参数。对多个衬底进行该工艺。将工艺参数、测得的浓度和测得的输出参数用于创建基于时间轨迹的预测模型。将多变量方法用于创建基于时间轨迹的预测模型,其将工艺参数和测得的输出参数与气体副产物浓度的时间轨迹相关联。多变量方法可以使用神经网络,例如递归神经网络(RNN)或称为长期短期记忆神经网络(LSTM)的RNN变体,或多变量分析,例如偏最小二乘法(PLS)。这样的模型不仅会使用浓度时间轨迹的幅度或斜率,还会使用浓度时间轨迹的动态,包括在全时间轨迹上的幅度、幅度比、曲率、吸收值的总和与斜率。在一些实施方式中,时间轨迹的动态也使用时间轨迹的相空间[s(t),s'(t)]。
在一示例中,相对于时间绘制副产物的浓度的全时间迹线s(t)。图5A是s(t)504的曲线图。此外,s(t)相对于时间的导数s'(t)也被绘制成508。图5B是图5A中所示的时间轨迹的s(t)对s'(t)的二维相空间图。图6是在该实施方式中使用的具有存储器的神经网络(NN)的示意图。向NN提供不同类型的数据604,在该示例中,数据604分为三类,即副产品时间轨迹、工艺参数和测得的输出参数。工艺参数是工艺输入,其可以包括影响工艺输出的气流、RF功率、偏置电压或室特性。测得的输出参数可以包括蚀刻速率、均匀性、CD、蚀刻轮廓、湿法清洁、蚀刻性能或室清洁性能。数据在各种层提供给NN的输入层,NN是简单的前馈,其中3个隐藏层连接输入时间轨迹,工艺输入至输出层,从而预测晶片参数。不同层模拟副产物浓度时间轨迹的不同属性。例如,L1可以是浓度的幅值,L2可以是时间轨迹的曲率,L3可以是时间轨迹的相邻幅值的比率。NN产生预测模型,其用于预测输出608,在该示例中,其可以预测CD。在各种实施方式中,可以创建和/或使用多个基于时间轨迹的预测模型。例如,可以创建并使用基于时间轨迹的CD预测模型,并且可以创建和使用基于时间轨迹的蚀刻速率预测模型。在一个示例中,N个时间轨迹可以用于创建具有M个输出的模型,其中M个输出可以是CD、蚀刻速率或电场,并且其中N>>M。为了提供更大数量的输出将涉及PLS或NN的更多数量的层或组件。因此,预测模型可以具有两个、三个或甚至更多个工艺输出。
诸如CD/ER之类的模型输出可以用于创建上限和下限以原位监视工艺性能或者用于为先进工艺控制算法提供反馈以调整后续衬底的工艺参数。在处理衬底期间,可以使用时间轨迹来确定工艺是否将超过CD的上限或下限或ER的上限或下限。
在另一示例中,PLS方法用于将CD与部分或全SiF4时间演变相关联,以便创建基于时间轨迹的预测模型。图7是对于预测模型,如何使用多个时间轨迹来创建变换矩阵或回归系数的示意图。来自多个时间轨迹的数据以矩阵(X)提供。得到的晶片特征也放置在矩阵(F)中。可以使用偏最小二乘法计算变换矩阵(β),使得F=βX+ε,其中ε是误差项。图8比较了预测的CD与测得的CD。在该示例中,针对不同的ER条件记录多个SiF4时间轨迹,导致晶片上的不同蚀刻特征CD输出。通过将CD值回归到SiF4时间轨迹上来生成模型。模型模拟的CD与测得的CD之间的所得的相关性优于98%。
在处理室中的衬底(步骤108)上执行干法工艺,其中干法工艺产生至少一种气体副产物。在不同的实施方式中,衬底是被蚀刻的硅晶片,或者衬底上的一个或多个含硅层被蚀刻。在该示例中,蚀刻交替的堆叠的氧化硅和氮化硅层。这种氧化硅和氮化硅的交替堆叠层被指定为ONON,其用于3D存储器件中。在该示例中,存在至少八个交替的ONON层。在蚀刻这样的堆叠层时,ER和选择性都随深宽比而降低,这意味着随着深宽比(蚀刻深度与蚀刻宽度的比率)的增大,氧化硅的蚀刻速率和氮化硅的蚀刻速率之间的差异减小。为了蚀刻这样的堆叠层,由气体源/气体供应机构230提供蚀刻气体CxFyHz/O2。等离子体电源206向TCP线圈210提供RF功率以将蚀刻气体形成为蚀刻等离子体,其蚀刻堆叠层并形成至少一种气体副产物,其在该实施例中为SiF4。(其他蚀刻副产物可以通过将IR光源调谐到每种副产物的吸收带根据气体的化学性质来监测,其他蚀刻副产物如SiBr4或SiCl4。)
在干法工艺期间,随时间推移测量至少一种气体副产物的浓度(步骤112)。在该实施方式中,来自泵244的排放物流到气室232。IR光源234将IR光束提供到气室232中。第一镜308和第二镜312反射IR光束多次,然后IR光束被引导到IR检测器236,IR检测器236测量IR光束的强度。IR光束的光程长度可以达到几米到几百米,从而允许亚ppb检测限。在一实施方式中,光程至少为一米。来自IR检测器236的数据被发送到控制器224,控制器224使用该数据来确定SiF4的浓度。
在蚀刻完成时,针对蚀刻副产物的浓度的时间演变,获得时间轨迹(步骤116)。然后将时间轨迹用作预测模型的输入,以确定晶片上工艺结果或工艺输出(步骤120),例如CD。工艺输出用于调整至少一个工艺参数(步骤124)。例如,可以将CD值馈送到主机以进行高级工艺控制,允许其向前馈送气流、功率或时间以调整下一个衬底或下一批衬底CD输出。在一示例中,工艺输出可以示出CD高于设定阈值。结果,可以立即改变工艺参数以校正CD。在一个示例中,调节O2流量以调节蚀刻与聚合物沉积的比率。在另一实施方式中,可以在完成该工艺之后调整工艺参数以改变用于下一个衬底的工艺。
在该示例中,已经以高精度确定了工艺参数和副产物浓度之间的关系,其中没有复杂的分析或建模。这种关系以前是未知的,使得以前需要昂贵且耗时的计量工艺来确定CD。在一示例中,在通过计量处理和测量几个晶片之后,可以在吸光度的时间轨迹和CD输出之间提供模型。在模型被建立并确认时,可以预测晶片工艺结束时的CD输出,而无需等待计量工具的反馈。在数据可用之前等待计量工具反馈可能需要数小时,这可能导致多批次废品。这里,通过实时获得可靠的CD模型,可以调整该工艺并实现晶片到晶片的控制。该工艺允许实时提供工艺输出,从而可以实时进行调整。这种实时调整被定义为在被测量的晶片仍在被处理时进行,或者在处理下一个晶片之前进行,而晶片之间没有暂停以提供分析。
在其他实施方式中,在时间轨迹与底部CD、顶部CD、蚀刻速率、特征翘曲、成品率、晶片自动清洁(WAC)工艺、蚀刻均匀性、湿法清洁参数、室清洁参数或者室特征之间的基于时间轨迹的预测模型可以确定。基于时间轨迹的预测模型可用于建立上阈值和下阈值或上极限和下极限。当超过极限时,可以改变当前干法工艺的任一参数以促进当前的干法工艺,或者可以改变参数以用于将来的干法工艺。未来工艺的参数改变的一个示例可以由确定蚀刻轮廓不符合规格到需要调节或清洁室的程度来产生。时间轨迹与基于时间轨迹的预测模型的比较将用于指示室需要如何调整。例如,比较可以指示室需要湿法清洁。结果,室将经受湿法清洁。这样的确定在确定需要清洁之前,在衬底的干法处理期间进行,而不需要在衬底上执行昂贵且耗时的计量并且不需要处理多个不符合规格的衬底。该比较可用于高级故障检测,以确定运行到运行和室到室的性能。实施方式可以在连续处理多个衬底期间使用时间轨迹作为基于时间轨迹的预测模型的输入,以测量室的规格漂移以确定用于重新调整或修复室的最佳时间。
在各种实施方式中,使用时间轨迹的动态。使用时间轨迹的动态不仅仅是幅值与时间的函数关系。这种动态也会使用时间轨迹的时间导数。更优选地,这种动态也使用时间轨迹的曲率。最优选地,这种动态也使用幅度和其他特征的比率。动态还可以使用时间轨迹的一维或二维相空间图。
在一实验中,测得的吸收具有两个贡献者:晶片和室。四分之三的吸收归因于室,因为它具有与等离子体接触的最大面积。另外四分之一归功于晶片。通过假设晶片属性随时间的推移而保持恒定或具有非常小的变化,可以假设随时间推移的任何变化是由于室属性的变化而导致。这种变化可能归因于零件侵蚀。该实施方式实时提供室部分腐蚀的指示。
在另一个实施方式中,在无晶片自动清洁(WAC)期间测量吸收度水平。同样,聚合物和室有两个相应的贡献。在接近WAC结束时,室是干净的,因此剩下的唯一贡献是室的贡献。通过湿法清洁监测每个WAC结束时的吸收度值将为室提供清洁指纹形图谱(fingerprint)。前级的吸收处(absorption)是进行测量的位置。
已经出乎意料地发现,当可以以十亿分之几(ppb)并且更优选地是万亿分之一(ppt)的精确度测量浓度时,这种方法是成功的。对于低压等离子体处理系统,已经发现一种后排放气室(其在光已经多次通过气室以提供大于1米的光程之后测量吸收)提供了所需的精度。在排放泵之后放置气室的优点在于排放泵之后的气体比处理室中的气体浓度大。另外,反射表面不暴露于处理室中的等离子体,使得反射表面不会被等离子体自由基或离子导致变劣。在其他实施方式中,气室位于等离子体处理室中,例如围绕等离子体中处于较高压力的等离子体区域。在其他实施方式中,可以使用可见光或UV光代替IR光。
各种实施方式可用于蚀刻诸如DRAM和3D-NAND器件之类的存储器器件。在各种实施方式中,等离子体工艺是含硅层或低k介电层的蚀刻工艺。在各种实施方式中,RF功率可以是电感耦合或电容耦合的。由加利福尼亚州弗里蒙特的Lam Research Corp.制造的FlexTM工具可用于实践具有电容耦合的实施方式以蚀刻DRAM和3D NAND结构。在其他实施方式中,可以使用其他类型的等离子体功率耦合。在其他实施方式中,可以蚀刻氧化硅和多晶硅的交替层(OPOP)。
虽然本公开已根据几个优选的实施方式进行了描述,但是存在落入本发明的范围之内的变更、修改、置换和多种替代等同方案。还应当注意,有许多实现本公开的方法和装置的替代方式。因此,下面所附的权利要求旨在被解释为包括落入本公开的真正的精神和范围之内的所有这些变更、修改、置换和多种替代等同方案。

Claims (16)

1.一种使用至少一种基于时间轨迹的预测模型处理在处理室中的衬底的方法,其包括:
干法处理衬底,其中所述干法处理产生至少一种气体副产物;
测量所述至少一种气体副产物的浓度,其中基于光吸收来测量所述至少一种气体副产物的浓度,其中使用光程长度至少为1米的多通气室测量所述至少一种气体副产物的所述浓度,其中通过将所述多通气室放置在排放泵的输出侧上,在所述至少一种气体副产物已经通过所述排放泵之后测量所述至少一种气体副产物;
获得所述至少一种气体副产物的所述浓度的时间轨迹;
提供所述浓度的所获得的所述时间轨迹作为所述至少一个基于时间轨迹的预测模型的输入,以获得至少一个工艺输出;以及
使用所述至少一个工艺输出来调整至少一个工艺参数。
2.如权利要求1所述的方法,其中,所述至少一个基于时间轨迹的预测模型基于多变量方法。
3.如权利要求1所述的方法,其还包括从所述浓度的所获得的所述时间轨迹计算吸收值的总和。
4.如权利要求1所述的方法,其中所述至少一个基于时间轨迹的预测模型还基于蚀刻速率、均匀性、关键尺寸、蚀刻轮廓、湿法清洁、蚀刻性能、室匹配和室清洁性能中的至少一种。
5.如权利要求1所述的方法,其中所述使用所述至少一个工艺输出包括确定蚀刻速率、均匀性、关键尺寸和蚀刻轮廓中的至少一种的变化。
6.如权利要求1所述的方法,其中所述使用所述至少一个工艺输出包括确定蚀刻速率、均匀性、CD和蚀刻轮廓中的至少一种是否超出规范。
7.如权利要求1所述的方法,其中,所述至少一个工艺输出包括至少两个工艺输出。
8.如权利要求1所述的方法,其中所述使用所述至少一个工艺输出来调整至少一个工艺参数使用所述至少一个工艺输出来实时调整所述至少一个工艺参数。
9.如权利要求1所述的方法,其中所述使用所述至少一个工艺输出来调整至少一个工艺参数包括使用所述基于时间轨迹的预测模型来确定工艺上限和工艺下限并将所述至少一个工艺输出与所述工艺上限和所述工艺下限比较。
10.如权利要求1所述的方法,其中所述测量所述至少一种气体副产物的浓度以十亿分之几的精度测量所述至少一种气体副产物。
11.一种创建基于时间轨迹的预测模型的方法,其包括:
干法处理多个衬底,其中所述干法处理产生至少一种气体副产物;
测量所述至少一种气体副产物的浓度,其中基于光吸收测量所述至少一种气体副产物的所述浓度,其中使用光程长度至少为1米的多通气室测量所述至少一种气体副产物的所述浓度,其中通过将所述多通气室放置在所排放泵的输出侧上,在所述至少一种气体副产物已经通过所述排放泵之后测量所述至少一种气体副产物;
记录工艺参数;
对于每个衬底获得关于所述至少一种气体副产物的所述浓度随时间而变化的多个时间轨迹;
测量输出参数;以及
使用所述工艺参数、获得的多个时间轨迹和测得的输出参数来创建至少一个工艺输出的基于时间轨迹的预测模型。
12.如权利要求11所述的方法,其中所述至少一种气体副产物包括含硅气体。
13.如权利要求11所述的方法,其中所述测量所述至少一种气体副产物的浓度以十亿分之几的精度测量所述至少一种气体副产物。
14.如权利要求11所述的方法,其中所述使用所述工艺参数,获得的多个时间轨迹和测得的输出参数来创建至少一种工艺的基于时间轨迹的预测模型使用多变量分析或神经联网中的至少一种。
15.如权利要求11所述的方法,其中所述至少一个工艺输出包括蚀刻速率、均匀性、关键尺寸、蚀刻轮廓、湿法清洁、蚀刻性能、室匹配和室清洁性能中的至少一种。
16.如权利要求11所述的方法,其中,所述至少一个工艺输出包括至少两个工艺输出。
CN201811002112.5A 2017-10-13 2018-08-30 用于确定工艺参数的方法和装置 Active CN109671624B (zh)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US15/783,301 2017-10-13
US15/783,301 US10784174B2 (en) 2017-10-13 2017-10-13 Method and apparatus for determining etch process parameters

Publications (2)

Publication Number Publication Date
CN109671624A CN109671624A (zh) 2019-04-23
CN109671624B true CN109671624B (zh) 2024-02-20

Family

ID=66096010

Family Applications (1)

Application Number Title Priority Date Filing Date
CN201811002112.5A Active CN109671624B (zh) 2017-10-13 2018-08-30 用于确定工艺参数的方法和装置

Country Status (5)

Country Link
US (1) US10784174B2 (zh)
JP (1) JP7189719B2 (zh)
KR (1) KR20190041908A (zh)
CN (1) CN109671624B (zh)
SG (1) SG10201806742VA (zh)

Families Citing this family (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US10921245B2 (en) * 2018-06-08 2021-02-16 Ball Aerospace & Technologies Corp. Method and systems for remote emission detection and rate determination
KR20230130767A (ko) * 2018-11-07 2023-09-12 에이에스엠엘 네델란즈 비.브이. 공정에 대한 보정 결정
KR102279045B1 (ko) 2019-08-08 2021-07-16 연세대학교 산학협력단 공정 제어정보 생성 장치, 방법 및 이를 포함하는 공정 제어장치
US11556117B2 (en) * 2019-10-21 2023-01-17 Applied Materials, Inc. Real-time anomaly detection and classification during semiconductor processing
CN111366692B (zh) * 2020-04-16 2022-11-22 北方工业大学 气体环境参数监测系统及方法
CN112861441B (zh) * 2021-03-10 2023-05-26 中国石油大学(北京) 一种基于循环神经网络的储层吸气剖面的确定方法及装置

Citations (8)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5966586A (en) * 1997-09-26 1999-10-12 Lam Research Corporation Endpoint detection methods in plasma etch processes and apparatus therefor
JP2000195766A (ja) * 1998-12-25 2000-07-14 Toshiba Corp シミュレ―ション装置、シミュレ―ション方法、シミュレ―ションプログラムを格納した記録媒体および固体電子装置の製造方法
US6185472B1 (en) * 1995-12-28 2001-02-06 Kabushiki Kaisha Toshiba Semiconductor device manufacturing method, manufacturing apparatus, simulation method and simulator
US6442736B1 (en) * 2000-10-03 2002-08-27 L'air Liquide Societe Anonyme A Directoire Et Conseil De Surveillance Pour L'etude Et L'expolitation Des Procedes Georges Claude Semiconductor processing system and method for controlling moisture level therein
US6665623B1 (en) * 2002-07-31 2003-12-16 Advanced Micro Devices, Inc. Method and apparatus for optimizing downstream uniformity
US8193007B1 (en) * 2011-02-17 2012-06-05 Tokyo Electron Limited Etch process control using optical metrology and sensor devices
CN107026079A (zh) * 2015-09-23 2017-08-08 朗姆研究公司 用于确定处理速率的方法和装置
CN115356434A (zh) * 2022-07-14 2022-11-18 福建省杭氟电子材料有限公司 六氟丁二烯储放场所的气体监测系统及其监测方法

Family Cites Families (42)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
AT376301B (de) 1982-05-06 1984-11-12 List Hans Verfahren zur kontinuierlichen messung der masse von aeorosolteilchen in gasfoermigen proben sowie vorrichtung zur durchfuehrung des verfahrens
JP3194022B2 (ja) * 1992-07-06 2001-07-30 東京エレクトロン株式会社 プラズマ表面処理の制御装置
US5463460A (en) 1993-07-08 1995-10-31 Applied Materials, Inc. Particle monitoring sensor
JPH07263415A (ja) 1994-03-18 1995-10-13 Fujitsu Ltd 半導体装置の製造方法
US5949537A (en) 1996-04-18 1999-09-07 American Air Liquide Inc. In-line cell for absorption spectroscopy
JP2001516963A (ja) 1997-09-17 2001-10-02 東京エレクトロン株式会社 ガスプラズマ処理を監視しかつ管理するためのシステムおよび方法
JP4387573B2 (ja) 1999-10-26 2009-12-16 東京エレクトロン株式会社 プロセス排気ガスモニタ装置及び方法、半導体製造装置、及び半導体製造装置管理システム及び方法
US6336841B1 (en) 2001-03-29 2002-01-08 Macronix International Co. Ltd. Method of CMP endpoint detection
US7598509B2 (en) 2004-11-01 2009-10-06 Cymer, Inc. Laser produced plasma EUV light source
JP2003077782A (ja) * 2001-08-31 2003-03-14 Toshiba Corp 半導体装置の製造方法
US6616759B2 (en) * 2001-09-06 2003-09-09 Hitachi, Ltd. Method of monitoring and/or controlling a semiconductor manufacturing apparatus and a system therefor
JP3891848B2 (ja) 2002-01-17 2007-03-14 東京エレクトロン株式会社 処理装置および処理方法
NO316113B1 (no) 2002-01-24 2003-12-15 Proanalysis As Fremgangsmåte, probe og system for laserbasert rensemekanisme
US6617175B1 (en) 2002-05-08 2003-09-09 Advanced Technology Materials, Inc. Infrared thermopile detector system for semiconductor process monitoring and control
JP4995419B2 (ja) * 2002-06-28 2012-08-08 東京エレクトロン株式会社 材料プロセスツール及びパフォーマンスデータを用いてプロセスを制御する方法及びシステム
TWI303090B (en) * 2002-08-13 2008-11-11 Lam Res Corp Method for in-situ monitoring of patterned substrate processing using reflectometry
WO2004021405A2 (en) * 2002-08-28 2004-03-11 Tokyo Electron Limited Method and system for dynamic modeling and recipe optimization of semiconductor etch processes
JP3799314B2 (ja) 2002-09-27 2006-07-19 株式会社日立ハイテクノロジーズ エッチング処理装置およびエッチング処理方法
US6927076B2 (en) 2002-10-05 2005-08-09 Taiwan Semiconductor Manufacturing Co., Ltd Method for recovering a plasma process
US20060021633A1 (en) 2004-07-27 2006-02-02 Applied Materials, Inc. Closed loop clean gas control
US7301640B2 (en) 2004-12-21 2007-11-27 Honeywell International, Inc. System and method of condensation reduction in an electrical unit
CA2597457A1 (en) 2005-02-14 2006-08-17 Japan Science And Technology Agency Apparatus for gas concentration measuring according to gas correlation method
US7442274B2 (en) 2005-03-28 2008-10-28 Tokyo Electron Limited Plasma etching method and apparatus therefor
US8092695B2 (en) 2006-10-30 2012-01-10 Applied Materials, Inc. Endpoint detection for photomask etching
US20090316749A1 (en) 2008-06-23 2009-12-24 Matthew Fenton Davis Substrate temperature measurement by infrared transmission in an etch process
US8452733B2 (en) 2008-10-17 2013-05-28 Oracle International Corporation Data decay management
US20100190098A1 (en) 2009-01-27 2010-07-29 Applied Materials, Inc. Infrared endpoint detection for photoresist strip processes
US8304752B2 (en) 2009-04-10 2012-11-06 Cymer, Inc. EUV light producing system and method utilizing an alignment laser
EP2487480B1 (en) 2011-02-09 2014-01-15 ABB Technology AG Optical sensor element and optical sample analysing apparatus
US8531659B2 (en) 2011-03-24 2013-09-10 The Laser Sensing Company Multipass cell using spherical mirrors while achieving dense spot patterns
JP2013084918A (ja) 2011-09-27 2013-05-09 Hitachi Kokusai Electric Inc 基板処理装置、半導体装置の製造方法及びプログラム
US8747686B2 (en) * 2012-01-27 2014-06-10 Applied Materials, Inc. Methods of end point detection for substrate fabrication processes
JP2013161913A (ja) * 2012-02-03 2013-08-19 Tokyo Electron Ltd プラズマ処理装置及びプラズマ処理方法
JP5907442B2 (ja) 2013-01-11 2016-04-26 富士電機株式会社 レーザ式ガス分析計
EP2948761B1 (en) 2013-01-23 2023-06-28 California Institute of Technology Miniature tunable laser spectrometer for detection of a trace gas
WO2014123028A1 (ja) * 2013-02-05 2014-08-14 株式会社日立国際電気 クリーニング方法
JP2014199856A (ja) 2013-03-29 2014-10-23 東京エレクトロン株式会社 縦型熱処理装置の運転方法及び記憶媒体並びに縦型熱処理装置
US10153141B2 (en) * 2014-02-14 2018-12-11 Electronics And Telecommunications Research Institute Apparatus for monitoring gas and plasma process equipment including the same
US20160139038A1 (en) 2014-11-19 2016-05-19 Nxp B.V. Gas sensor
US20170084426A1 (en) 2015-09-23 2017-03-23 Lam Research Corporation Apparatus for determining process rate
JP6523119B2 (ja) 2015-09-28 2019-05-29 株式会社Kokusai Electric 半導体装置の製造方法、基板処理装置およびプログラム
JP6584350B2 (ja) * 2016-03-17 2019-10-02 東京エレクトロン株式会社 制御装置、基板処理システム、基板処理方法及びプログラム

Patent Citations (8)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6185472B1 (en) * 1995-12-28 2001-02-06 Kabushiki Kaisha Toshiba Semiconductor device manufacturing method, manufacturing apparatus, simulation method and simulator
US5966586A (en) * 1997-09-26 1999-10-12 Lam Research Corporation Endpoint detection methods in plasma etch processes and apparatus therefor
JP2000195766A (ja) * 1998-12-25 2000-07-14 Toshiba Corp シミュレ―ション装置、シミュレ―ション方法、シミュレ―ションプログラムを格納した記録媒体および固体電子装置の製造方法
US6442736B1 (en) * 2000-10-03 2002-08-27 L'air Liquide Societe Anonyme A Directoire Et Conseil De Surveillance Pour L'etude Et L'expolitation Des Procedes Georges Claude Semiconductor processing system and method for controlling moisture level therein
US6665623B1 (en) * 2002-07-31 2003-12-16 Advanced Micro Devices, Inc. Method and apparatus for optimizing downstream uniformity
US8193007B1 (en) * 2011-02-17 2012-06-05 Tokyo Electron Limited Etch process control using optical metrology and sensor devices
CN107026079A (zh) * 2015-09-23 2017-08-08 朗姆研究公司 用于确定处理速率的方法和装置
CN115356434A (zh) * 2022-07-14 2022-11-18 福建省杭氟电子材料有限公司 六氟丁二烯储放场所的气体监测系统及其监测方法

Also Published As

Publication number Publication date
JP7189719B2 (ja) 2022-12-14
JP2019075555A (ja) 2019-05-16
KR20190041908A (ko) 2019-04-23
SG10201806742VA (en) 2019-05-30
US20190115267A1 (en) 2019-04-18
US10784174B2 (en) 2020-09-22
CN109671624A (zh) 2019-04-23

Similar Documents

Publication Publication Date Title
CN109671624B (zh) 用于确定工艺参数的方法和装置
US11056322B2 (en) Method and apparatus for determining process rate
CN106548960B (zh) 用于确定处理速率的设备
US10032681B2 (en) Etch metric sensitivity for endpoint detection
US8883024B2 (en) Using vacuum ultra-violet (VUV) data in radio frequency (RF) sources
US7167766B2 (en) Controlling a material processing tool and performance data
WO2001018845A1 (en) Method of determining etch endpoint using principal components analysis of optical emission spectra
WO2005103997A2 (en) Method and system for run-to-run control
US8900470B2 (en) Differential measurements for endpoint signal enhancement
US10636686B2 (en) Method monitoring chamber drift
KR102554542B1 (ko) 가스 배기 부산물 측정 시스템
WO2020106297A1 (en) Method for determining cleaning endpoint
US10930478B2 (en) Apparatus with optical cavity for determining process rate
KR20240016345A (ko) 프로세스 제어를 위한 인 시츄 (in situ) 센서 및 로직

Legal Events

Date Code Title Description
PB01 Publication
PB01 Publication
SE01 Entry into force of request for substantive examination
SE01 Entry into force of request for substantive examination
GR01 Patent grant
GR01 Patent grant