TW201934957A - 電漿處理方法、及電漿處理裝置 - Google Patents

電漿處理方法、及電漿處理裝置 Download PDF

Info

Publication number
TW201934957A
TW201934957A TW108103443A TW108103443A TW201934957A TW 201934957 A TW201934957 A TW 201934957A TW 108103443 A TW108103443 A TW 108103443A TW 108103443 A TW108103443 A TW 108103443A TW 201934957 A TW201934957 A TW 201934957A
Authority
TW
Taiwan
Prior art keywords
etching
pattern
change
etched
film thickness
Prior art date
Application number
TW108103443A
Other languages
English (en)
Other versions
TWI711800B (zh
Inventor
松井都
臼井建人
伊澤勝
桑原謙一
Original Assignee
日商日立全球先端科技股份有限公司
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 日商日立全球先端科技股份有限公司 filed Critical 日商日立全球先端科技股份有限公司
Publication of TW201934957A publication Critical patent/TW201934957A/zh
Application granted granted Critical
Publication of TWI711800B publication Critical patent/TWI711800B/zh

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • H01L21/3065Plasma etching; Reactive-ion etching
    • H01L21/30655Plasma etching; Reactive-ion etching comprising alternated and repeated etching and passivation steps, e.g. Bosch process
    • GPHYSICS
    • G01MEASURING; TESTING
    • G01BMEASURING LENGTH, THICKNESS OR SIMILAR LINEAR DIMENSIONS; MEASURING ANGLES; MEASURING AREAS; MEASURING IRREGULARITIES OF SURFACES OR CONTOURS
    • G01B11/00Measuring arrangements characterised by the use of optical techniques
    • G01B11/02Measuring arrangements characterised by the use of optical techniques for measuring length, width or thickness
    • G01B11/06Measuring arrangements characterised by the use of optical techniques for measuring length, width or thickness for measuring thickness ; e.g. of sheet material
    • G01B11/0616Measuring arrangements characterised by the use of optical techniques for measuring length, width or thickness for measuring thickness ; e.g. of sheet material of coating
    • G01B11/0625Measuring arrangements characterised by the use of optical techniques for measuring length, width or thickness for measuring thickness ; e.g. of sheet material of coating with measurement of absorption or reflection
    • GPHYSICS
    • G01MEASURING; TESTING
    • G01BMEASURING LENGTH, THICKNESS OR SIMILAR LINEAR DIMENSIONS; MEASURING ANGLES; MEASURING AREAS; MEASURING IRREGULARITIES OF SURFACES OR CONTOURS
    • G01B11/00Measuring arrangements characterised by the use of optical techniques
    • G01B11/02Measuring arrangements characterised by the use of optical techniques for measuring length, width or thickness
    • G01B11/06Measuring arrangements characterised by the use of optical techniques for measuring length, width or thickness for measuring thickness ; e.g. of sheet material
    • G01B11/0616Measuring arrangements characterised by the use of optical techniques for measuring length, width or thickness for measuring thickness ; e.g. of sheet material of coating
    • G01B11/0641Measuring arrangements characterised by the use of optical techniques for measuring length, width or thickness for measuring thickness ; e.g. of sheet material of coating with measurement of polarization
    • G01B11/065Measuring arrangements characterised by the use of optical techniques for measuring length, width or thickness for measuring thickness ; e.g. of sheet material of coating with measurement of polarization using one or more discrete wavelengths
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32082Radio frequency generated discharge
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32715Workpiece holder
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32917Plasma diagnostics
    • H01J37/32935Monitoring and controlling tubes by information coming from the object and/or discharge
    • H01J37/32963End-point detection
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • H01L21/3065Plasma etching; Reactive-ion etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • H01L21/308Chemical or electrical treatment, e.g. electrolytic etching using masks
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31105Etching inorganic layers
    • H01L21/31111Etching inorganic layers by chemical means
    • H01L21/31116Etching inorganic layers by chemical means by dry-etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67017Apparatus for fluid treatment
    • H01L21/67063Apparatus for fluid treatment for etching
    • H01L21/67069Apparatus for fluid treatment for etching for drying etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67155Apparatus for manufacturing or treating in a plurality of work-stations
    • H01L21/67161Apparatus for manufacturing or treating in a plurality of work-stations characterized by the layout of the process chambers
    • H01L21/67167Apparatus for manufacturing or treating in a plurality of work-stations characterized by the layout of the process chambers surrounding a central transfer chamber
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67155Apparatus for manufacturing or treating in a plurality of work-stations
    • H01L21/67207Apparatus for manufacturing or treating in a plurality of work-stations comprising a chamber adapted to a particular process
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67242Apparatus for monitoring, sorting or marking
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67242Apparatus for monitoring, sorting or marking
    • H01L21/67253Process monitoring, e.g. flow or thickness monitoring
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L22/00Testing or measuring during manufacture or treatment; Reliability measurements, i.e. testing of parts without further processing to modify the parts as such; Structural arrangements therefor
    • H01L22/20Sequence of activities consisting of a plurality of measurements, corrections, marking or sorting steps
    • H01L22/26Acting in response to an ongoing measurement without interruption of processing, e.g. endpoint detection, in-situ thickness measurement
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J2237/00Discharge tubes exposing object to beam, e.g. for analysis treatment, etching, imaging
    • H01J2237/245Detection characterised by the variable being measured
    • H01J2237/24571Measurements of non-electric or non-magnetic variables
    • H01J2237/24578Spatial variables, e.g. position, distance
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J2237/00Discharge tubes exposing object to beam, e.g. for analysis treatment, etching, imaging
    • H01J2237/32Processing objects by plasma generation
    • H01J2237/33Processing objects by plasma generation characterised by the type of processing
    • H01J2237/332Coating
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J2237/00Discharge tubes exposing object to beam, e.g. for analysis treatment, etching, imaging
    • H01J2237/32Processing objects by plasma generation
    • H01J2237/33Processing objects by plasma generation characterised by the type of processing
    • H01J2237/334Etching
    • H01J2237/3343Problems associated with etching
    • H01J2237/3347Problems associated with etching bottom of holes or trenches
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L22/00Testing or measuring during manufacture or treatment; Reliability measurements, i.e. testing of parts without further processing to modify the parts as such; Structural arrangements therefor
    • H01L22/10Measuring as part of the manufacturing process
    • H01L22/12Measuring as part of the manufacturing process for structural parameters, e.g. thickness, line width, refractive index, temperature, warp, bond strength, defects, optical inspection, electrical measurement of structural dimensions, metallurgic measurement of diffusions

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • General Physics & Mathematics (AREA)
  • Power Engineering (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Chemical & Material Sciences (AREA)
  • Plasma & Fusion (AREA)
  • Analytical Chemistry (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • General Chemical & Material Sciences (AREA)
  • Inorganic Chemistry (AREA)
  • Drying Of Semiconductors (AREA)

Abstract

於反覆做沉積工程與蝕刻工程之循環蝕刻中,高精度地控制圖樣上的沉積膜厚而長期間穩定地蝕刻成期望的形狀。具備:將具有堆積性的反應性氣體導入至處理室,而在被蝕刻基板的被蝕刻圖樣的表面形成堆積層之沉積工程(S1);及除去堆積層與被蝕刻圖樣表面之反應生成物之蝕刻工程(S2);及當交互實施這二個工程來加工微細圖樣之循環蝕刻的形成堆積層之沉積工程時,對被蝕刻圖樣照射光,藉由因被蝕刻圖樣而被反射之特定波長的干涉光的變化,來監視堆積層的膜厚的變化量之工程(S3);由監視到的堆積層的膜厚的變化量而算出之沉積膜厚的指標係和參照資料比較而以會落入規定的範圍內之方式來決定循環蝕刻的下一循環以後的形成堆積層之工程的處理條件。

Description

電漿處理方法、及電漿處理裝置
本發明係電漿處理方法、及電漿處理裝置,特別有關對於控制圖樣上的沉積膜厚之電漿蝕刻而言合適之技術。
由於半導體元件等機能元件製品的微細化,如雙重圖樣化(double patterning)等運用了將薄膜的間隙材(spacer)的側壁使用作為遮罩之多重圖樣化的元件加工技術的開發正在加速。
伴隨此,三維等的元件的加工工程中,將薄膜間隙材等的各種絕緣性的材料作為遮罩之溝加工的技術變得重要。遮罩或閘極絕緣膜、蝕刻阻擋層等的厚度變薄,被要求以原子層等級來控制形狀之高選擇的加工。此外,伴隨元件的三維化,加工複雜的形狀之工程正在增加,如同時加工形成於自晶圓表面相異深度的層之圖樣、或是加工開口尺寸依深度而變化之圖樣等。習知,加工Si或SiO2 等的氧化膜、及Si3 N4 等的氮化膜之電漿蝕刻中,為了對於被蝕刻材料而言具有高選擇比來加工微細的溝或孔洞,已知有使用氟碳化物氣體或氫氟碳化物氣體等堆積性高的混合氣體來蝕刻之技術。相對於此,專利文獻1中,揭示一種於蝕刻中以遮罩上的deposition(以下,沉積)膜的厚度成為容許值內之方式來控制蝕刻參數之方法。
作為因應近年的三維元件的微細化、薄膜化、高選擇化之乾蝕刻技術,正在加速開發一種反覆做藉由堆積性高的氣體來形成堆積膜之沉積工程與進行由離子照射或熱所做的蝕刻之蝕刻工程,而精密地控制形狀來實施加工之循環蝕刻技術。但,像這樣使用了堆積性高的氣體之循環蝕刻中,必須精密地控制沉積工程中的沉積量與蝕刻工程中的離子能量等蝕刻參數來做蝕刻,惟由於蝕刻腔室壁狀態或腔室內的環境的經時變化等,而難以長期間穩定精密地控制沉積工程與蝕刻工程來蝕刻成期望的形狀。
相對於此,作為薄膜的膜厚測定技術,已知如非專利文獻1所示般,有一種在反覆做吸附工程與脫離工程之原子層蝕刻中,藉由橢圓偏振技術(ellipsometry)來測定形成於未形成有圖樣的平坦的晶圓上之吸附膜的厚度與被蝕刻材料的殘膜厚之技術。
先前技術文獻
專利文獻
專利文獻1:日本特開2014-232825號公報
非專利文獻
非專利文獻1:Journal of Vacuum Science & Technology A32,020603(2014)
發明所欲解決之問題
如上述般,隨著近年的三維元件中的圖樣的複雜化與微細化,由於蝕刻腔室內環境的經時變化,循環蝕刻加工中的沉積量、及蝕刻形狀會變化,因此難以長期間穩定地加工期望的形狀之圖樣。循環蝕刻中為了重現性良好地實施加工,必須短時間內精密地監視沉積工程中的沉積量、蝕刻工程的蝕刻量,立即地調整蝕刻參數。
專利文獻1中,是使用朝晶圓上面方向被反射之干涉光、或是吸收光而藉由膜厚測定器來測定堆積於遮罩上的堆積膜厚。本習知技術中,將堆積膜的膜厚假定為堆積膜/遮罩/SiO2 之層積構造,事先求出各自的材料的吸收係數,而測定遮罩上的堆積膜厚。但,此方法中,當遮罩上的堆積膜厚與遮罩的膜厚雙方變化的情形下,必須事先對於複數個遮罩的膜厚作成校正曲線。此外,本習知技術中測定的膜厚為遮罩上的堆積膜厚,當由於在遮罩上過剩地堆積之堆積膜,造成線與間隔圖樣(line-and-space pattern)的間隔部分或孔洞圖樣的開口部被堵塞,因而蝕刻無法進展的情形下,雖能測定堆積膜的膜厚,但難以在圖樣中測定包含圖樣的側壁在內之沉積膜厚,而獲得加工形狀之資訊。此外,在0.5秒~數10秒程度的短時間內反覆做沉積工程與蝕刻工程之循環蝕刻中,難以即時地監視於各循環中的沉積膜厚與蝕刻形狀,而控制蝕刻。
再來,如非專利文獻1記載般,已知有一種藉由反覆做令和被蝕刻材料具有反應性的反應層吸附之工程、及藉由離子照射等來令反應生成物脫離之工程,而以原子層等級的深度精度來實現蝕刻之手法。本習知技術中,是在蝕刻腔室裝入橢圓偏振技術,藉此以原子層等級的精度來測定反應層的厚度與被蝕刻材料的厚度。橢圓偏振技術中,已知是令偏光後的入射光入射至被測定樣本,測定s偏光與p偏光之相位差Δ及s偏光與p偏光之反射振幅比角tanf,藉此測定平坦膜中的膜厚之手法。此手法中,必須測定反射光的相位變化,因此必須取得令偏光變化之多數個光譜,又,必須測定反射後的干涉光的偏光狀態。此外,此手法中,必須假定被蝕刻材料、及反應層的層積構造,而事先求出各自的材料的折射率及消光係數(extinction coefficient),但蝕刻中形成的反應層的光學常數,會因蝕刻氣體或蝕刻條件而變化,因此必須事先求出各種反應層的光學常數。或是,當有未知的光學常數的情形下,最少必須取得和未知數的數量同數以上之光譜,把將樣本結構予以模型化後之模擬結果與干涉光的訊號強度與偏光的變化加以擬合來求出未知數。因此,本習知技術中,難以對於交互地實施沉積工程與蝕刻工程來加工微細圖樣之循環蝕刻的每一工程即時地監視堆積膜厚與被蝕刻材料的膜厚,而反饋至蝕刻工程。此外,對於光學常數為已知的層積膜雖能夠精密地求出膜厚,但難以算出圖樣上的沉積膜或被蝕刻圖樣的加工形狀。
本發明之目的,在於提供一種於循環蝕刻中,監視沉積工程中形成的沉積膜厚、或蝕刻工程中形成的加工形狀,而即時地控制沉積膜厚或加工形狀之電漿處理方法、及電漿處理裝置。

解決問題之技術手段
為達成上述目的,本發明中,提供一種電漿處理方法,係藉由反覆做在被蝕刻膜上形成堆積層之堆積工程、及除去堆積層與被蝕刻膜之反應生成物之除去工程,而蝕刻被蝕刻膜之電漿處理方法,其特徵為,具有:監視工程,使用藉由照射相對於被蝕刻膜的遮罩圖樣而言被偏光了規定的角度之偏光所獲得而藉由遮罩圖樣而被反射之干涉光的變化,來監視堆積層的膜厚的變化量。
此外,為達成上述目的,本發明中,提供一種電漿處理裝置,其特徵為,具備:處理室,供被成膜有被蝕刻膜之試料受到電漿處理;及高頻電源,供給用來生成電漿的高頻電力;及試料台,供試料載置;及光源,照射光;及偏光濾波器,令從光源照射的光相對於被蝕刻膜的遮罩圖樣而言偏光規定的角度;及旋轉機構,控制偏光濾波器的旋轉角度;及控制部,使用照射因藉由旋轉機構而被控制了旋轉角度之偏光濾波器而受到偏光之光所獲得而藉由遮罩圖樣而被反射之干涉光的變化,來求出被蝕刻膜上之堆積層的膜厚的變化量。

發明之效果
按照本發明,可即時地控制蝕刻製程,無需製程變動,能夠穩定地以高精度重現性良好地加工微細圖樣。
以下,利用圖面詳細說明本發明之實施形態。另,所有的圖中,具有同一機能之物標記同一符號,省略其反覆說明。
本發明之蝕刻方法,係藉由反覆做在被蝕刻膜上形成堆積層之堆積工程、及除去堆積層與被蝕刻膜之反應生成物之除去工程,而蝕刻被蝕刻膜之電漿處理方法,進一步具有:監視工程,使用藉由照射相對於被蝕刻膜的遮罩圖樣而言被偏光了規定的角度之偏光所獲得而藉由遮罩圖樣而被反射之干涉光的變化,來監視堆積層的膜厚的變化量,藉此,會即時地調整下一循環的被蝕刻圖樣的加工條件,將被蝕刻基板長期間穩定地做精密地加工。
此外,本發明之電漿處理裝置,具備:處理室,供被成膜有被蝕刻膜之試料受到電漿處理;及高頻電源,供給用來生成電漿的高頻電力;及試料台,供試料載置;及光源,照射光;及偏光濾波器,令從光源照射的光相對於被蝕刻膜的遮罩圖樣而言偏光規定的角度;及旋轉機構,控制偏光濾波器的旋轉角度;及控制部,使用照射因藉由旋轉機構而被控制了旋轉角度之偏光濾波器而受到偏光之光所獲得而藉由遮罩圖樣而被反射之干涉光的變化,來求出被蝕刻膜上之堆積層的膜厚的變化量。
像這樣,本發明之實施形態中,監視部,具有:旋轉機構,以相對於抽出被蝕刻圖樣的佈局資訊的圖樣的規則性而獲得之,測定區域的至少一部分為線狀之被蝕刻圖樣的線方向而言成為垂直方向方式令偏光濾波器旋轉,而令偏光的光入射。再來,控制部,事先從將期望形狀加工中的參照圖樣取得複數個波長的干涉光之時間變化作為參照資料,而抽出沉積工程與蝕刻工程中的和即時的干涉光之強度差例如會成為一定值以上之特定波長的干涉光的訊號強度,又再來,從蝕刻工程結束時的干涉光之訊號強度來算出擬合曲線,由此擬合曲線與沉積工程結束時的訊號強度之差來算出沉積膜厚的指標,或由擬合曲線的斜率來算出蝕刻量的指標,當算出的沉積膜厚的指標、或蝕刻量的指標成為基於依據資料庫中記憶的參照圖樣之參照資料所決定之規定範圍外的情形下,決定下次以後的循環的沉積工程、或蝕刻工程中的處理條件。

實施例1
作為實施例1,說明交互地實施沉積工程與蝕刻工程來加工微細圖樣之循環蝕刻及蝕刻裝置的實施例。圖1為實施例1之包含複數個步驟(S)的循環蝕刻的製程流程的一例示意圖。圖2為圖1之製程流程說明用模型圖,圖2的(a)為沉積工程(S1)、(b)為蝕刻工程(S2)之說明圖。本實施例中,作為被蝕刻圖樣的一例,說明當在作為被蝕刻基板的晶圓1上形成有非蝕刻層4、被蝕刻材料2的層間膜,而在遮罩3形成有被蝕刻圖樣亦即微細的線與間隔圖樣之情形下,將被蝕刻材料2予以蝕刻之情形。另,本實施例中於S2中,說明使用離子的能量來蝕刻之情形,但亦可使用熱處理等其他的能量供給手段來蝕刻。
一旦圖1的製程流程開始,則如圖2的(a)所示般,包含被蝕刻材料2在內,在在形成了遮罩3所造成的圖樣之晶圓1上令沉積膜5形成(S1)。接下來,將藉由電漿等而生成的離子照射至被蝕刻圖樣。如圖2的(b)所示,被蝕刻圖樣當中,在被蝕刻材料2的表面,藉由從離子供給的能量,沉積膜5和被蝕刻材料2會反應,蝕刻進展(S2)。在遮罩3或側壁6等的被蝕刻表面上,藉由沉積膜5而離子的能量喪失,被蝕刻表面的蝕刻受到抑制。本實施例中於S2中,雖揭示使用離子的能量來蝕刻之情形,但如上述般,亦可使用熱處理等其他的能量供給手段來蝕刻。循環蝕刻法中,將此沉積膜5之形成(S1)與蝕刻工程(S2)訂為1循環,將此循環反覆進行必要次數,藉此將被蝕刻材料2蝕刻直到規定的深度。
上述的循環蝕刻法中,1次的沉積工程中令其堆積之沉積膜的厚度薄達數原子層~數十nm,此外,一次的蝕刻工程中蝕刻的蝕刻深度亦薄達數原子層~數十nm,各工程中,必須精密地控制沉積膜厚與蝕刻量。但,圖樣表面的沉積量受到腔室內的環境所造成的影響很大,在元件的生產現場,於處理大量的晶圓之期間,腔室內環境由於附著到蝕刻腔室壁的沉積物等而變化,變得無法獲得期望的加工形狀係成為問題。
鑑此,如圖1之製程流程的S3、S4所示,本實施例之循環蝕刻中,係監視在被蝕刻圖樣表面上堆積的沉積膜5的膜厚的指標、及蝕刻量的指標,來即時地調整沉積工程、或是蝕刻工程的處理條件。也就是說,循環蝕刻中形成堆積層時,將朝特定的角度偏光之光照射至被蝕刻圖樣,藉由因被蝕刻圖樣而被反射的特定波長的干涉光的變化,來監視堆積層的膜厚的變化量,獲得沉積膜的膜厚的指標及蝕刻量的指標,利用該些指標來即時地調整處理條件。
圖3為本實施例中的沉積膜厚監視用的入射光的偏光方向、與被蝕刻圖樣亦即線與間隔圖樣的線方向之關係示意模型圖。本實施例中,為了監視沉積工程(S1)中形成於圖樣上之沉積膜厚,係從被蝕刻圖樣的佈局資訊,事先抽出線與間隔圖樣的線方向9,來作為示意形成於遮罩上的線與間隔圖樣7的規則性之資訊,而基於抽出的線方向9的資訊,以相對於線方向9而言成為垂直方向之方式來令偏光濾波器8旋轉而將偏光後的光予以入射。然後,基於在晶圓上反射的特定波長的干涉光的訊號強度之時間變化,來即時地監視堆積層的膜厚的變化量,算出沉積膜厚的指標及蝕刻量的指標。
例如,圖3中一例所示之關係中,當線方向9為X方向的情形下,偏光濾波器8的方向是令偏光濾波器8旋轉至Y方向來調整。像這樣令光朝線與間隔圖樣的線方向9與偏光濾波器8所造成的偏光方向10成為垂直(90度)之方向入射至線與間隔圖樣,則被反射的干涉光會產生線與間隔圖樣所致之繞射效應,會敏感地因應線與間隔圖樣的截面形狀的變化而變化,因此能夠算出沉積膜的膜厚的指標、及蝕刻量的指標,而能夠利用該些指標來精密地監視控制沉積工程中的沉積膜厚與蝕刻工程中的蝕刻形狀的變化。
圖4揭示用來實現本實施例之循環蝕刻方法的蝕刻裝置的一全體構成。電漿處理裝置亦即蝕刻裝置20,由處理室21、氣體供給部23、監視部28、監視控制部29、裝置控制部36等所構成。具有控制部108、算出部109、資料庫110之監視控制部29,具有複數個機構區塊之裝置控制部36,係各自能夠藉由具備了中央處理部(CPU)或記憶部等之電腦的程式執行來實現,兩者藉由控制線47被連接。此外,裝置控制部36,作用成為氣體控制部37、排氣系統控制部38、高頻控制部39、偏壓控制部40、記憶部41、時鐘42等機能區塊。該些機能區塊,如上述般能夠藉由一台個人電腦(PC)來實現。另,本說明書中,有時將監視控制部29、裝置控制部36統稱而簡稱為控制部。
蝕刻裝置20,設有設於處理室21內之晶圓平台22、及由氣體鋼瓶或閥所構成之氣體供給部23,基於來自裝置控制部36的控制訊號46,沉積工程用氣體24、蝕刻工程用氣體25各自在圖1所示之處理步驟中被供給至處理室21。被供給的處理氣體,係藉由因高頻電源27而生成而施加於高頻施加部31之高頻電力44,在處理室21內被分解成電漿。此外,處理室21內的壓力,藉由連接至處理室21之省略圖示的可變傳導(conductance)閥及真空泵浦,能夠在流通期望的流量的處理氣體之狀態下保持一定。
首先,一旦沉積工程(S1)開始,則基於控制訊號46,沉積工程用氣體24以規定的流量被供給至處理室21。被供給的沉積工程用氣體24藉由施加於高頻施加部31之高頻電力44而成為電漿,被分解成自由基、離子等。藉由電漿而生成的自由基或離子會到達晶圓1的表面,形成圖2的(a)所示之沉積膜5。接下來,一旦蝕刻工程(S2)開始,則蝕刻工程用氣體25會以規定的流量被供給至處理室21。被供給的氣體25藉由施加於高頻施加部31之高頻電力44而成為電漿,被分解成離子或自由基,而被照射至晶圓1表面。此時,當藉由從電漿照射的離子來蝕刻的情形下,例如能夠對晶圓平台22施加從偏壓電源30供給之偏壓45,來控制離子能量。
各工程中使用的氣體的種類,因應進行蝕刻處理之圖樣材料而受到適當選擇。例如,作為沉積工程用氣體24,能夠使用C4 F8 、CH3 F等的氟碳氣體、氫氟碳氣體與稀有氣體、及O2 、CO2 、N2 氣體等的混合氣體。此時,作為蝕刻用氣體25,例如會使用氟碳氣體、氫氟碳氣體與Ar、He、Ne、Kr、Xe等的稀有氣體、與O2 、CO2 、CF4 、N2 、H2 、無水HF、CH4 、CHF3 、NF3 、SF3 等的混合氣體。此外,例如,作為沉積工程用氣體24,當使用了HBr、BCl3 等與稀有氣體、及Cl2 、O2 、CO2 、N2 氣體等的混合氣體之情形下,作為蝕刻用氣體25,例如會使用HBr、BCl3 等與Ar、He、Ne、Kr、Xe等的稀有氣體、與Cl2 、O2 、CO2 、CF4 、N2 、H2 、無水HF、CH4 、CHF3 、NF3 、SF3 等的混合氣體。
接下來,說明本實施例之蝕刻裝置的構成中,以監視部28、監視控制部29來監視循環蝕刻處理中的沉積膜厚的指標、及蝕刻量的指標之方法的一具體例。圖5為晶圓1的缺口(notch)方向、與線與間隔7的線方向9、及偏光濾波器8的旋轉方向之關係說明用圖。首先,作為參照資料,將被圖樣化有期望形狀的參照圖樣之晶圓1導入至處理室21。如同圖的(b)所示,被導入至處理室的晶圓的缺口11的方向、或定向平面(orientation flat)的方向係被設置成事先設定好的方向。晶圓的缺口11、或定向平面的方向與參照圖樣的線方向9之關係,事先作為晶圓資訊而記憶於監視控制部29的資料庫110、或裝置控制部36的記憶部41等。
監視部28中,從監視用光源102產生的光,藉由在旋轉機構103受到旋轉控制之偏光濾波器8而偏光,照射至晶圓1上的參照圖樣上。此時,作為監視用光源102,例如會使用190nm至900nm的波長區域的光。偏光濾波器9,遵照晶圓的線與間隔圖樣7的線方向9之資訊,基於監視控制部29的控制,能夠使用旋轉機構103來旋轉而調整偏光方向10。此處,通過了偏光濾波器8之後的入射光104的偏光方向10,係遵照裝置控制部36的記憶部41中記憶之晶圓資訊,而被調整成相對於參照圖樣的線與間隔圖樣7的線方向9而言成為垂直。
接著,和蝕刻開始同時,晶圓1上的參照圖樣之監視開始。從監視用光源102產生的光藉由偏光濾波器8被偏光,照射至晶圓1上的參照圖樣上。形成於晶圓1上之線與間隔圖樣7的線方向9相對於晶圓的缺口而言通常為X方向、或Y方向,因此偏光濾波器8的偏光方向10,亦可遵照晶圓1的資訊而事先調整成X方向、或Y方向。接下來,藉由參照圖樣而被反射的干涉光105,通過監視部28的檢測部26、光纖106而藉由分光器107被分光。此時,藉由分光器107而被分光的干涉光,亦可令其再度通過將入射光104予以偏光之偏光濾波器8,藉此僅檢測朝一方向偏光的光。監視部28的分光器107中,係測定事先決定好的複數個波長的干涉光的訊號強度之時間變化。由測定出的至少一個特定波長的干涉光的訊號強度之時間變化,藉由監視控制部29的算出部109,算出參照圖樣中的沉積膜厚的指標及蝕刻量的指標。
圖6的(a)中,揭示使用本實施例之構成而使用參照圖樣作為參照資料而取得的干涉光的特定波長的訊號強度(I)之時間變化的一例。本例之情形中,一旦開始蝕刻,則沉積工程(S1)中訊號強度會增加,蝕刻工程(S2)中訊號強度會減少。本實施例中,基於作為此參照資料而取得的干涉光的特定波長的訊號強度(I)之時間變化,藉由圖1的循環蝕刻法的S3及S4,來控制沉積膜5的厚度與蝕刻形狀,穩定地形成期望形狀的圖樣。因此,監視控制部29,事先取得將期望形狀加工中的複數個波長的干涉光之時間變化作為參照資料,而抽出沉積工程(S1)與蝕刻工程(S2)中的干涉光的訊號強度差例如會成為最大之特定波長的干涉光的訊號強度。
此處,說明算出部109所做的製程流程的S3、S4的判定中使用之沉積膜厚的指標及蝕刻量的指標的算出方法之一具體例。另,被算出的各指標係作為參照資料而被記憶於資料庫110。也就是說,預先記憶基於藉由被蝕刻圖樣的參照圖樣而被反射之此特定波長的干涉光的變化而算出之沉積膜厚的指標及蝕刻量的指標來作為參照資料,將由監視到的堆積層的膜厚的變化量而算出之沉積膜厚的指標、或蝕刻量的指標和記憶它們之參照資料加以比較,藉此便能決定下一循環以後的處理條件。
如圖6的(a)所示,來自參照圖樣的干涉光的訊號強度(I)的一例中,一開始沉積工程中訊號強度會增加,蝕刻工程中訊號強度會減少。蝕刻進一步進展,則沉積工程中訊號強度會減少,蝕刻工程中訊號強度會增加。又,吾等發現了蝕刻工程結束時的特定波長的干涉光的訊號強度之擬合曲線111,會和蝕刻工程結束後的被蝕刻圖樣的加工形狀的資訊相依而變化、以及此擬合曲線111與沉積工程結束後的訊號強度的差會和沉積工程中形成的沉積膜的厚度相依。
又,作為沉積膜厚的指標r的一例,如圖6的(b)所示,監視將第(n-1)次的循環的蝕刻工程結束時的訊號強度與第n次的循環的沉積工程結束時的訊號強度之差d藉由擬合曲線的斜率a0 予以標準化而成之值的絕對值|d/ a0 |,來作為沉積膜厚的指標r,其結果,發現了沉積膜厚的指標的變化與蝕刻後的截面形狀之關係。同圖的參照資料,揭示基於參照圖樣而算出的沉積膜厚的指標之時間變化。基於此關係,便能由蝕刻工程結束時的特定波長的干涉光之訊號強度、與接續之沉積工程結束時的特定波長的干涉光之訊號強度之差來算出沉積膜厚的指標。如此一來,監視工程中,便能基於蝕刻工程的干涉光之訊號強度、與沉積工程的干涉光之訊號強度之差,來監視堆積層的膜厚的變化量。
此外,如圖6的(c)所示,發現了當蝕刻工程結束時的訊號強度的擬合曲線111的形狀亦即振幅及周期,換句話說規定的時間中的擬合曲線之訊號強度(振幅)、或擬合曲線的周期偏離了基於參照圖樣之擬合曲線的期望形狀的情形下,擬合曲線的形狀會依截面形狀而變化。其結果,能夠基於特定波長的干涉光之訊號強度的擬合曲線的振幅及周期,來算出蝕刻量的指標。
圖7為被蝕刻圖樣的各種截面形狀的例子說明圖,表1為揭示了由沉積膜厚的指標與蝕刻量的指標來判定之蝕刻圖樣的截面形狀的分類、及沉積工程的處理參數的調整方法、及蝕刻工程的處理參數的調整方法的一例之表。
例如,當參照圖樣的截面形狀為圖7的(a)所示之垂直的圖樣的情形,而沉積膜厚的指標比指定的容許範圍r01 還大,蝕刻量的指標的變化比指定值I1 還小的情形下,實際的蝕刻圖樣的截面形狀,例如能夠判定為圖7的(b)的蝕刻停止。此外,當沉積膜厚的指標比指定的容許範圍r02 還大,蝕刻量的指標的變化例如振幅的變化比指定值I2 還小的情形下,截面形狀例如能夠判定為圖7的(c)的推拔形狀。同樣地,當沉積膜厚的指標比指定的容許範圍r03 還大,蝕刻量的指標的變化例如周期的變化比指定值S3 還大的情形下,截面形狀例如能夠判定為圖7的(d)的線寬增大之截面形狀,而當沉積膜厚的指標比指定的容許範圍r04 還小,蝕刻量的指標的變化例如周期的變化比指定值S4 還小的情形下,截面形狀例如能夠判定為圖7的(e)的線寬減少之截面形狀。再者,當沉積膜厚的指標比指定的容許範圍r05 還小,蝕刻量的指標的變化例如振幅的變化比指定值I5 還小的情形下,截面形狀例如能夠判定為圖7的(f)的垂肩之截面形狀。
鑑此,本實施例之蝕刻裝置20中,藉由監視控制部29,將資料庫110中蓄積的參照圖樣的干涉光光譜、沉積膜厚的指標、及蝕刻量的指標,和實際的監視結果亦即干涉光光譜、沉積膜厚的指標、及蝕刻量的指標加以比較。此比較是由監視控制部29的算出部109進行,當其比較結果例如成為了圖6的容許範圍所示之規定範圍外的情形下,控制部108會調整/決定下次以後的循環的沉積工程(S1)、及蝕刻工程(S2)中的處理條件,而對裝置控制部36發送調整/決定後的處理條件以便控制。
接下來,說明圖4所示之本實施例的蝕刻裝置中,監視堆積層的膜厚的變化量,監視被蝕刻圖樣的沉積膜厚的指標與蝕刻量的指標,而即時地控制蝕刻之情形。首先,作為被蝕刻晶圓,事先被測定而記憶有參照資料之被圖樣化成和參照圖樣同樣的圖樣之晶圓1,會被導入至處理室21。此時,晶圓1的缺口11、或定向平面被設置於事先設定好的場所。晶圓的缺口11、或定向平面的方向與參照圖樣的線方向7之關係,是事先作為晶圓資訊而被記憶於裝置控制部36的記憶部41。偏光濾波器8如同監視參照圖樣時般,遵照記憶部41中記憶的被蝕刻基板亦即晶圓之晶圓資訊,以相對於被蝕刻圖樣的線與間隔圖樣7而言成為垂直之方式,藉由監視控制部29的控制,將偏光濾波器8的旋轉角度藉由旋轉機構103予以調整。
和被蝕刻晶圓的蝕刻開始同時,監視部28所做的被蝕刻圖樣之監視開始。從監視部28的監視用光源102產生的入射光104,如同先前取得了參照資料之情形般,藉由偏光濾波器8受到偏光,而照射至晶圓1上的被蝕刻圖樣上。接下來,藉由晶圓1而被反射的干涉光105,如同取得了參照資料之情形般,通過檢測部26、光纖106而藉由分光器107受到測定。分光器107中,監視事先取得了參照資料時所決定的特定波長的干涉光的訊號強度之時間變化。由監視部28中監視到的特定波長的干涉光之時間變化,算出部109如同先前的參照資料般的算出沉積膜厚的指標、及蝕刻量的指標。
圖8揭示當沉積膜厚的指標成為了規定範圍以外之值的情形下,調整沉積工程製程條件,也就是說監視被蝕刻圖樣的沉積膜厚的指標,而即時地調整沉積工程的時間,以控制期望的蝕刻形狀來蝕刻之情形的一例。圖8的(a)中如同圖6般,揭示特定波長的干涉光的訊號強度(I)之時間變化的一例,圖8的(b)中揭示沉積膜厚的指標r之時間變化的一例。例如,當第n循環的沉積膜的指標r(n)超出r(n)的容許範圍而小的情形下,第(n+1)次的循環的沉積工程的沉積時間t(n+1),例如依下述方式藉由控制部108決定。
圖9中,作為沉積工程的沉積時間的調整方法的一例,揭示參照資料的第n循環的沉積工程內的沉積膜厚的指標r之時間變化。將作為第(n+1)次的沉積膜厚的指標所必要之值訂為r0 (n+1)、r0 (n+1)與r(n)之差訂為Δr、第n次的沉積工程的處理時間訂為t、時間t下的沉積膜厚的指標的斜率訂為b,則第(n+1)次的處理時間t(n+1)能夠決定成(Δr/b+t(n))。像這樣,第(n+1)次的沉積工程的時間受到調整,則第(n+1)次的沉積膜厚的指標r(n+1)成功地控制在第(n+1)次的沉積膜的指標的容許範圍內。此外,如圖8的(b)所示,在第m次,即使沉積膜的指標r(m)超出作為容許範圍而圖示之規定的範圍而變大的情形下,由第m次的沉積工程內之沉積膜厚的指標的時間變化的測定值,來調整第(m+1)次的沉積工程的處理時間,藉此仍成功地將沉積膜厚控制在期望的範圍內。藉由本實施例之構成,以各循環中沉積膜厚的指標成為規定的範圍內之方式,來實施沉積時間之調整,藉此便可重現性良好地持續長期間控制蝕刻形狀予以蝕刻。
像這樣,當沉積膜厚的指標被判定為規定的範圍外的情形下,作為沉積工程的時間以外應調整之處理參數,如表所示,例如為蝕刻氣體的混合比等,能夠設置調整此之手段。例如,當調整堆積性氣體和所有氣體流量之比來作為蝕刻氣體的混合比的情形下,將事先取得和令蝕刻氣體的流量比變化的情形下之沉積膜厚的指標的變化量之關係而得的資料檔案保持於記憶部41,而藉由算出部109算出氣體流量比,該氣體流量比是令其變化測定出的沉積膜厚的指標r與規定值r0 之差Δr份量以使沉積膜厚的指標落入規定範圍。算出的氣體流量比被送至氣體控制部37,而可控制氣體流量比。
另一方面,當沉積膜厚的指標雖為規定的範圍內,但蝕刻量的指標被判定為規定範圍外的情形下,例如藉由調整蝕刻工程的時間、晶圓偏壓、晶圓溫度之手段,便可精密地控制蝕刻形狀。例如,當藉由偏壓控制部40調整蝕刻工程的晶圓偏壓之情形下,能夠將晶圓偏壓如表1的蝕刻工程參數之調整的欄所示般進行微調整,以使蝕刻量的指標成為規定的範圍。藉由算出部109算出的晶圓偏壓45的調整值,被送至偏壓控制部40,而能夠將偏壓電源30調整成規定之值。同樣地,能夠使用高頻控制部39等,來進行蝕刻工程的時間增減所致之微調整。
以上詳述之本實施例中,說明了作為被蝕刻圖樣,例如為線與間隔圖樣之情形。然而,本實施例之構成,未必限定僅對線與間隔圖樣實施。例如,在圖10中被蝕刻圖樣為孔洞圖樣的情形下亦能實施。揭示此情形下的入射光的偏光方向設定方法的一例之說明圖。如圖10所示,當孔洞圖樣120的間距在X方向及Y方向為相異的情形下,亦即孔洞圖樣中的一方的方向的間距比孔洞圖樣中的另一方的方向的間距還小的情形下,係相對於一方的方向的間距而言垂直地偏光,而監視另一方的方向之側的孔洞圖樣的側壁的堆積層之膜厚的變化量。例如,以間距小的方向,亦即X方向和入射光104的偏光方向10成為垂直之方式來調整偏光濾波器8的旋轉,藉此便能精度良好地監視相對於間距大的一方的側壁121而言之沉積膜厚的指標與蝕刻量的指標。
但,當加工微細圖樣時,亦會有必須更精密地控制間距小的方向的側壁形狀122之情形。在該情形下,係以圖樣間距大的方向,亦即Y方向和入射光104的偏光方向10成為垂直之方式來調整偏光濾波器8的旋轉角度,藉此便可達成高精度的監視。或是,令偏光濾波器8藉由旋轉機構103而朝X方向和Y方向高速地交互旋轉,而交互地測定照射朝X方向偏光的入射光時之干涉光的訊號強度和照射朝Y方向偏光的入射光時之干涉光的訊號強度,藉此亦可靈敏度良好地監視孔洞的沉積膜與蝕刻形狀。
藉由本實施例之構成,便可由監視部監視到的堆積層的膜厚的變化量來算出沉積膜厚的指標、或蝕刻量的指標,而基於算出的沉積膜厚的指標、或蝕刻量的指標來決定循環蝕刻的下一循環以後的沉積工程、或是蝕刻工程的處理條件,而以決定好的處理條件來處理被蝕刻基板。

實施例2
接下來,利用圖11說明藉由實施例2之叢聚(cluster)型蝕刻工具(以下,叢聚工具)所構成之電漿處理裝置。圖11為實施例2之叢聚工具的一構成示意圖。作為本叢聚工具之一例,揭示蝕刻裝置的處理室由3室所構成之情形。叢聚工具,除3個處理室外,還具備晶圓匣載入器204、控制用PC205、搬送機器人207、控制部220、及缺口位置調整平台221。本實施例之構成中,能夠將控制用PC、控制部220、及3個監視控制部36統稱為叢聚工具的控制部。
本叢聚工具中,一旦將晶圓匣設置於晶圓載入器204,則基於事先在控制用PC205設定好的處理配方(recipe),處理用之晶圓1從晶圓匣藉由搬送機器人207被搬送至用來將缺口位置對齊之缺口位置調整平台221的旋轉台206上。旋轉台206中,將處理用之晶圓1的缺口位置,遵照處理配方中指定的處理室的資訊,於控制部220的控制之下,將缺口11的方向對齊規定的方向208。一旦缺口的方向對齊結束,處理用之晶圓1便藉由搬送機器人207,從旋轉台206被搬送至載入/載出室212。
一旦晶圓1被搬送至載入/載出室212,則載入/載出室212會被排氣直到規定的真空度。一旦載入/載出室212被排氣直到規定的真空度,則處理用之晶圓1會被搬送至搬送用腔室213。其後,處理用之晶圓1被搬送至指定的處理室內,在處理室內以成為指定的缺口方向之方式被導入。於晶圓1例如被搬送至處理室1內之期間,讀出被輸入至控制用PC205之晶圓上的圖樣資訊。由被讀出的圖樣資訊與處理室內的缺口的方向209、210、211,及事先設定好的監視用的入射光的照射位置之資訊,來抽出入射光的照射位置之測定圖樣的線方向9之資訊,而令偏光濾波器的旋轉角度214、215、216藉由旋轉機構217、218、219各自旋轉成入射光的偏光方向10會和線與間隔圖樣的方向垂直,亦即成為90度之角度。晶圓的缺口的位置209、210、211,入射光的照射位置,偏光濾波器的角度214、215、216之相對位置關係,在處理室1、2、3必須相同。通常,形成於晶圓上之線與間隔圖樣的方向,相對於缺口方向而言為平行或垂直,因此亦可設置偏光濾波器的旋轉方向能夠簡便地朝0°、或是90°方向這2方向旋轉之機構。此外,處理室1、2、3不限於乾蝕刻裝置,亦可為原子層堆積裝置等的成膜裝置。
接下來,講述監視沉積膜厚的圖樣區域為數平方公釐以下程度,而需要做測定位置之微調整的情形下之入射光的照射位置與檢測用光纖的位置之調整方法的一例。本實施例中,光源102、及檢測干涉光之檢測用的光纖106係設置於可將平台位置朝X軸方向與Y方向微調整之可動平台。將處理用晶圓搬送至處理室1後,將晶圓固定於處理室的平台。首先,將從監視用光源102射出的光照射至測定圖樣,藉由測定位置對齊用相機來確認照射位置。作為位置對齊用相機,可使用CCD相機或CMOS相機這樣的小型相機。藉由相機取得的測定位置之圖像被顯示於控制用PC205。當照射位置偏離期望的測定圖樣之情形下,在控制用PC205顯示晶圓資訊的圖樣配置圖,藉由指定入射光目前正在照射的圖樣之照射與期望的測定圖樣之位置來計算位置偏離,便能藉由監視控制部29將XY平台調整至期望的位置。
本實施例之構成中,將監視用光源調整至期望的位置,將入射光104照射至測定圖樣後,利用實施例1記載之方法來即時監視沉積膜厚的指標及蝕刻量的指標,藉此便可重現性良好而長期間穩定地形成期望的形狀的圖樣。
另,本發明並非限定於上述的實施例,還包含各種變形例。例如,上述的實施例是為了更容易理解本發明而詳細說明,未必限定於具備說明之所有構成者。此外,可將某一實施例的構成的一部分置換成其他實施例之構成,又,亦可於某一實施例之構成追加其他實施例之構成。此外,針對各實施例的構成的一部分,可追加其他構成、刪除、置換。再者,上述的各構成、機能、各種控制部等,雖說明了作成實現它們的一部分或全部之程式的例子,但當然亦可藉由以積體電路設計等而以硬體實現它們的一部分或全部。也就是說,控制部的全部或一部分之機能,亦可藉由例如ASIC(Application Specific Integrated Circuit;特定應用積體電路)、FPGA(Field Programmable Gate Array;現場可程式閘陣列)等來實現,而取代程式。
1‧‧‧晶圓
2‧‧‧被蝕刻材料
3‧‧‧遮罩
4‧‧‧非蝕刻層
5‧‧‧沉積膜
6‧‧‧側壁
7‧‧‧線與間隔圖樣
8‧‧‧偏光濾波器
9‧‧‧線方向
10‧‧‧偏光方向
11‧‧‧缺口
20‧‧‧蝕刻裝置
21、201、202、203‧‧‧處理室
22‧‧‧晶圓平台
23‧‧‧氣體供給部
24‧‧‧沉積工程用氣體
25‧‧‧蝕刻工程用氣體
26‧‧‧檢測部
27‧‧‧高頻電源
28‧‧‧監視部
29‧‧‧監視控制部
30‧‧‧偏壓電源
31‧‧‧高頻施加部
36‧‧‧裝置控制部
37‧‧‧氣體控制部
38‧‧‧排氣系統控制部
39‧‧‧高頻控制部
40‧‧‧偏壓控制部
41‧‧‧記憶部
42‧‧‧時鐘
44‧‧‧高頻電力
45‧‧‧偏壓
46‧‧‧控制訊號
47‧‧‧控制線
102‧‧‧光源
103、217、218、219‧‧‧旋轉機構
104‧‧‧入射光
105‧‧‧干涉光
106‧‧‧光纖
107‧‧‧分光器
108、220‧‧‧控制部
109‧‧‧算出部
110‧‧‧資料庫
111‧‧‧擬合曲線
120‧‧‧孔洞圖樣
121‧‧‧間距大的方向的側壁形狀
122‧‧‧間距小的方向的側壁形狀
204‧‧‧晶圓載入器
205‧‧‧控制用PC
206‧‧‧旋轉台
207‧‧‧搬送機器人
208、209、210、211‧‧‧缺口位置
212‧‧‧載入/載出室
213‧‧‧搬送用腔室
214、215、216‧‧‧偏光濾波器的旋轉角度
221‧‧‧缺口位置調整平台
[圖1] 實施例1之蝕刻方法的製程流程的一例示意圖。
[圖2] 實施例1之蝕刻方法的製程流程說明用模型圖。
[圖3] 實施例1之示意監視用光的偏光方向與被蝕刻圖樣的線方向之關係的模型圖。
[圖4] 實施例1之電漿處理裝置亦即蝕刻裝置的全體構成例示意圖。
[圖5] 實施例1之裝置的晶圓的缺口方向、線與間隔圖樣、及偏光濾波器的旋轉方向說明圖。
[圖6] 實施例1之參照資料的沉積膜厚的指標、及蝕刻量的指標的時間變化的一例示意圖。
[圖7] 實施例1之蝕刻量的指標說明用模型圖。
[圖8] 實施例1之沉積膜厚的指標的算出方法、及其監視結果的一例示意圖。
[圖9] 實施例1之沉積工程時間的調整方法的一例說明圖。
[圖10] 實施例1之被蝕刻圖樣為孔洞圖樣的情形下之光的偏光方向的一例說明圖。
[圖11] 實施例2之叢聚型蝕刻工具的全體構成的一例示意圖。

Claims (15)

  1. 一種電漿處理方法,係藉由反覆做在被蝕刻膜上形成堆積層之堆積工程、及除去前述堆積層與前述被蝕刻膜之反應生成物之除去工程,而蝕刻前述被蝕刻膜之電漿處理方法,其特徵為, 具有:監視工程,使用藉由照射相對於前述被蝕刻膜的遮罩圖樣而言被偏光了規定的角度之偏光所獲得而藉由前述遮罩圖樣而被反射之干涉光的變化,來監視前述堆積層的膜厚的變化量。
  2. 如申請專利範圍第1項所述之電漿處理方法,其中, 前述規定的角度,當前述遮罩圖樣為線與間隔圖樣的情形下,為90度。
  3. 如申請專利範圍第1項所述之電漿處理方法,其中, 前述監視工程,基於前述除去工程的前述干涉光之訊號強度與前述堆積工程的前述干涉光之訊號強度之差來監視前述堆積層的膜厚的變化量。
  4. 如申請專利範圍第1項所述之電漿處理方法,其中, 前述監視工程,基於使用前述干涉光的訊號強度而求出之擬合曲線的振幅與周期來監視前述堆積層的膜厚的變化量。
  5. 如申請專利範圍第2項所述之電漿處理方法,其中, 前述監視工程,基於前述除去工程的前述干涉光之訊號強度與前述堆積工程的前述干涉光之訊號強度之差來監視前述堆積層的膜厚的變化量。
  6. 如申請專利範圍第2項所述之電漿處理方法,其中, 前述監視工程,基於使用前述干涉光的訊號強度而求出之擬合曲線的振幅與周期來監視前述堆積層的膜厚的變化量。
  7. 如申請專利範圍第3項所述之電漿處理方法,其中, 前述差,係藉由使用前述除去工程的干涉光的訊號強度而求出之擬合曲線的斜率而被標準化。
  8. 如申請專利範圍第1項所述之電漿處理方法,其中, 前述偏光,當前述遮罩圖樣為孔洞圖樣,而前述孔洞圖樣中的一方的方向的間距比前述孔洞圖樣中的另一方的方向的間距還小之情形下,係相對於前述一方的方向的間距而言垂直地被偏光, 前述監視工程,監視前述另一方的方向之側中的前述孔洞圖樣的側壁的堆積層之膜厚的變化量。
  9. 如申請專利範圍第6項所述之電漿處理方法,其中, 前述偏光,當前述遮罩圖樣為孔洞圖樣,而前述孔洞圖樣中的一方的方向的間距比前述孔洞圖樣中的另一方的方向的間距還小之情形下,係相對於前述一方的方向的間距而言垂直地被偏光, 前述監視工程,監視前述另一方的方向之側中的前述孔洞圖樣的側壁的堆積層之膜厚的變化量。
  10. 如申請專利範圍第7項所述之電漿處理方法,其中, 前述偏光,當前述遮罩圖樣為孔洞圖樣,而前述孔洞圖樣中的一方的方向的間距比前述孔洞圖樣中的另一方的方向的間距還小之情形下,係相對於前述一方的方向的間距而言垂直地被偏光, 前述監視工程,監視前述另一方的方向之側中的前述孔洞圖樣的側壁的堆積層之膜厚的變化量。
  11. 一種電漿處理裝置,其特徵為,具備: 處理室,供被成膜有被蝕刻膜之試料受到電漿處理;及 高頻電源,供給用來生成電漿的高頻電力;及 試料台,供前述試料載置;及 光源,照射光;及 偏光濾波器,令從前述光源照射的光相對於前述被蝕刻膜的遮罩圖樣而言偏光規定的角度;及 旋轉機構,控制前述偏光濾波器的旋轉角度;及 控制部,使用照射因藉由前述旋轉機構而被控制了旋轉角度之前述偏光濾波器而受到偏光之前述光所獲得而藉由前述遮罩圖樣而被反射之干涉光的變化,來求出前述被蝕刻膜上之堆積層的膜厚的變化量。
  12. 如申請專利範圍第11項所述之電漿處理裝置,其中,前述旋轉機構,當前述遮罩圖樣為線與間隔圖樣的情形下,以前述規定的角度成為90度之方式來控制前述偏光濾波器的旋轉角度。
  13. 如申請專利範圍第11項所述之電漿處理裝置,其中, 當進行藉由反覆做在被蝕刻膜上形成堆積層之堆積工程、及除去前述堆積層與前述被蝕刻膜之反應生成物之除去工程,而蝕刻前述被蝕刻膜之電漿處理的情形下,前述控制部,基於前述除去工程的前述干涉光之訊號強度與前述堆積工程的前述干涉光之訊號強度之差來求出前述堆積層的膜厚的變化量。
  14. 如申請專利範圍第11項所述之電漿處理裝置,其中,當進行藉由反覆做在前述被蝕刻膜上形成堆積層之堆積工程、及除去前述堆積層與前述被蝕刻膜之反應生成物之除去工程,而蝕刻前述被蝕刻膜之電漿處理的情形下,前述控制部,基於使用前述干涉光的訊號強度而求出之擬合曲線的振幅與周期來求出前述堆積層的膜厚的變化量。
  15. 如申請專利範圍第13項所述之電漿處理裝置,其中,前述差,為藉由使用前述除去工程的干涉光的訊號強度而求出之擬合曲線的斜率而被標準化之值, 當前述遮罩圖樣為孔洞圖樣,而前述孔洞圖樣中的一方的方向的間距比前述孔洞圖樣中的另一方的方向的間距還小之情形下, 前述旋轉機構,以前述光相對於前述一方的方向的間距而言垂直地被偏光之方式來控制前述偏光濾波器的旋轉角度, 前述控制部,求出前述另一方的方向之側中的前述孔洞圖樣的側壁的堆積層之膜厚的變化量。
TW108103443A 2018-01-31 2019-01-30 電漿處理方法、及電漿處理裝置 TWI711800B (zh)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
WOPCT/JP2018/003165 2018-01-31
??PCT/JP2018/003165 2018-01-31
PCT/JP2018/003165 WO2019003483A1 (ja) 2018-01-31 2018-01-31 プラズマ処理方法、及びプラズマ処理装置

Publications (2)

Publication Number Publication Date
TW201934957A true TW201934957A (zh) 2019-09-01
TWI711800B TWI711800B (zh) 2020-12-01

Family

ID=64741345

Family Applications (1)

Application Number Title Priority Date Filing Date
TW108103443A TWI711800B (zh) 2018-01-31 2019-01-30 電漿處理方法、及電漿處理裝置

Country Status (6)

Country Link
US (1) US10971369B2 (zh)
JP (1) JP6705023B2 (zh)
KR (1) KR102172031B1 (zh)
CN (1) CN110326089B (zh)
TW (1) TWI711800B (zh)
WO (1) WO2019003483A1 (zh)

Families Citing this family (7)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US10832979B2 (en) * 2018-02-22 2020-11-10 Lam Research Corporation Feedback control system for iterative etch process
JP7130524B2 (ja) * 2018-10-26 2022-09-05 東京エレクトロン株式会社 基板処理装置の制御装置および基板処理装置の制御方法
WO2020100339A1 (ja) * 2019-06-26 2020-05-22 株式会社日立ハイテク プラズマ処理方法
JP7236975B2 (ja) * 2019-10-08 2023-03-10 東京エレクトロン株式会社 制御装置、処理装置及び制御方法
WO2021161368A1 (ja) * 2020-02-10 2021-08-19 株式会社日立ハイテク プラズマ処理方法
US20220165593A1 (en) * 2020-11-24 2022-05-26 Applied Materials, Inc. Feedforward control of multi-layer stacks during device fabrication
CN112729133B (zh) * 2020-12-18 2023-02-24 广东省大湾区集成电路与系统应用研究院 一种基于探测光栅衍射强度测量薄膜厚度的方法及装置

Family Cites Families (15)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6390019B1 (en) 1998-06-11 2002-05-21 Applied Materials, Inc. Chamber having improved process monitoring window
JP2001332534A (ja) * 2000-05-25 2001-11-30 Matsushita Electric Ind Co Ltd プラズマ処理方法及びプラズマ処理装置
KR20030038763A (ko) * 2000-09-21 2003-05-16 어플라이드 머티어리얼즈 인코포레이티드 체임버 내부면상의 공정 잔류물의 증착 저감
US6831742B1 (en) * 2000-10-23 2004-12-14 Applied Materials, Inc Monitoring substrate processing using reflected radiation
JP3886953B2 (ja) 2003-10-22 2007-02-28 株式会社東芝 光学式プロセスモニタ装置、光学式プロセスモニタ方法及び半導体装置の製造方法
JP2005302771A (ja) 2004-04-06 2005-10-27 Renesas Technology Corp 半導体デバイスの製造装置および製造方法
KR100704822B1 (ko) * 2005-04-15 2007-04-09 가부시키가이샤 히타치세이사쿠쇼 반도체 장치의 제조 방법
JP5713808B2 (ja) * 2010-07-09 2015-05-07 東京エレクトロン株式会社 プラズマ処理方法及び半導体装置の製造方法
WO2012023537A1 (ja) * 2010-08-19 2012-02-23 株式会社 アルバック ドライエッチング方法及び半導体装置の製造方法
US8440473B2 (en) * 2011-06-06 2013-05-14 Lam Research Corporation Use of spectrum to synchronize RF switching with gas switching during etch
JP2014107520A (ja) * 2012-11-30 2014-06-09 Hitachi High-Technologies Corp プラズマエッチング方法
JP6035606B2 (ja) 2013-04-09 2016-11-30 株式会社日立ハイテクノロジーズ プラズマ処理方法およびプラズマ処理装置
JP6072613B2 (ja) * 2013-05-30 2017-02-01 株式会社日立ハイテクノロジーズ プラズマ処理方法
CN104658882B (zh) * 2013-11-25 2017-09-01 北京北方微电子基地设备工艺研究中心有限责任公司 控制浅沟槽深度微负载效应的刻蚀方法
WO2017159512A1 (ja) * 2016-03-17 2017-09-21 日本ゼオン株式会社 プラズマエッチング方法

Also Published As

Publication number Publication date
KR102172031B1 (ko) 2020-10-30
JP6705023B2 (ja) 2020-06-03
JPWO2019003483A1 (ja) 2019-07-04
CN110326089B (zh) 2023-07-04
KR20190093497A (ko) 2019-08-09
WO2019003483A1 (ja) 2019-01-03
CN110326089A (zh) 2019-10-11
US10971369B2 (en) 2021-04-06
TWI711800B (zh) 2020-12-01
US20190237337A1 (en) 2019-08-01

Similar Documents

Publication Publication Date Title
TWI711800B (zh) 電漿處理方法、及電漿處理裝置
JP7269296B2 (ja) 方法およびエッチングシステム
US10665516B2 (en) Etching method and plasma processing apparatus
TWI459168B (zh) 可調適之處方選擇器
US7993937B2 (en) DC and RF hybrid processing system
JP5621086B2 (ja) 統合又は単独計測を用いる改善されたウェーハ均一性のための処理制御方法及び装置
JP6019043B2 (ja) 光学計測及びセンサ装置を用いるエッチングプロセス制御
EP0768512A2 (en) A process for fabricating a device using polarized light to determine film thickness
CN100382233C (zh) 监测处理室中处理的方法以及基底处理设备
JP2005012218A (ja) エッチング処理をモニタリングする方法およびシステム
JPH02303022A (ja) パターン形成方法
JP2009246368A (ja) 多層/多入力/多出力(mlmimo)モデル及び当該モデルの使用方法
EP2311079A2 (en) Improved metrology through use of feed forward feed sideways and measurement cell re-use
TW202040688A (zh) 電漿處理方法及電漿處理裝置
JP6072613B2 (ja) プラズマ処理方法
WO2020122259A1 (ja) プラズマ処理方法及びプラズマ処理装置
US20060186406A1 (en) Method and system for qualifying a semiconductor etch process
Pugh End point detection in reactive ion etching
TW202341819A (zh) 電漿處理裝置及電漿處理方法