JPWO2019003483A1 - プラズマ処理方法、及びプラズマ処理装置 - Google Patents

プラズマ処理方法、及びプラズマ処理装置 Download PDF

Info

Publication number
JPWO2019003483A1
JPWO2019003483A1 JP2018565896A JP2018565896A JPWO2019003483A1 JP WO2019003483 A1 JPWO2019003483 A1 JP WO2019003483A1 JP 2018565896 A JP2018565896 A JP 2018565896A JP 2018565896 A JP2018565896 A JP 2018565896A JP WO2019003483 A1 JPWO2019003483 A1 JP WO2019003483A1
Authority
JP
Japan
Prior art keywords
etching
plasma processing
pattern
etched
film thickness
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
JP2018565896A
Other languages
English (en)
Other versions
JP6705023B2 (ja
Inventor
都 松井
都 松井
臼井 建人
建人 臼井
伊澤 勝
勝 伊澤
桑原 謙一
謙一 桑原
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Hitachi High Tech Corp
Original Assignee
Hitachi High Technologies Corp
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Hitachi High Technologies Corp filed Critical Hitachi High Technologies Corp
Publication of JPWO2019003483A1 publication Critical patent/JPWO2019003483A1/ja
Application granted granted Critical
Publication of JP6705023B2 publication Critical patent/JP6705023B2/ja
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • H01L21/3065Plasma etching; Reactive-ion etching
    • H01L21/30655Plasma etching; Reactive-ion etching comprising alternated and repeated etching and passivation steps, e.g. Bosch process
    • GPHYSICS
    • G01MEASURING; TESTING
    • G01BMEASURING LENGTH, THICKNESS OR SIMILAR LINEAR DIMENSIONS; MEASURING ANGLES; MEASURING AREAS; MEASURING IRREGULARITIES OF SURFACES OR CONTOURS
    • G01B11/00Measuring arrangements characterised by the use of optical techniques
    • G01B11/02Measuring arrangements characterised by the use of optical techniques for measuring length, width or thickness
    • G01B11/06Measuring arrangements characterised by the use of optical techniques for measuring length, width or thickness for measuring thickness ; e.g. of sheet material
    • G01B11/0616Measuring arrangements characterised by the use of optical techniques for measuring length, width or thickness for measuring thickness ; e.g. of sheet material of coating
    • G01B11/0625Measuring arrangements characterised by the use of optical techniques for measuring length, width or thickness for measuring thickness ; e.g. of sheet material of coating with measurement of absorption or reflection
    • GPHYSICS
    • G01MEASURING; TESTING
    • G01BMEASURING LENGTH, THICKNESS OR SIMILAR LINEAR DIMENSIONS; MEASURING ANGLES; MEASURING AREAS; MEASURING IRREGULARITIES OF SURFACES OR CONTOURS
    • G01B11/00Measuring arrangements characterised by the use of optical techniques
    • G01B11/02Measuring arrangements characterised by the use of optical techniques for measuring length, width or thickness
    • G01B11/06Measuring arrangements characterised by the use of optical techniques for measuring length, width or thickness for measuring thickness ; e.g. of sheet material
    • G01B11/0616Measuring arrangements characterised by the use of optical techniques for measuring length, width or thickness for measuring thickness ; e.g. of sheet material of coating
    • G01B11/0641Measuring arrangements characterised by the use of optical techniques for measuring length, width or thickness for measuring thickness ; e.g. of sheet material of coating with measurement of polarization
    • G01B11/065Measuring arrangements characterised by the use of optical techniques for measuring length, width or thickness for measuring thickness ; e.g. of sheet material of coating with measurement of polarization using one or more discrete wavelengths
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32082Radio frequency generated discharge
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32715Workpiece holder
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32917Plasma diagnostics
    • H01J37/32935Monitoring and controlling tubes by information coming from the object and/or discharge
    • H01J37/32963End-point detection
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • H01L21/3065Plasma etching; Reactive-ion etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • H01L21/308Chemical or electrical treatment, e.g. electrolytic etching using masks
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31105Etching inorganic layers
    • H01L21/31111Etching inorganic layers by chemical means
    • H01L21/31116Etching inorganic layers by chemical means by dry-etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67017Apparatus for fluid treatment
    • H01L21/67063Apparatus for fluid treatment for etching
    • H01L21/67069Apparatus for fluid treatment for etching for drying etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67155Apparatus for manufacturing or treating in a plurality of work-stations
    • H01L21/67161Apparatus for manufacturing or treating in a plurality of work-stations characterized by the layout of the process chambers
    • H01L21/67167Apparatus for manufacturing or treating in a plurality of work-stations characterized by the layout of the process chambers surrounding a central transfer chamber
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67155Apparatus for manufacturing or treating in a plurality of work-stations
    • H01L21/67207Apparatus for manufacturing or treating in a plurality of work-stations comprising a chamber adapted to a particular process
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67242Apparatus for monitoring, sorting or marking
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67242Apparatus for monitoring, sorting or marking
    • H01L21/67253Process monitoring, e.g. flow or thickness monitoring
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J2237/00Discharge tubes exposing object to beam, e.g. for analysis treatment, etching, imaging
    • H01J2237/245Detection characterised by the variable being measured
    • H01J2237/24571Measurements of non-electric or non-magnetic variables
    • H01J2237/24578Spatial variables, e.g. position, distance
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J2237/00Discharge tubes exposing object to beam, e.g. for analysis treatment, etching, imaging
    • H01J2237/32Processing objects by plasma generation
    • H01J2237/33Processing objects by plasma generation characterised by the type of processing
    • H01J2237/332Coating
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J2237/00Discharge tubes exposing object to beam, e.g. for analysis treatment, etching, imaging
    • H01J2237/32Processing objects by plasma generation
    • H01J2237/33Processing objects by plasma generation characterised by the type of processing
    • H01J2237/334Etching
    • H01J2237/3343Problems associated with etching
    • H01J2237/3347Problems associated with etching bottom of holes or trenches
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L22/00Testing or measuring during manufacture or treatment; Reliability measurements, i.e. testing of parts without further processing to modify the parts as such; Structural arrangements therefor
    • H01L22/10Measuring as part of the manufacturing process
    • H01L22/12Measuring as part of the manufacturing process for structural parameters, e.g. thickness, line width, refractive index, temperature, warp, bond strength, defects, optical inspection, electrical measurement of structural dimensions, metallurgic measurement of diffusions
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L22/00Testing or measuring during manufacture or treatment; Reliability measurements, i.e. testing of parts without further processing to modify the parts as such; Structural arrangements therefor
    • H01L22/20Sequence of activities consisting of a plurality of measurements, corrections, marking or sorting steps
    • H01L22/26Acting in response to an ongoing measurement without interruption of processing, e.g. endpoint detection, in-situ thickness measurement

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • General Physics & Mathematics (AREA)
  • Power Engineering (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Computer Hardware Design (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Chemical & Material Sciences (AREA)
  • Plasma & Fusion (AREA)
  • Analytical Chemistry (AREA)
  • Inorganic Chemistry (AREA)
  • General Chemical & Material Sciences (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Drying Of Semiconductors (AREA)

Abstract

デポ工程とエッチング工程を繰り返すサイクルエッチングにおいて、パターン上のデポ膜厚を高精度に制御して長期間安定して所望の形状にエッチングする。堆積性を有する反応性ガスを処理室に導入し、被エッチング基板の被エッチングパターンの表面に堆積層を形成するデポ工程(S1)と、堆積層と被エッチングパターン表面との反応生成物を除去するエッチング工程(S2)と、二つの工程を交互に実施して微細パターンを加工するサイクルエッチングの、堆積層を形成するデポ工程の際、光を被エッチングパターンに照射し、被エッチングパターンによって反射された特定波長の干渉光の変化によって、堆積層の膜厚の変化量をモニタする工程(S3)とを備え、モニタした堆積層の膜厚の変化量から算出したデポ膜厚の指標が、参照データと比較して所定の範囲内に入るよう、サイクルエッチングの次サイクル以降の堆積層を形成する工程の処理条件を決定する。

Description

本発明は、プラズマ処理方法、及びプラズマ処理装置に係り、特にパターン上のデポジション膜厚を制御するプラズマエッチングに好適な技術に関する。
半導体素子等の機能素子製品の微細化により、ダブルパターニング等、薄膜のスペーサの側壁をマスクとして用いるマルチパターニングを用いたデバイス加工技術の開発が加速されている。
これに伴って、三次元等のデバイスの加工工程では、薄膜スペーサ等の各種絶縁性の材料をマスクとした溝加工の技術が重要となっている。マスクやゲート絶縁膜、エッチストッパ等の厚さは薄くなっており、原子層レベルで形状を制御する高選択な加工が要求されている。また、デバイスの三次元化に伴って、ウエハ表面から異なる深さの層に形成されたパターンを同時に加工したり、開口寸法が深さによって変わるパターンを加工したりする等、複雑な形状を加工する工程が増加している。従来、SiやSiO等の酸化膜、及び、Si等の窒化膜を加工するプラズマエッチングでは、被エッチング材料に対して高選択比を持って微細な溝やホールを加工するために、フルオロカーボンガスやハイドロフルオロカーボンガス等の堆積性の高い混合ガスを使用して、エッチングする技術が知られている。これに対して、特許文献1では、エッチング中にマスク上のデポジション(以下、デポ)膜の厚さが許容値内になるようにエッチングパラメータを制御する方法が開示されている。
近年の三次元デバイスの微細化、薄膜化、高選択化に対応したドライエッチング技術として、堆積性の高いガスによって堆積膜を形成するデポ工程とイオン照射や熱によるエッチングを行うエッチング工程を繰返して形状を精密に制御して加工を実施するサイクルエッチング技術の開発が加速している。しかし、このような堆積性の高いガスを用いたサイクルエッチングでは、デポ工程でのデポ量とエッチング工程でのイオンエネルギー等のエッチングパラメータを精密に制御してエッチングする必要があったが、エッチングチャンバー壁状態やチャンバー内の雰囲気の経時変化等によって、長期間安定してデポ工程とエッチング工程を精密に制御して所望の形状にエッチングすることは困難であった。
これに対して、薄膜の膜厚測定技術として、非特許文献1が示すような、吸着工程と脱離工程を繰り返す原子層エッチングにおいて、パターンの形成されていない平坦なウエハ上に形成された吸着膜の厚さと被エッチング材料の残膜厚とをエリプソメトリで測定する技術が知られている。
特開2014―232825号公報
Journal of Vacuum Science & Technology A32, 020603 (2014)
上述したように、近年の三次元デバイスでのパターンの複雑化と微細化とともに、エッチングチャンバー内雰囲気の経時変化によって、サイクルエッチング加工中のデポ量、及びエッチング形状が変化するため、長期間安定して所望の形状のパターンを加工することは困難であった。サイクルエッチングにおいて再現性良く加工を実施するには、デポ工程でのデポ量、エッチング工程のエッチング量を短時間に精密にモニタし、即座にエッチングパラメータを調整する必要がある。
特許文献1では、ウエハ上面方向に反射された干渉光、あるいは、吸収光を用いてマスク上に堆積した堆積膜厚を膜厚測定器で測定している。本従来技術では、堆積膜の膜厚を堆積膜/マスク/SiO2の積層構造を仮定して、それぞれの材料の吸収係数を予め求めておき、マスク上の堆積膜厚を測定している。しかし、この方法では、マスク上の堆積膜厚とマスクの膜厚の両方が変化する場合は、予め複数のマスクの膜厚に対して校正曲線を作成しておく必要があった。また、本従来技術で測定している膜厚はマスク上の堆積膜厚であり、マスク上に過剰に堆積した堆積膜によって、ラインアンドスペースパターンのスペース部分やホールパターンの開口部が塞がれることによって、エッチングが進行しなかった場合には堆積膜の膜厚を測定することはできたが、パターンにおいて、パターンの側壁を含めたデポ膜厚を測定し、加工形状の情報を得ることは困難であった。また、0.5秒〜数10秒程度の短時間でデポ工程とエッチング工程を繰返すサイクルエッチングにおいて、各サイクルにおけるデポ膜厚とエッチング形状をリアルタイムでモニタし、エッチングを制御することは困難であった。
次に、非特許文献1に記載のように、被エッチング材料と反応性を持つ反応層を吸着させる工程と、イオン照射等によって反応生成物を脱離させる工程とを繰返すことで、原子層レベルの深さ精度でエッチングを実現する手法が知られている。本従来技術では、エッチングチャンバーにエリプソメトリを取りつけることで、反応層の厚さと被エッチング材料の厚さを原子層レベルの精度で測定している。エリプソメトリでは、偏光した入射光を被測定サンプルに入射させて、s偏光とp偏光の位相差Δとs偏光とp偏光の反射振幅比角tanψを測定することによって、平坦膜での膜厚を測定する手法として知られている。この手法では、反射光の位相変化を測定する必要があるため、偏光を変化させた多数のスペクトルを取得する必要があり、さらに、反射した干渉光の偏光状態を測定する必要があった。また、この手法では、被エッチング材料、及び反応層の積層構造を仮定して、予め、それぞれの材料の屈折率と消衰係数を求めておく必要があるが、エッチング中に形成される反応層の光学定数は、エッチングガスやエッチング条件によって変化するため、予め、各種反応層の光学定数を予め求めておく必要があった。あるいは、未知の光学定数がある場合には、最低でも未知数の数と同数以上のスペクトルを取得し、サンプル構造をモデル化したシミュレーション結果と干渉光の信号強度と偏光の変化をフィッティングして未知数を求める必要があった。このため、本従来技術では、デポ工程とエッチング工程を交互に実施して微細パターンを加工するサイクルエッチングの工程毎に堆積膜厚と被エッチング材料の膜厚を求めてリアルタイムでモニタし、エッチング工程にフィードバックすることは困難であった。また、光学定数が既知の積層膜に対して膜厚を精密に求めることはできたが、パターン上のデポ膜や被エッチングパターンの加工形状を算出することは困難であった。
本発明の目的は、サイクルエッチングにおいて、デポ工程で形成されるデポ膜厚、又はエッチング工程で形成される加工形状をモニタし、デポ膜厚、又は加工形状をリアルタイムで制御するプラズマ処理方法、及びプラズマ処理装置を提供することにある。
上記目的を達成するため、本発明においては、被エッチング膜上に堆積層を形成する堆積工程と、堆積層と被エッチング膜との反応生成物を除去する除去工程と、を繰り返すことにより被エッチング膜をエッチングするプラズマ処理方法において、被エッチング膜のマスクパターンに対して所定の角度に偏光された偏光を照射することにより得られマスクパターンによって反射された干渉光の変化を用いて堆積層の膜厚の変化量をモニタするモニタ工程を有することを特徴とするプラズマ処理方法を提供する。
また、上記目的を達成するため、本発明においては、被エッチング膜が成膜された試料がプラズマ処理される処理室と、プラズマを生成するための高周波電力を供給する高周波電源と、
試料が載置される試料台と、光を照射する光源と、光源から照射された光を被エッチング膜のマスクパターンに対して所定の角度に偏光させる偏光フィルターと、偏光フィルターの回転角度を制御する回転機構と、回転機構により回転角度を制御された偏光フィルターによって偏光された光を照射することにより得られマスクパターンによって反射された干渉光の変化を用いて被エッチング膜上における堆積層の膜厚の変化量が求められる制御部とを備えることを特徴とするプラズマ処理装置を提供する。
本発明によれば、エッチングプロセスをリアルタイムで制御することが可能となり、プロセス変動なく、安定して微細パターンを高精度に再現性良く加工できる。
実施例1のエッチング方法のプロセスフローの一例を示す図。 実施例1のエッチング方法のプロセスフローを説明するための模式図。 実施例1に係る、モニタ用光の偏光方向と被エッチングパターンのライン方向との関係を示す模式図。 実施例1に係るプラズマ処理装置であるエッチング装置の全体構成例を示す図。 実施例1に係る装置のウエハのノッチ方向、ラインアンドスペースパターン、及び、偏光フィルターの回転方向の説明図。 実施例1に係る参照データのデポ膜厚の指標、及びエッチング量の指標の時間変化の一例を示す図。 実施例1のエッチング量の指標を説明するための模式図。 実施例1のデポ膜厚の指標の算出方法と、そのモニタ結果の一例を示す図。 実施例1のデポ工程時間の調整方法の一例の説明図。 実施例1の被エッチングパターンがホールパターンの場合の光の偏光方向の一例の説明図。 実施例2に係るクラスター型エッチングツールの全体構成の一例を示す図。
以下、本発明の実施の形態を、図面を用いて詳細に説明する。なお、全ての図において、同一の機能を有するものは同一の符号を付け、その繰り返しの説明は省略する。
本発明のエッチング方法は、被エッチング膜上に堆積層を形成する堆積工程と、堆積層と被エッチング膜との反応生成物を除去する除去工程と、を繰り返すことにより被エッチング膜をエッチングするプラズマ処理方法であって、被エッチング膜のマスクパターンに対して所定の角度に偏光された偏光を照射することにより得られマスクパターンによって反射された干渉光の変化を用いて堆積層の膜厚の変化量をモニタするモニタ工程をさらに有することにより、リアルタイムで次サイクルの被エッチングパターンの加工条件を調整して、被エッチング基板を長期間安定して精密に加工する。
また、本発明のプラズマ処理装置は、被エッチング膜が成膜された試料がプラズマ処理される処理室と、プラズマを生成するための高周波電力を供給する高周波電源と、試料が載置される試料台と、光を照射する光源と、光源から照射された光を被エッチング膜のマスクパターンに対して所定の角度に偏光させる偏光フィルターと、偏光フィルターの回転角度を制御する回転機構と、回転機構により回転角度を制御された偏光フィルターによって偏光された光を照射することにより得られマスクパターンによって反射された干渉光の変化を用いて被エッチング膜上における堆積層の膜厚の変化量が求められる制御部を備える。
このように、本発明の実施の形態において、モニタ部は、被エッチングパターンのレイアウト情報のパターンの規則性を抽出して得られる、測定領域の少なくとも一部がライン状の被エッチングパターンのライン方向に対して、垂直方向になるように偏光フィルターを回転させて偏光した光を入射させる回転機構を有する。またさらに、制御部は、予め所望形状を加工中の参照パターンから複数の波長の干渉光の時間変化を参照データとして取得しておき、デポ工程とエッチング工程でのリアルタイムの干渉光との強度差が一定値以上となる特定波長の干渉光の信号強度を抽出し、さらにまた、エッチング工程終了時の干渉光の信号強度からフィッティング曲線を算出し、このフィッティング曲線とデポ工程終了時の信号強度の差からデポ膜厚の指標を算出し、またはフィッティング曲線の傾きからエッチング量の指標を算出し、算出したデポ膜厚の指標、またはエッチング量の指標が、データベースに記憶された参照パターンに基づく参照データに基づき決定された所定範囲外となった場合に、次回以降のサイクルのデポ工程、或いはエッチング工程での処理条件を決定する。
実施例1として、デポ工程とエッチング工程を交互に実施して微細パターンを加工するサイクルエッチング及びエッチング装置の実施例について説明する。図1は実施例1に係る、複数のステップ(S)を含むサイクルエッチングのプロセスフローの一例を示す図である。図2は図1のプロセスフローを説明するための模式図であり、図2の(a)はデポ工程(S1)、(b)はエッチング工程(S2)の説明図である。本実施例では、被エッチングパターンの一例として、被エッチング基板としてのウエハ1上に非エッチング層4、被エッチング材料2の層間膜が形成されており、マスク3に被エッチングパターンである微細なラインアンドスペースパターンが形成されている場合に、被エッチング材料2をエッチングする場合について説明する。なお、本実施例ではS2において、イオンのエネルギーを用いてエッチングする場合について説明するが、熱処理等の他のエネルギー供給手段を用いてエッチングしても良い。
図1のプロセスフローが開始されると、図2の(a)に示すように被エッチング材料2を含み、マスク3によるパターンを形成したウエハ1上にデポ膜5を形成させる(S1)。次に、プラズマ等によって生成されたイオンを被エッチングパターンに照射する。図2の(b)に示すように、被エッチングパターンのうち、被エッチング材料2の表面では、イオンから供給されたエネルギーによってデポ膜5と被エッチング材料2が反応し、エッチングが進行する(S2)。マスク3や側壁6等の、被エッチング表面上では、デポ膜5によってイオンのエネルギーが失われ、被エッチング表面のエッチングが抑制される。本実施例ではS2において、イオンのエネルギーを用いてエッチングする場合について示したが、上述した通り、熱処理等の他のエネルギー供給手段を用いてエッチングしても良い。サイクルエッチング法では、このデポ膜5の形成(S1)とエッチング工程(S2)を1サイクルとし、このサイクルを繰り返し必要回数行うことで、所定の深さまで被エッチング材料2をエッチングする。
上述のサイクルエッチング法では、1回のデポ工程で堆積させるデポ膜の厚さは数原子層〜数十nmと薄く、また、一回のエッチング工程でエッチングするエッチング深さも数原子層〜数十nmと薄く、各工程において、デポ膜厚とエッチング量を精密に制御する必要がある。しかし、パターン表面のデポ量はチャンバー内の雰囲気による影響が大きく、デバイスの生産現場においては、多量のウエハを処理する間にエッチングチャンバー壁へ付着したデポ物等によってチャンバー内雰囲気が変化して、所望の加工形状が得られなくなることが問題となっている。
そこで、図1のプロセスフローのS3、S4に示すように、本実施例のサイクルエッチングでは、被エッチングパターン表面上に堆積したデポ膜5の膜厚の指標と、エッチング量の指標をモニタし、デポ工程、或いはエッチング工程の処理条件をリアルタイムで調整する。すなわち、サイクルエッチングで堆積層を形成する際に、特定の角度に偏光した光を被エッチングパターンに照射し、被エッチングパターンによって反射された特定波長の干渉光の変化によって、堆積層の膜厚の変化量をモニタし、デポ膜の膜厚の指標とエッチング量の指標を得、これらの指標を用いて処理条件をリアルタイムで調整する。
図3は、本実施例におけるデポ膜厚モニタ用の入射光の偏光方向と、被エッチングパターンであるラインアンドスペースパターンのライン方向との関係を示す模式図である。本実施例においては、デポ工程(S1)でパターン上に形成されるデポ膜厚をモニタするため、被エッチングパターンのレイアウト情報から、予めマスク上に形成されたラインアンドスペースパターン7の規則性を示す情報として、ラインアンドスペースパターンのライン方向9を抽出しておき、抽出したライン方向9の情報に基づき、ライン方向9に対して垂直方向になるように偏光フィルター8を回転させて偏光した光を入射する。そして、ウエハ上で反射した特定波長の干渉光の信号強度の時間変化に基づき、堆積層の膜厚の変化量をリアルタイムでモニタし、デポ膜厚の指標とエッチング量の指標を算出する。
例えば、図3に一例を示した関係では、ライン方向9がX方向であった場合、偏光フィルター8の方向はY方向に偏光フィルター8を回転させて調整する。このようにラインアンドスペースパターンのライン方向9と偏光フィルター8による偏光方向10を垂直(90度)となる方向に光をラインアンドスペースパターンに入射させると、反射される干渉光はラインアンドスペースパターンによる回折効果を生じ、ラインアンドスペースパターンの断面形状の変化に敏感に対応して変化するため、デポ膜の膜厚の指標と、エッチング量の指標を算出することができ、これら指標を用いてデポ工程でのデポ膜厚とエッチング工程でのエッチング形状の変化を精密にモニタ制御できるようになる。
図4に、本実施例のサイクルエッチング方法を実現するためのエッチング装置の一全体構成を示す。プラズマ処理装置であるエッチング装置20は、処理室21、ガス供給部23、モニタ部28、モニタ制御部29、装置制御部36などから構成される。制御部108、算出部109、データベース110を有するモニタ制御部29、複数の機構ブロックを有する装置制御部36は、それぞれ中央処理部(CPU)や記憶部等を備えたコンピュータのプログラム実行で実現でき、両者は制御線47で接続されている。また、装置制御部36は、ガス制御部37、排気系制御部38、高周波制御部39、バイアス制御部40、記憶部41、クロック42などの機能ブロックとして機能する。これらの機能ブロックは、上述の通り一台のパーソナルコンピュータ(PC)で実現できる。なお、本明細書において、モニタ制御部29、装置制御部36を総称して、単に制御部と呼ぶ場合がある。
エッチング装置20は、処理室21内に設けられたウエハステージ22と、ガスボンベやバルブからなるガス供給部23が設けられており、装置制御部36からの制御信号46に基づき、デポ工程用ガス24、エッチング工程用ガス25それぞれが、図1に示した処理ステップで処理室21に供給される。供給された処理ガスは、高周波電源27によって生成され、高周波印加部31に印加される高周波電力44によって、処理室21内でプラズマに分解される。また、処理室21内の圧力は、処理室21に接続された、図示を省略した可変コンダクタンスバルブと真空ポンプにより、所望の流量の処理ガスを流した状態で、一定に保つことができる。
まず、デポ工程(S1)が開始すると、制御信号46に基づき、デポ工程用ガス24が所定の流量で処理室21に供給される。供給されたデポ工程用ガス24は高周波印加部31に印加される高周波電力44によってプラズマとなり、ラジカル、イオン等に分解される。プラズマで生成したラジカルやイオンはウエハ1の表面に到達し、図2の(a)に示したデポ膜5を形成する。次に、エッチング工程(S2)が開始すると、エッチング工程用ガス25が所定の流量で処理室21に供給される。供給されたガス25は高周波印加部31に印加される高周波電力44によってプラズマとなり、イオンやラジカルに分解され、ウエハ1表面に照射される。このとき、プラズマから照射されるイオンによってエッチングする場合、例えば、ウエハステージ22にバイアス電源30から供給されるバイアス電圧45を印加して、イオンエネルギーを制御することができる。
各工程で使用するガスの種類は、エッチング処理を行うパターン材料に応じて適宜選択される。例えば、デポ工程用ガス24として、C、CHF等のフロロカーボンガス、ハイドロフロロカーボンガスと希ガス、及び、O、CO、Nガス等の混合ガスを用いることができる。このとき、エッチング用ガス25としては、例えば、フロロカーボンガス、ハイドロフロロカーボンガスとAr、He、Ne、Kr、Xe等の希ガスと、O、CO、CF、N、H、無水HF、CH、CHF、NF3、SF等の混合ガスが用いられる。また、例えば、デポ工程用ガス24として、HBr、BCl等と希ガス、及び、Cl、O、CO、Nガス等の混合ガスを用いた場合、エッチング用ガス25としては、例えば、HBr、BCl等とAr、He、Ne、Kr、Xe等の希ガスと、Cl、O、CO、CF、N、H、無水HF、CH、CHF、NF、SF等の混合ガスが用いられる。
次に、本実施例のエッチング装置の構成において、サイクルエッチング処理中のデポ膜厚の指標、及びエッチング量の指標をモニタ部28、モニタ制御部29でモニタする方法の一具体例を説明する。図5は、ウエハ1のノッチ方向と、ラインアンドスペース7のライン方向9、及び偏光フィルター8の回転方向の関係を説明するための図である。まず、参照データとして所望形状の参照パターンがパターニングされたウエハ1を処理室21に導入する。同図の(b)に示すように、処理室に導入されたウエハのノッチ11の方向、または、オリフラの方向は予め設定された方向に設置される。ウエハのノッチ11、または、オリフラの方向と参照パターンのライン方向9との関係は、予め、ウエハ情報としてモニタ制御部29のデータベース110や、装置制御部36の記憶部41などに記憶しておく。
モニタ部28において、モニタ用光源102から発生した光は、回転機構103で回転制御される偏光フィルター8で偏光し、ウエハ1上の参照パターン上に照射する。このとき、モニタ用光源102として、例えば、190nmから900nmの波長領域の光が用いられる。偏光フィルター9は、ウエハのラインアンドスペースパターン7のライン方向9の情報に従って、モニタ制御部29の制御に基づき、回転機構103を使って回転して偏光方向10を調整することができる。ここで偏光フィルター8を通過した後の入射光104の偏光方向10は、装置制御部36の記憶部41に記憶されたウエハ情報に従って、参照パターンのラインアンドスペースパターン7のライン方向9に対して垂直となるように調整される。
続いて、エッチングが開始されると同時に、ウエハ1上の参照パターンのモニタが開始される。モニタ用光源102から発生した光は偏光フィルター8で偏光されて、ウエハ1上の参照パターン上に照射される。ウエハ1上に形成されるラインアンドスペースパターン7のライン方向9はウエハのノッチに対して通常X方向、または、Y方向であるため、偏光フィルター8の偏光方向10は、ウエハ1の情報に従って、予めX方向、あるいはY方向に調整しておいても良い。次に、参照パターンで反射された干渉光105は、モニタ部28の検出部26、光ファイバー106を通って分光器107で分光される。このとき、分光器107で分光される干渉光は、入射光104を偏光した偏光フィルター8を再度通過させることによって、一方向に偏光した光のみを検出しても良い。モニタ部28の分光器107では、予め決定された複数の波長の干渉光の信号強度の時間変化を測定する。測定された少なくとも一つの特定波長の干渉光の信号強度の時間変化から、モニタ制御部29の算出部109により、参照パターンにおけるデポ膜厚の指標とエッチング量の指標を算出する。
図6の(a)に、本実施例の構成を使って参照パターンを使って参照データとして取得した干渉光の特定波長の信号強度(I)の時間変化の一例を示す。本例の場合では、エッチングを開始すると、デポ工程(S1)で信号強度が増加し、エッチング工程(S2)で信号強度が減少している。本実施例では、この参照データとして取得した干渉光の特定波長の信号強度(I)の時間変化に基づき、図1のサイクルエッチング法のS3とS4で、デポ膜5の厚さとエッチング形状を制御して、安定して所望形状のパターンを形成する。そのため、モニタ制御部29は、予め所望形状を加工中の複数の波長の干渉光の時間変化を参照データとして取得し、デポ工程(S1)とエッチング工程(S2)での干渉光の信号強度差が、例えば最大となる特定波長の干渉光の信号強度を抽出する。
ここで、算出部109によるプロセスフローのS3、S4の判定で用いるデポ膜厚の指標とエッチング量の指標の算出方法の一具体例について説明する。なお、算出された各指標は参照データとして、データベース110に記憶される。すなわち、被エッチングパターンの参照パターンによって反射された、この特定波長の干渉光の変化に基づき算出した、デポ膜厚の指標とエッチング量の指標を参照データとして記憶して置き、モニタした堆積層の膜厚の変化量から算出したデポ膜厚の指標、又はエッチング量の指標と、これら記憶した参照データとを比較することにより、次サイクル以降の処理条件を決定することができる。
図6の(a)に示したように、参照パターンからの干渉光の信号強度(I)の一例において、始めはデポ工程で信号強度が増加し、エッチング工程で信号強度が減少している。さらにエッチングが進行すると、デポ工程で信号強度が減少し、エッチング工程で信号強度が増加している。そして、エッチング工程終了時の特定波長の干渉光の信号強度のフィッティング曲線111は、エッチング工程終了後の被エッチングパターンの加工形状の情報に依存して変化していること、このフィッティング曲線111とデポ工程終了後の信号強度の差はデポ工程で形成されたデポ膜の厚さに依存していることを我々は見出した。
さらに、デポ膜厚の指標rの一例として、図6の(b)に示したように、(n-1)回目のサイクルのエッチング工程終了時の信号強度とn回目のサイクルのデポ工程終了時の信号強度の差dをフィッティング曲線の傾きa0で規格化した値の絶対値|d/a0|を、デポ膜厚の指標rとしてモニタした結果、デポ膜厚の指標の変化とエッチング後の断面形状との関係を見出した。同図の参照データは、参照パターンに基づき算出されたデポ膜厚の指標の時間変化を示す。この関係に基づき、エッチング工程終了時の特定波長の干渉光の信号強度と、引く続くデポ工程終了時の特定波長の干渉光の信号強度の差からデポ膜厚の指標を算出することができる。これにより、モニタ工程において、エッチング工程の干渉光の信号強度と、デポ工程の干渉光の信号強度の差に基づいて、堆積層の膜厚の変化量をモニタすることができる。
また、図6の(c)に示したように、エッチング工程終了時の信号強度のフィッティング曲線111の形状である振幅と周期、言い換えると、所定の時間におけるフィッティング曲線の信号強度(振幅)、またはフィッティング曲線の周期が、参照パターン基づくフィッティング曲線の所望形状からずれた場合に、フィッティング曲線の形状が断面形状によって変化することを見出した。その結果、特定波長の干渉光の信号強度のフィッティング曲線の振幅と周期に基づき、エッチング量の指標を算出することができる。
図7は被エッチングパターンの各種断面形状の例の説明図であり、表1は、デポ膜厚の指標とエッチング量の指標から判定されるエッチングパターンの断面形状のカテゴリーと、デポ工程の処理パラメータの調整方法、及びエッチング工程の処理パラメータの調整方法の一例を示した表である。
Figure 2019003483

例えば、参照パターンの断面形状が図7の(a)に示した垂直なパターンの場合であり、デポ膜厚の指標が指定された許容範囲r01よりも大きく、エッチング量の指標の変化が指定値I1よりも小さい場合、実際のエッチングパターンの断面形状は、例えば、図7の(b)のエッチストップと判定することができる。また、デポ膜厚の指標が指定された許容範囲r02よりも大きく、エッチング量の指標の変化、例えば振幅の変化が指定値I2よりも小さい場合には、断面形状は、例えば、図7の(c)のテーパー形状と判定することができる。同様に、デポ膜厚の指標が指定された許容範囲r03よりも大きく、エッチング量の指標の変化、例えば周期の変化が指定値S3よりも大きい場合には、断面形状は、例えば、図7の(d)の線幅が増大した断面形状と判定することができ、デポ膜厚の指標が指定された許容範囲r04よりも小さく、エッチング量の指標の変化、例えば周期の変化が指定値S4よりも小さい場合には、断面形状は、例えば、図7の(e)の線幅が減少した断面形状と判定することができる。更に、デポ膜厚の指標が指定された許容範囲r05よりも小さく、エッチング量の指標の変化、例えば振幅の変化が指定値I5よりも小さい場合には、断面形状は、例えば、図7の(f)の肩落ちした断面形状と判定することができる。
そこで、本実施例のエッチング装置20では、モニタ制御部29により、データベース110に蓄積された参照パターンの干渉光スペクトル、デポ膜厚の指標、及びエッチング量の指標と、実際のモニタ結果である干渉光スペクトル、デポ膜厚の指標、及びエッチング量の指標を比較する。この比較はモニタ制御部29の算出部109が行い、その比較結果が、例えば図6の許容範囲で示した所定範囲外となった場合に、制御部108が、次回以降のサイクルのデポ工程(S1)、および、エッチング工程(S2)での処理条件を調整・決定し、装置制御部36に調整・決定後の処理条件を送信するよう制御する。
次に、図4に示した本実施例のエッチング装置で、堆積層の膜厚の変化量をモニタし、被エッチングパターンのデポ膜厚の指標とエッチング量の指標をモニタして、リアルタイムでエッチングを制御する場合を説明する。まず、被エッチングウエハとして、予め測定され、参照データが記憶された参照パターンと同様のパターンがパターニングされたウエハ1が処理室21に導入される。このとき、ウエハ1のノッチ11、または、オリフラは予め設定された場所に設置される。ウエハのノッチ11、または、オリフラの方向と参照パターンのライン方向7との関係は、予め、ウエハ情報として装置制御部36の記憶部41に記憶されている。偏光フィルター8は参照パターンをモニタした際と同様に、記憶部41に記憶された被エッチング基板であるウエハのウエハ情報に従って、被エッチングパターンのラインアンドスペースパターン7に対して垂直となるように、モニタ制御部29の制御により、偏光フィルター8の回転角度を回転機構103によって調整する。
被エッチングウエハのエッチングが開始されると同時に、モニタ部28による被エッチングパターンのモニタが開始される。モニタ部28のモニタ用光源102から発生した入射光104は、先に参照データを取得した場合と同様に、偏光フィルター8で偏光されて、ウエハ1上の被エッチングパターン上に照射される。次に、ウエハ1で反射された干渉光105は、参照データを取得した場合と同様に、検出部26、光ファイバー106を通って分光器107で測定される。分光器107では、予め参照データを取得した際に決定された特定波長の干渉光の信号強度の時間変化をモニタする。モニタ部28でモニタされた特定波長の干渉光の時間変化から、算出部109がデポ膜厚の指標と、エッチング量の指標を先の参照データと同様に算出する。
図8に、デポ膜厚の指標が所定範囲以外の値となった場合、デポ工程プロセス条件を調整する、すなわち、被エッチングパターンのデポ膜厚の指標をモニタし、リアルタイムでデポ工程の時間を調整し、所望のエッチング形状に制御してエッチングした場合の一例を示す。図8の(a)には図6と同様、特定波長の干渉光の信号強度(I)の時間変化の一例を示し、図8の(b)には、デポ膜厚の指標rの時間変化の一例を示す。例えば、nサイクル目のデポ膜の指標r(n)がr(n)の許容範囲を超えて小さい場合、(n+1)回目のサイクルのデポ工程のデポ時間t(n+1)は、例えば、次のように制御部108で決定される。
図9に、デポ工程のデポ時間の調整方法の一例として参照データのnサイクル目のデポ工程内のデポ膜厚の指標rの時間変化を示す。(n+1)回目のデポ膜厚の指標として必要な値をr0(n+1)、r0(n+1)とr(n)との差をΔr、n回目のデポ工程の処理時間をt、時間tにおけるデポ膜厚の指標の傾きをbとすると、(n+1)回目の処理時間t(n+1)は(Δr/b + t(n))として決定することができる。このように、(n+1)回目のデポ工程の時間が調整されると、(n+1)回目のデポ膜厚の指標r(n+1)は(n+1)回目のデポ膜の指標の許容範囲内に制御することができた。また、図8の(b)に示すように、m回目にデポ膜の指標r(m)が許容範囲として図示した所定の範囲を超えて大きくなった場合も、m回目のデポ工程内でのデポ膜厚の指標の時間変化の測定値から、(m+1)回目のデポ工程の処理時間を調整することにより、デポ膜厚を所望の範囲内に制御することができた。本実施例の構成により、各サイクルでデポ膜厚の指標が所定の範囲内になるように、デポ時間の調整を実施することによって、再現性良く長期間にわたって、エッチング形状を制御してエッチングすることが可能となった。
このように、デポ膜厚の指標が所定の範囲外と判定された場合は、デポ工程の時間以外に調整すべき処理パラメータとして、氷に示したように、例えば、エッチングガスの混合比等があり、これを調整する手段を設けることができる。例えば、エッチングガスの混合比として堆積性ガスと全てのガス流量との比を調整する場合、エッチングガスの流量比を変化させた場合のデポ膜厚の指標の変化量との関係を予め取得したデータファイルを記憶部41に保持しておき、測定したデポ膜厚の指標rと所定値roとの差Δr分を変化させてデポ膜厚の指標が所定範囲に入るためのガス流量比を算出部109で算出する。算出したガス流量比はガス制御部37に送られて、ガス流量比を制御することが可能となった。
一方、デポ膜厚の指標が所定の範囲内であるのに、エッチング量の指標が所定範囲外と判定された場合には、例えば、エッチング工程の時間、ウエハバイアス電圧、ウエハ温度を調整する手段により、エッチング形状を精密に制御することが可能となった。例えば、バイアス制御部40により、エッチング工程のウエハバイアス電圧を調整する場合、エッチング量の指標が所定の範囲となるようにウエハバイアス電圧を、表1のエッチング工程パラメータの調整の欄に示すように微調整を行うことができる。算出部109で算出されたウエハバイアス電圧45の調整値は、バイアス制御部40に送られ、所定の値にバイアス電源30を調整することができる。同様に、高周波制御部39などを使って、エッチング工程の時間増減による微調整を行うことができる。
以上詳述した本実施例においては、被エッチングパターンとして、例えばラインアンドスペースパターンの場合について説明した。しかしながら、本実施例の構成は、必ずしもラインアンドスペースパターンのみに限定して実施されるものではない。例えば、図10に被エッチングパターンがホールパターンの場合にも実施することができる。この場合の入射光の偏光方向設定方法の一例の説明図を示す。図10に示すように、ホールパターン120のピッチがX方向とY方向で異なる場合、すなわちホールパターンにおける一方の方向のピッチがホールパターンにおける他方の方向のピッチより小さい場合、一方の方向のピッチに対して垂直に偏光され、他方の方向の側におけるホールパターンの側壁の堆積層に係る膜厚の変化量をモニタする。例えば、ピッチの小さい方向、つまり、X方向が入射光104の偏光方向10と垂直となるように偏光フィルター8の回転を調整することにより、ピッチの大きい方の側壁121に対するデポ膜厚の指標とエッチング量の指標を精度良くモニタすることができる。
但し、微細パターンを加工する際には、ピッチの小さい方向の側壁形状122をより精密に制御する必要がある場合もある。その場合には、パターンピッチの大きい方向、つまり、Y方向が入射光104の偏光方向10と垂直となるように、偏光フィルター8の回転角度を調整することにより、高精度なモニタが可能となった。あるいは、偏光フィルター8を回転機構103でX方向とY方向に高速に交互に回転させて、X方向に偏光した入射光を照射したときの干渉光の信号強度とY方向に偏光した入射光を照射したときの干渉光の信号強度を交互に測定することにより、ホールのデポ膜とエッチング形状を感度良くモニタすることも可能である。
本実施例の構成により、モニタ部がモニタした堆積層の膜厚の変化量からデポ膜厚の指標、またはエッチング量の指標を算出し、算出したデポ膜厚の指標、またはエッチング量の指標に基づき、サイクルエッチングの次サイクル以降のデポ工程、あるいはエッチング工程の処理条件を決定し、決定した処理条件で被エッチング基板を処理することが可能となる。
次に、実施例2のクラスター型エッチングツール(以下、クラスタツール)で構成されるプラズマ処理装置について、図11を用いて説明する。図11は実施例2のクラスタツールの一構成を示す図である。本クラスタツールの一例として、エッチング装置の処理室が3室で構成される場合について示す。クラスタツールは、3つの処理室に加え、ウエハカセットローダ204、制御用PC205、搬送ロボット207、制御部220、及びノッチ位置調整ステージ221を備えている。本実施例の構成において、制御用PC、制御部220、及び3つのモニタ制御部36を総称してクラスタツールの制御部と呼ぶことができる。
本クラスタツールにおいて、ウエハカセットをウエハローダ204にセットすると、予め制御用PC205で設定された処理レシピに基づいて、処理用のウエハ1はウエハカセットからノッチ位置合をわせるためのノッチ位置調整ステージ221の回転台206上に搬送ロボット207によって搬送される。回転台206では、処理用のウエハ1のノッチ位置を処理レシピで指定した処理室の情報に従って、制御部220の制御の下、ノッチ11の方向を所定の方向208に合わせる。ノッチの方向合わせが終了したら、処理用のウエハ1は搬送ロボット207によって、回転台206からロードロック室212に搬送される。
ウエハ1がロードロック室212に搬送されると、ロードロック室212は所定の真空度まで排気される。ロードロック室212が所定の真空度に排気されると、処理用のウエハ1は搬送用チャンバー213に搬送される。その後、処理用のウエハ1は指定の処理室内に搬送されて、処理室内で指定されたノッチの向きとなるように導入される。ウエハ1が例えば、処理室1内に搬送される間に、制御用PC205に入力されたウエハ上のパターン情報を読み出す。読みだされたパターン情報と処理室内のノッチの方向209、210、211、及び、予め設定されたモニタ用の入射光の照射位置の情報から、入射光の照射位置における測定パターンのライン方向9の情報を抽出し、入射光の偏光方向10がラインアンドスペースパターンの方向と垂直、すなわち90度となる角度に偏光フィルターの回転角度214、215、216を回転機構217、218、219によってそれぞれ回転させる。ウエハのノッチの位置209、210、211、入射光の照射位置、偏光フィルターの角度214、215、216の相対位置関係は、処理室1、2、3で同じである必要がある。通常、ウエハ上に形成するラインアンドスペースパターンの方向は、ノッチ方向に対して、平行または垂直であるため、偏光フィルターの回転方向は0°、あるいは、90°方向の2方向に簡便に回転できるような機構を設けてもよい。また、処理室1、2、3はドライエッチング装置とは限らず、原子層堆積装置等の成膜装置でも良い。
次に、デポ膜厚をモニタするパターン領域が数平方ミリメートル以下程度であり、測定位置の微調整が必要な場合の入射光の照射位置と検出用ファイバーの位置の調整方法の一例について述べる。本実施例では、光源102、及び、干渉光を検出する検出用の光ファイバー106はステージ位置をX軸方向とY方向に微調整可能な可動ステージに設置されている。処理用ウエハを処理室1に搬送後、ウエハを処理室のステージに固定する。まず、モニタ用光源102から出斜された光を測定パターンに照射し、測定位置合わせ用カメラで照射位置を確認する。位置合わせ用カメラとして、CCDカメラやCMOSカメラの様な小型のカメラを用いると良い。カメラで取得した測定位置の画像は制御用PC205に表示される。照射位置が所望の測定パターンから外れている場合、制御用PC205にウエハ情報のパターン配置図を表示し、入射光が現在照射しているパターンの照射と所望の測定パターンの位置を指定することによって位置ずれを計算し、モニタ制御部29によりXYステージを所望の位置に調整することができる。
本実施例の構成において、モニタ用光源を所望の位置に調整し、入射光104を測定パターンに照射した後は、実施例1に記載した方法を用いてデポ膜厚の指標とエッチング量の指標をリアルタイムモニタすることによって、所望の形状のパターンを再現性良く長期間安定して形成することが可能である。
なお、本発明は上記した実施例に限定されるものではなく、様々な変形例が含まれる。例えば、上記した実施例は本発明のより良い理解のために詳細に説明したのであり、必ずしも説明の全ての構成を備えるものに限定されるものではない。また、ある実施例の構成の一部を他の実施例の構成に置き換えることが可能であり、また、ある実施例の構成に他の実施例の構成を加えることが可能である。また、各実施例の構成の一部について、他の構成の追加・削除・置換をすることが可能である。 更に、上述した各構成、機能、各種の制御部等は、それらの一部又は全部を実現するプログラムを作成する例を説明したが、それらの一部又は全部を例えば集積回路で設計する等によりハードウェアで実現しても良いことは言うまでもない。すなわち、制御部の全部または一部の機能は、プログラムに代え、例えば、ASIC(Application Specific Integrated Circuit)、FPGA(Field Programmable Gate Array)などで実現可能である。
1 ウエハ,2 被エッチング材料,3 マスク,4 非エッチング層,5 デポ膜,6 側壁,7 ラインアンドスペースパターン,8 偏光フィルター,9 ライン方向,10 偏光方向,11 ノッチ,20 エッチング装置,21、201、202、203 処理室,22 ウエハステージ,23 ガス供給部,24 デポ工程用ガス,25 エッチング工程用ガス,26 検出部,27 高周波電源,28 モニタ部,29 モニタ制御部,30 バイアス電源,31 高周波印加部,36 装置制御部,37 ガス制御部,38 排気系制御部,39 高周波制御部,40 バイアス制御部,41 記憶部,42 クロック,44 高周波電力,45 バイアス電圧,46 制御信号,47 制御線,102 光源,103、217、218、219 回転機構,104 入射光,105 干渉光,106 光ファイバー,107 分光器,108、220 制御部,109 算出部,110 データベース,111 フィッティング曲線,120 ホールパターン,121 ピッチの大きい方向の側壁形状,122 ピッチの小さい方向の側壁形状,204 ウエハローダ,205 制御用PC,206 回転台,207 搬送ロボット,208、209、210、211 ノッチ位置,212 ロードロック室,213 搬送用チャンバー,214、215、216 偏光フィルターの回転角度,221 ノッチ位置調整ステージ

Claims (15)

  1. 被エッチング膜上に堆積層を形成する堆積工程と、前記堆積層と前記被エッチング膜との反応生成物を除去する除去工程と、を繰り返すことにより前記被エッチング膜をエッチングするプラズマ処理方法において、
    前記被エッチング膜のマスクパターンに対して所定の角度に偏光された偏光を照射することにより得られ前記マスクパターンによって反射された干渉光の変化を用いて前記堆積層の膜厚の変化量をモニタするモニタ工程を有することを特徴とするプラズマ処理方法。
  2. 請求項1に記載のプラズマ処理方法において、
    前記所定の角度は、前記マスクパターンがラインアンドスペースパターンの場合、90度であることを特徴とするプラズマ処理方法。
  3. 請求項1に記載のプラズマ処理方法において、
    前記モニタ工程は、前記除去工程の前記干渉光の信号強度と前記堆積工程の前記干渉光の信号強度との差に基づいて前記堆積層の膜厚の変化量をモニタすることを特徴とするプラズマ処理方法。
  4. 請求項1に記載のプラズマ処理方法において、
    前記モニタ工程は、前記干渉光の信号強度を用いて求められたフィッティング曲線の振幅と周期に基づいて前記堆積層の膜厚の変化量をモニタすることを特徴とするプラズマ処理方法。
  5. 請求項2に記載のプラズマ処理方法において、
    前記モニタ工程は、前記除去工程の前記干渉光の信号強度と前記堆積工程の前記干渉光の信号強度との差に基づいて前記堆積層の膜厚の変化量をモニタすることを特徴とするプラズマ処理方法。
  6. 請求項2に記載のプラズマ処理方法において、
    前記モニタ工程は、前記干渉光の信号強度を用いて求められたフィッティング曲線の振幅と周期に基づいて前記堆積層の膜厚の変化量をモニタすることを特徴とするプラズマ処理方法。
  7. 請求項3に記載のプラズマ処理方法において、
    前記差は、前記除去工程の干渉光の信号強度を用いて求められたフィッティング曲線の傾きにより規格化されていることを特徴とするプラズマ処理方法。
  8. 請求項1に記載のプラズマ処理方法において、
    前記偏光は、前記マスクパターンがホールパターンであり、前記ホールパターンにおける一方の方向のピッチが前記ホールパターンにおける他方の方向のピッチより小さい場合、前記一方の方向のピッチに対して垂直に偏光され、
    前記モニタ工程は、前記他方の方向の側における前記ホールパターンの側壁の堆積層に係る膜厚の変化量をモニタすることを特徴とするプラズマ処理方法。
  9. 請求項6に記載のプラズマ処理方法において、
    前記偏光は、前記マスクパターンがホールパターンであり、前記ホールパターンにおける一方の方向のピッチが前記ホールパターンにおける他方の方向のピッチより小さい場合、前記一方の方向のピッチに対して垂直に偏光され、
    前記モニタ工程は、前記他方の方向の側における前記ホールパターンの側壁の堆積層に係る膜厚の変化量をモニタすることを特徴とするプラズマ処理方法。
  10. 請求項7に記載のプラズマ処理方法において、
    前記偏光は、前記マスクパターンがホールパターンであり、前記ホールパターンにおける一方の方向のピッチが前記ホールパターンにおける他方の方向のピッチより小さい場合、前記一方の方向のピッチに対して垂直に偏光され、
    前記モニタ工程は、前記他方の方向の側における前記ホールパターンの側壁の堆積層に係る膜厚の変化量をモニタすることを特徴とするプラズマ処理方法。
  11. 被エッチング膜が成膜された試料がプラズマ処理される処理室と、
    プラズマを生成するための高周波電力を供給する高周波電源と、
    前記試料が載置される試料台と、
    光を照射する光源と、
    前記光源から照射された光を前記被エッチング膜のマスクパターンに対して所定の角度に偏光させる偏光フィルターと、
    前記偏光フィルターの回転角度を制御する回転機構と、
    前記回転機構により回転角度を制御された前記偏光フィルターによって偏光された前記光を照射することにより得られ前記マスクパターンによって反射された干渉光の変化を用いて前記被エッチング膜上における堆積層の膜厚の変化量が求められる制御部とを備えることを特徴とするプラズマ処理装置。
  12. 請求項11に記載のプラズマ処理装置において、前記回転機構は、前記マスクパターンがラインアンドスペースパターンの場合、前記所定の角度が90度となるように前記偏光フィルターの回転角度を制御することを特徴とするプラズマ処理装置。
  13. 請求項11に記載のプラズマ処理装置において、
    前記被エッチング膜上に堆積層を形成する堆積工程と、前記堆積層と前記被エッチング膜との反応生成物を除去する除去工程と、を繰り返すことにより前記被エッチング膜をエッチングするプラズマ処理が行われる場合、前記制御部は、前記除去工程の前記干渉光の信号強度と前記堆積工程の前記干渉光の信号強度との差に基づいて前記堆積層の膜厚の変化量を求めることを特徴とするプラズマ処理装置。
  14. 請求項11に記載のプラズマ処理装置において、前記被エッチング膜上に堆積層を形成する堆積工程と、前記堆積層と前記被エッチング膜との反応生成物を除去する除去工程と、を繰り返すことにより前記被エッチング膜をエッチングするプラズマ処理が行われる場合、前記制御部は、前記干渉光の信号強度を用いて求められたフィッティング曲線の振幅と周期に基づいて前記堆積層の膜厚の変化量を求める
    ことを特徴とするプラズマ処理装置。
  15. 請求項13に記載のプラズマ処理装置において、前記差は、前記除去工程の干渉光の信号強度を用いて求められたフィッティング曲線の傾きにより規格化された値であり、
    前記マスクパターンがホールパターンであり、前記ホールパターンにおける一方の方向のピッチが前記ホールパターンにおける他方の方向のピッチより小さい場合、
    前記回転機構は、前記一方の方向のピッチに対して垂直に前記光が偏光されるように前記偏光フィルターの回転角度を制御し、
    前記制御部は、前記他方の方向の側における前記ホールパターンの側壁の堆積層に係る膜厚の変化量を求める
    ことを特徴とするプラズマ処理装置。
JP2018565896A 2018-01-31 2018-01-31 プラズマ処理方法、及びプラズマ処理装置 Active JP6705023B2 (ja)

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
PCT/JP2018/003165 WO2019003483A1 (ja) 2018-01-31 2018-01-31 プラズマ処理方法、及びプラズマ処理装置

Publications (2)

Publication Number Publication Date
JPWO2019003483A1 true JPWO2019003483A1 (ja) 2019-07-04
JP6705023B2 JP6705023B2 (ja) 2020-06-03

Family

ID=64741345

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2018565896A Active JP6705023B2 (ja) 2018-01-31 2018-01-31 プラズマ処理方法、及びプラズマ処理装置

Country Status (6)

Country Link
US (1) US10971369B2 (ja)
JP (1) JP6705023B2 (ja)
KR (1) KR102172031B1 (ja)
CN (1) CN110326089B (ja)
TW (1) TWI711800B (ja)
WO (1) WO2019003483A1 (ja)

Families Citing this family (7)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US10832979B2 (en) * 2018-02-22 2020-11-10 Lam Research Corporation Feedback control system for iterative etch process
JP7130524B2 (ja) * 2018-10-26 2022-09-05 東京エレクトロン株式会社 基板処理装置の制御装置および基板処理装置の制御方法
US11658040B2 (en) * 2019-06-26 2023-05-23 Hitachi High-Tech Corporation Plasma processing method
JP7236975B2 (ja) * 2019-10-08 2023-03-10 東京エレクトロン株式会社 制御装置、処理装置及び制御方法
CN113544823B (zh) * 2020-02-10 2024-04-12 株式会社日立高新技术 等离子处理方法
US20220165593A1 (en) * 2020-11-24 2022-05-26 Applied Materials, Inc. Feedforward control of multi-layer stacks during device fabrication
CN112729133B (zh) * 2020-12-18 2023-02-24 广东省大湾区集成电路与系统应用研究院 一种基于探测光栅衍射强度测量薄膜厚度的方法及装置

Citations (7)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2001332534A (ja) * 2000-05-25 2001-11-30 Matsushita Electric Ind Co Ltd プラズマ処理方法及びプラズマ処理装置
JP2004526293A (ja) * 2000-09-21 2004-08-26 アプライド マテリアルズ インコーポレイテッド チャンバ内の表面上へのプロセス残留分の堆積を減少させる装置及び方法
JP2005127797A (ja) * 2003-10-22 2005-05-19 Toshiba Corp 光学式プロセスモニタ装置、光学式プロセスモニタ方法及び半導体装置の製造方法
WO2012023537A1 (ja) * 2010-08-19 2012-02-23 株式会社 アルバック ドライエッチング方法及び半導体装置の製造方法
JP2014204050A (ja) * 2013-04-09 2014-10-27 株式会社日立ハイテクノロジーズ プラズマ処理方法およびプラズマ処理装置
JP2014232825A (ja) * 2013-05-30 2014-12-11 株式会社日立ハイテクノロジーズ プラズマ処理方法
WO2017159512A1 (ja) * 2016-03-17 2017-09-21 日本ゼオン株式会社 プラズマエッチング方法

Family Cites Families (8)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6390019B1 (en) 1998-06-11 2002-05-21 Applied Materials, Inc. Chamber having improved process monitoring window
US6831742B1 (en) * 2000-10-23 2004-12-14 Applied Materials, Inc Monitoring substrate processing using reflected radiation
JP2005302771A (ja) * 2004-04-06 2005-10-27 Renesas Technology Corp 半導体デバイスの製造装置および製造方法
KR100704822B1 (ko) * 2005-04-15 2007-04-09 가부시키가이샤 히타치세이사쿠쇼 반도체 장치의 제조 방법
JP5713808B2 (ja) * 2010-07-09 2015-05-07 東京エレクトロン株式会社 プラズマ処理方法及び半導体装置の製造方法
US8440473B2 (en) * 2011-06-06 2013-05-14 Lam Research Corporation Use of spectrum to synchronize RF switching with gas switching during etch
JP2014107520A (ja) * 2012-11-30 2014-06-09 Hitachi High-Technologies Corp プラズマエッチング方法
CN104658882B (zh) * 2013-11-25 2017-09-01 北京北方微电子基地设备工艺研究中心有限责任公司 控制浅沟槽深度微负载效应的刻蚀方法

Patent Citations (7)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2001332534A (ja) * 2000-05-25 2001-11-30 Matsushita Electric Ind Co Ltd プラズマ処理方法及びプラズマ処理装置
JP2004526293A (ja) * 2000-09-21 2004-08-26 アプライド マテリアルズ インコーポレイテッド チャンバ内の表面上へのプロセス残留分の堆積を減少させる装置及び方法
JP2005127797A (ja) * 2003-10-22 2005-05-19 Toshiba Corp 光学式プロセスモニタ装置、光学式プロセスモニタ方法及び半導体装置の製造方法
WO2012023537A1 (ja) * 2010-08-19 2012-02-23 株式会社 アルバック ドライエッチング方法及び半導体装置の製造方法
JP2014204050A (ja) * 2013-04-09 2014-10-27 株式会社日立ハイテクノロジーズ プラズマ処理方法およびプラズマ処理装置
JP2014232825A (ja) * 2013-05-30 2014-12-11 株式会社日立ハイテクノロジーズ プラズマ処理方法
WO2017159512A1 (ja) * 2016-03-17 2017-09-21 日本ゼオン株式会社 プラズマエッチング方法

Also Published As

Publication number Publication date
JP6705023B2 (ja) 2020-06-03
TW201934957A (zh) 2019-09-01
CN110326089B (zh) 2023-07-04
CN110326089A (zh) 2019-10-11
US10971369B2 (en) 2021-04-06
KR102172031B1 (ko) 2020-10-30
KR20190093497A (ko) 2019-08-09
WO2019003483A1 (ja) 2019-01-03
US20190237337A1 (en) 2019-08-01
TWI711800B (zh) 2020-12-01

Similar Documents

Publication Publication Date Title
JP6705023B2 (ja) プラズマ処理方法、及びプラズマ処理装置
US10665516B2 (en) Etching method and plasma processing apparatus
US8193007B1 (en) Etch process control using optical metrology and sensor devices
KR20220104121A (ko) 엔드포인트 검출을 위한 에칭 계측 민감도
JP5621086B2 (ja) 統合又は単独計測を用いる改善されたウェーハ均一性のための処理制御方法及び装置
JPH02303022A (ja) パターン形成方法
JPH09283585A (ja) デバイス製造方法
CN111801775B (zh) 等离子处理方法以及等离子处理装置
JP6072613B2 (ja) プラズマ処理方法
JP5022708B2 (ja) 現場基板温度モニター法及び装置
US8173450B1 (en) Method of designing an etch stage measurement system
WO2004036638A1 (ja) 半導体装置の製造方法
Pugh End point detection in reactive ion etching
JP7254971B2 (ja) プラズマ処理装置及びプラズマ処理方法
Klimecky Plasma density control for reactivate ion etch variation reduction in industrial microelectronics
Stutzman Correlation of process with topography evolution during reactive ion etching

Legal Events

Date Code Title Description
A621 Written request for application examination

Free format text: JAPANESE INTERMEDIATE CODE: A621

Effective date: 20181214

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20200212

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20200407

TRDD Decision of grant or rejection written
A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

Effective date: 20200428

A61 First payment of annual fees (during grant procedure)

Free format text: JAPANESE INTERMEDIATE CODE: A61

Effective date: 20200513

R150 Certificate of patent or registration of utility model

Ref document number: 6705023

Country of ref document: JP

Free format text: JAPANESE INTERMEDIATE CODE: R150