KR20190093497A - 플라스마 처리 방법, 및 플라스마 처리 장치 - Google Patents

플라스마 처리 방법, 및 플라스마 처리 장치 Download PDF

Info

Publication number
KR20190093497A
KR20190093497A KR1020187036680A KR20187036680A KR20190093497A KR 20190093497 A KR20190093497 A KR 20190093497A KR 1020187036680 A KR1020187036680 A KR 1020187036680A KR 20187036680 A KR20187036680 A KR 20187036680A KR 20190093497 A KR20190093497 A KR 20190093497A
Authority
KR
South Korea
Prior art keywords
etching
pattern
film thickness
change
depot
Prior art date
Application number
KR1020187036680A
Other languages
English (en)
Other versions
KR102172031B1 (ko
Inventor
미야코 마츠이
다테히토 우스이
마사루 이자와
겐이치 구와하라
Original Assignee
가부시키가이샤 히다치 하이테크놀로지즈
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 가부시키가이샤 히다치 하이테크놀로지즈 filed Critical 가부시키가이샤 히다치 하이테크놀로지즈
Publication of KR20190093497A publication Critical patent/KR20190093497A/ko
Application granted granted Critical
Publication of KR102172031B1 publication Critical patent/KR102172031B1/ko

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • H01L21/3065Plasma etching; Reactive-ion etching
    • H01L21/30655Plasma etching; Reactive-ion etching comprising alternated and repeated etching and passivation steps, e.g. Bosch process
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • H01L21/3065Plasma etching; Reactive-ion etching
    • GPHYSICS
    • G01MEASURING; TESTING
    • G01BMEASURING LENGTH, THICKNESS OR SIMILAR LINEAR DIMENSIONS; MEASURING ANGLES; MEASURING AREAS; MEASURING IRREGULARITIES OF SURFACES OR CONTOURS
    • G01B11/00Measuring arrangements characterised by the use of optical techniques
    • G01B11/02Measuring arrangements characterised by the use of optical techniques for measuring length, width or thickness
    • G01B11/06Measuring arrangements characterised by the use of optical techniques for measuring length, width or thickness for measuring thickness ; e.g. of sheet material
    • G01B11/0616Measuring arrangements characterised by the use of optical techniques for measuring length, width or thickness for measuring thickness ; e.g. of sheet material of coating
    • G01B11/0625Measuring arrangements characterised by the use of optical techniques for measuring length, width or thickness for measuring thickness ; e.g. of sheet material of coating with measurement of absorption or reflection
    • GPHYSICS
    • G01MEASURING; TESTING
    • G01BMEASURING LENGTH, THICKNESS OR SIMILAR LINEAR DIMENSIONS; MEASURING ANGLES; MEASURING AREAS; MEASURING IRREGULARITIES OF SURFACES OR CONTOURS
    • G01B11/00Measuring arrangements characterised by the use of optical techniques
    • G01B11/02Measuring arrangements characterised by the use of optical techniques for measuring length, width or thickness
    • G01B11/06Measuring arrangements characterised by the use of optical techniques for measuring length, width or thickness for measuring thickness ; e.g. of sheet material
    • G01B11/0616Measuring arrangements characterised by the use of optical techniques for measuring length, width or thickness for measuring thickness ; e.g. of sheet material of coating
    • G01B11/0641Measuring arrangements characterised by the use of optical techniques for measuring length, width or thickness for measuring thickness ; e.g. of sheet material of coating with measurement of polarization
    • G01B11/065Measuring arrangements characterised by the use of optical techniques for measuring length, width or thickness for measuring thickness ; e.g. of sheet material of coating with measurement of polarization using one or more discrete wavelengths
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32082Radio frequency generated discharge
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32715Workpiece holder
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32917Plasma diagnostics
    • H01J37/32935Monitoring and controlling tubes by information coming from the object and/or discharge
    • H01J37/32963End-point detection
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • H01L21/308Chemical or electrical treatment, e.g. electrolytic etching using masks
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31105Etching inorganic layers
    • H01L21/31111Etching inorganic layers by chemical means
    • H01L21/31116Etching inorganic layers by chemical means by dry-etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67017Apparatus for fluid treatment
    • H01L21/67063Apparatus for fluid treatment for etching
    • H01L21/67069Apparatus for fluid treatment for etching for drying etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67155Apparatus for manufacturing or treating in a plurality of work-stations
    • H01L21/67161Apparatus for manufacturing or treating in a plurality of work-stations characterized by the layout of the process chambers
    • H01L21/67167Apparatus for manufacturing or treating in a plurality of work-stations characterized by the layout of the process chambers surrounding a central transfer chamber
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67155Apparatus for manufacturing or treating in a plurality of work-stations
    • H01L21/67207Apparatus for manufacturing or treating in a plurality of work-stations comprising a chamber adapted to a particular process
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67242Apparatus for monitoring, sorting or marking
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67242Apparatus for monitoring, sorting or marking
    • H01L21/67253Process monitoring, e.g. flow or thickness monitoring
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J2237/00Discharge tubes exposing object to beam, e.g. for analysis treatment, etching, imaging
    • H01J2237/245Detection characterised by the variable being measured
    • H01J2237/24571Measurements of non-electric or non-magnetic variables
    • H01J2237/24578Spatial variables, e.g. position, distance
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J2237/00Discharge tubes exposing object to beam, e.g. for analysis treatment, etching, imaging
    • H01J2237/32Processing objects by plasma generation
    • H01J2237/33Processing objects by plasma generation characterised by the type of processing
    • H01J2237/332Coating
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J2237/00Discharge tubes exposing object to beam, e.g. for analysis treatment, etching, imaging
    • H01J2237/32Processing objects by plasma generation
    • H01J2237/33Processing objects by plasma generation characterised by the type of processing
    • H01J2237/334Etching
    • H01J2237/3343Problems associated with etching
    • H01J2237/3347Problems associated with etching bottom of holes or trenches
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L22/00Testing or measuring during manufacture or treatment; Reliability measurements, i.e. testing of parts without further processing to modify the parts as such; Structural arrangements therefor
    • H01L22/10Measuring as part of the manufacturing process
    • H01L22/12Measuring as part of the manufacturing process for structural parameters, e.g. thickness, line width, refractive index, temperature, warp, bond strength, defects, optical inspection, electrical measurement of structural dimensions, metallurgic measurement of diffusions
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L22/00Testing or measuring during manufacture or treatment; Reliability measurements, i.e. testing of parts without further processing to modify the parts as such; Structural arrangements therefor
    • H01L22/20Sequence of activities consisting of a plurality of measurements, corrections, marking or sorting steps
    • H01L22/26Acting in response to an ongoing measurement without interruption of processing, e.g. endpoint detection, in-situ thickness measurement

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • General Physics & Mathematics (AREA)
  • Power Engineering (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Computer Hardware Design (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Chemical & Material Sciences (AREA)
  • Plasma & Fusion (AREA)
  • Analytical Chemistry (AREA)
  • Inorganic Chemistry (AREA)
  • General Chemical & Material Sciences (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Drying Of Semiconductors (AREA)

Abstract

디포 공정과 에칭 공정을 반복하는 사이클 에칭에 있어서, 패턴 상의 디포막 두께를 고정밀도로 제어해서 장기간 안정적으로 원하는 형상으로 에칭한다. 퇴적성을 갖는 반응성 가스를 처리실에 도입하고, 피(被)에칭 기판의 피에칭 패턴의 표면에 퇴적층을 형성하는 디포 공정(S1)과, 퇴적층과 피에칭 패턴 표면의 반응 생성물을 제거하는 에칭 공정(S2)과, 두 개의 공정을 번갈아 실시하여 미세 패턴을 가공하는 사이클 에칭의, 퇴적층을 형성하는 디포 공정시, 광을 피에칭 패턴에 조사(照射)하고, 피에칭 패턴에 의해 반사된 특정 파장의 간섭광의 변화에 따라, 퇴적층의 막 두께의 변화량을 모니터하는 공정(S3)을 구비하고, 모니터한 퇴적층의 막 두께의 변화량으로부터 산출한 디포막 두께의 지표가, 참조 데이터와 비교하여 소정의 범위 내에 들어가도록, 사이클 에칭의 다음 사이클 이후의 퇴적층을 형성하는 공정의 처리 조건을 결정한다.

Description

플라스마 처리 방법, 및 플라스마 처리 장치
본 발명은 플라스마 처리 방법, 및 플라스마 처리 장치에 관한 것이고, 특히 패턴 상의 디포지션막 두께를 제어하는 플라스마 에칭에 적합한 기술에 관한 것이다.
반도체 소자 등의 기능 소자 제품의 미세화(微細化)에 의해, 더블 패터닝 등, 박막의 스페이서의 측벽을 마스크로서 이용하는 멀티 패터닝을 이용한 디바이스 가공 기술의 개발이 가속되고 있다.
이에 수반하여, 삼차원 등의 디바이스의 가공 공정에서는, 박막 스페이서 등의 각종 절연성의 재료를 마스크로 한 홈 가공의 기술이 중요해지고 있다. 마스크나 게이트 절연막, 에치 스토퍼 등의 두께는 얇아지고 있어, 원자층 레벨로 형상을 제어하는 고(高)선택의 가공이 요구되고 있다. 또한, 디바이스의 삼차원화에 수반하여, 웨이퍼 표면으로부터 다른 깊이의 층에 형성된 패턴을 동시에 가공하거나, 개구 치수가 깊이에 따라 바뀌는 패턴을 가공하는 등, 복잡한 형상을 가공하는 공정이 증가하고 있다. 종래, Si나 SiO2 등의 산화막, 및 Si3N4 등의 질화막을 가공하는 플라스마 에칭에서는, 피(被)에칭 재료에 대하여 고선택비를 갖고 미세한 홈이나 홀을 가공하기 위해, 플루오로카본 가스나 하이드로플루오로카본 가스 등의 퇴적성이 높은 혼합 가스를 사용하여, 에칭하는 기술이 알려져 있다. 이에 대하여, 특허문헌 1에서는, 에칭 중에 마스크 상의 디포지션(이하, 디포)막의 두께가 허용값 내가 되도록 에칭 파라미터를 제어하는 방법이 개시되어 있다.
최근의 삼차원 디바이스의 미세화, 박막화, 고선택화에 대응한 드라이 에칭 기술로서, 퇴적성이 높은 가스에 의해 퇴적막을 형성하는 디포 공정과 이온 조사(照射)나 열에 의한 에칭을 행하는 에칭 공정을 반복하여 형상을 정밀하게 제어해서 가공을 실시하는 사이클 에칭 기술의 개발이 가속되고 있다. 그러나, 이러한 퇴적성이 높은 가스를 이용한 사이클 에칭에서는, 디포 공정에서의 디포량과 에칭 공정에서의 이온 에너지 등의 에칭 파라미터를 정밀하게 제어해서 에칭할 필요가 있었지만, 에칭 챔버 벽 상태나 챔버 내의 분위기의 경시(經時) 변화 등에 의해, 장기간 안정적으로 디포 공정과 에칭 공정을 정밀하게 제어해서 원하는 형상으로 에칭하는 것은 곤란했다.
이에 대하여, 박막의 막 두께 측정 기술로서, 비특허문헌 1이 나타내는 바와 같은, 흡착 공정과 탈리 공정을 반복하는 원자층 에칭에 있어서, 패턴이 형성되어 있지 않은 평탄한 웨이퍼 상에 형성된 흡착막의 두께와 피에칭 재료의 잔막 두께를 엘립소미트리로 측정하는 기술이 알려져 있다.
일본국 특개2014-232825호 공보
Journal of Vacuum Science & Technology A32, 020603(2014)
상술한 바와 같이, 최근의 삼차원 디바이스에서의 패턴의 복잡화와 미세화와 함께, 에칭 챔버 내 분위기의 경시 변화에 따라, 사이클 에칭 가공 중의 디포량, 및 에칭 형상이 변화하기 때문에, 장기간 안정적으로 원하는 형상의 패턴을 가공하는 것은 곤란했다. 사이클 에칭에 있어서 재현성 좋게 가공을 실시하기 위해서는, 디포 공정에서의 디포량, 에칭 공정의 에칭량을 단시간에 정밀하게 모니터하고, 즉시 에칭 파라미터를 조정할 필요가 있다.
특허문헌 1에서는, 웨이퍼 상면 방향으로 반사된 간섭광, 혹은, 흡수광을 이용하여 마스크 상에 퇴적된 퇴적막 두께를 막 두께 측정기로 측정하고 있다. 본 종래 기술에서는, 퇴적막의 막 두께를 퇴적막/마스크/SiO2의 적층 구조를 가정하여, 각각의 재료의 흡수 계수를 미리 구해 두고, 마스크 상의 퇴적막 두께를 측정하고 있다. 그러나, 이 방법에서는, 마스크 상의 퇴적막 두께와 마스크의 막 두께의 양쪽이 변화할 경우에는, 미리 복수의 마스크의 막 두께에 대하여 교정 곡선을 작성해 둘 필요가 있었다. 또한, 본 종래 기술에서 측정하고 있는 막 두께는 마스크 상의 퇴적막 두께이며, 마스크 상에 과잉으로 퇴적된 퇴적막에 의해, 라인 앤드 스페이스 패턴의 스페이스 부분이나 홀 패턴의 개구부가 막힘으로써, 에칭이 진행되지 않았을 경우에는 퇴적막의 막 두께를 측정할 수는 있었지만, 패턴에 있어서, 패턴의 측벽을 포함시킨 디포막 두께를 측정하여, 가공 형상의 정보를 얻는 것은 곤란했다. 또한, 0.5초∼수 10초 정도의 단시간에 디포 공정과 에칭 공정을 반복하는 사이클 에칭에 있어서, 각 사이클에 있어서의 디포막 두께와 에칭 형상을 리얼 타임으로 모니터하고, 에칭을 제어하는 것은 곤란했다.
다음으로, 비특허문헌 1에 기재된 바와 같이, 피에칭 재료와 반응성을 가지는 반응층을 흡착시키는 공정과, 이온 조사 등에 의해 반응 생성물을 탈리시키는 공정을 반복함으로써, 원자층 레벨의 깊이 정밀도로 에칭을 실현하는 방법이 알려져 있다. 본 종래 기술에서는, 에칭 챔버에 엘립소미트리를 장착함으로써, 반응층의 두께와 피에칭 재료의 두께를 원자층 레벨의 정밀도로 측정하고 있다. 엘립소미트리에서는, 편광한 입사광을 피측정 샘플에 입사시켜, s 편광과 p 편광의 위상차(Δ)와 s 편광과 p 편광의 반사 진폭비각(tanφ)을 측정함으로써, 평탄막에서의 막 두께를 측정하는 방법으로서 알려져 있다. 이 방법에서는, 반사광의 위상 변화를 측정할 필요가 있기 때문에, 편광을 변화시킨 다수의 스펙트럼을 취득할 필요가 있고, 추가로, 반사한 간섭광의 편광 상태를 측정할 필요가 있었다. 또한, 이 방법에서는, 피에칭 재료, 및 반응층의 적층 구조를 가정하여, 미리, 각각의 재료의 굴절률과 소쇠 계수(消衰係數)를 구해 둘 필요가 있지만, 에칭 중에 형성되는 반응층의 광학 정수는, 에칭 가스나 에칭 조건에 의해 변화하기 때문에, 미리, 각종 반응층의 광학 정수를 미리 구해 둘 필요가 있었다. 혹은, 미지(未知)의 광학 정수가 있을 경우에는, 최저여도 미지수의 수와 동수 이상의 스펙트럼을 취득하고, 샘플 구조를 모델화한 시뮬레이션 결과와 간섭광의 신호 강도와 편광의 변화를 피팅(fitting)하여 미지수를 구할 필요가 있었다. 이 때문에, 본 종래 기술에서는, 디포 공정과 에칭 공정을 번갈아 실시하여 미세 패턴을 가공하는 사이클 에칭의 공정마다 퇴적막 두께와 피에칭 재료의 막 두께를 구하여 리얼 타임으로 모니터하고, 에칭 공정에 피드백하는 것은 곤란했다. 또한, 광학 정수가 기지(旣知)인 적층막에 대하여 막 두께를 정밀하게 구할 수는 있었지만, 패턴 상의 디포막이나 피에칭 패턴의 가공 형상을 산출하는 것은 곤란했다.
본 발명의 목적은, 사이클 에칭에 있어서, 디포 공정에서 형성되는 디포막 두께, 또는 에칭 공정에서 형성되는 가공 형상을 모니터하고, 디포막 두께, 또는 가공 형상을 리얼 타임으로 제어하는 플라스마 처리 방법, 및 플라스마 처리 장치를 제공하는 것에 있다.
상기 목적을 달성하기 위해, 본 발명에 있어서는, 피에칭막 상에 퇴적층을 형성하는 퇴적 공정과, 퇴적층과 피에칭막의 반응 생성물을 제거하는 제거 공정을 반복함으로써 피에칭막을 에칭하는 플라스마 처리 방법에 있어서, 피에칭막의 마스크 패턴에 대하여 소정의 각도로 편광된 편광을 조사함으로써 얻어지고 마스크 패턴에 의해 반사된 간섭광의 변화를 이용하여 퇴적층의 막 두께의 변화량을 모니터하는 모니터 공정을 갖는 것을 특징으로 하는 플라스마 처리 방법을 제공한다.
또한, 상기 목적을 달성하기 위해, 본 발명에 있어서는, 피에칭막이 성막된 시료가 플라스마 처리되는 처리실과, 플라스마를 생성하기 위한 고주파 전력을 공급하는 고주파 전원과,
시료가 재치(載置)되는 시료대와, 광을 조사하는 광원과, 광원으로부터 조사된 광을 피에칭막의 마스크 패턴에 대하여 소정의 각도로 편광시키는 편광 필터와, 편광 필터의 회전 각도를 제어하는 회전 기구와, 회전 기구에 의해 회전 각도가 제어된 편광 필터에 의해 편광된 광을 조사함으로써 얻어지고 마스크 패턴에 의해 반사된 간섭광의 변화를 이용하여 피에칭막 상에 있어서의 퇴적층의 막 두께의 변화량이 구해지는 제어부를 구비하는 것을 특징으로 하는 플라스마 처리 장치를 제공한다.
본 발명에 의하면, 에칭 프로세스를 리얼 타임으로 제어하는 것이 가능해지고, 프로세스 변동 없이, 안정적으로 미세 패턴을 고정밀도로 재현성 좋게 가공할 수 있다.
도 1은 실시예 1의 에칭 방법의 프로세스 플로우의 일례를 나타내는 도면.
도 2는 실시예 1의 에칭 방법의 프로세스 플로우를 설명하기 위한 모식도.
도 3은 실시예 1에 따른 모니터용 광의 편광 방향과 피에칭 패턴의 라인 방향의 관계를 나타내는 모식도.
도 4는 실시예 1에 따른 플라스마 처리 장치인 에칭 장치의 전체 구성예를 나타내는 도면.
도 5는 실시예 1에 따른 장치의 웨이퍼의 노치 방향, 라인 앤드 스페이스 패턴, 및 편광 필터의 회전 방향의 설명도.
도 6은 실시예 1에 따른 참조 데이터의 디포막 두께의 지표, 및 에칭량의 지표의 시간 변화의 일례를 나타내는 도면.
도 7은 실시예 1의 에칭량의 지표를 설명하기 위한 모식도.
도 8은 실시예 1의 디포막 두께의 지표의 산출 방법과, 그 모니터 결과의 일례를 나타내는 도면.
도 9는 실시예 1의 디포 공정 시간의 조정 방법의 일례의 설명도.
도 10은 실시예 1의 피에칭 패턴이 홀 패턴일 경우의 광의 편광 방향의 일례의 설명도.
도 11은 실시예 2에 따른 클러스터형 에칭 툴의 전체 구성의 일례를 나타내는 도면.
이하, 본 발명의 실시형태를, 도면을 이용하여 상세하게 설명한다. 또한, 모든 도면에 있어서, 동일한 기능을 갖는 것은 동일한 부호를 부여하고, 그 반복적인 설명은 생략한다.
본 발명의 에칭 방법은, 피에칭막 상에 퇴적층을 형성하는 퇴적 공정과, 퇴적층과 피에칭막의 반응 생성물을 제거하는 제거 공정을 반복함으로써 피에칭막을 에칭하는 플라스마 처리 방법으로서, 피에칭막의 마스크 패턴에 대하여 소정의 각도로 편광된 편광을 조사함으로써 얻어지고 마스크 패턴에 의해 반사된 간섭광의 변화를 이용하여 퇴적층의 막 두께의 변화량을 모니터하는 모니터 공정을 더 가짐으로써, 리얼 타임으로 다음 사이클의 피에칭 패턴의 가공 조건을 조정하여, 피에칭 기판을 장기간 안정적으로 정밀하게 가공한다.
또한, 본 발명의 플라스마 처리 장치는, 피에칭막이 성막된 시료가 플라스마 처리되는 처리실과, 플라스마를 생성하기 위한 고주파 전력을 공급하는 고주파 전원과, 시료가 재치되는 시료대와, 광을 조사하는 광원과, 광원으로부터 조사된 광을 피에칭막의 마스크 패턴에 대하여 소정의 각도로 편광시키는 편광 필터와, 편광 필터의 회전 각도를 제어하는 회전 기구와, 회전 기구에 의해 회전 각도가 제어된 편광 필터에 의해 편광된 광을 조사함으로써 얻어지고 마스크 패턴에 의해 반사된 간섭광의 변화를 이용하여 피에칭막 상에 있어서의 퇴적층의 막 두께의 변화량이 구해지는 제어부를 구비한다.
이와 같이, 본 발명의 실시형태에 있어서, 모니터부는, 피에칭 패턴의 레이아웃 정보의 패턴의 규칙성을 추출하여 얻어지는, 측정 영역의 적어도 일부가 라인 형상의 피에칭 패턴의 라인 방향에 대하여, 수직 방향이 되도록 편광 필터를 회전시켜 편광한 광을 입사시키는 회전 기구를 갖는다. 그리고 또한, 제어부는, 미리 원하는 형상을 가공 중의 참조 패턴으로부터 복수의 파장의 간섭광의 시간 변화를 참조 데이터로서 취득해 두고, 디포 공정과 에칭 공정에서의 리얼 타임의 간섭광과의 강도차가 일정값 이상이 되는 특정 파장의 간섭광의 신호 강도를 추출하고, 그리고 또한 에칭 공정 종료시의 간섭광의 신호 강도로부터 피팅 곡선을 산출하고, 이 피팅 곡선과 디포 공정 종료시의 신호 강도의 차이로부터 디포막 두께의 지표를 산출하고, 또는 피팅 곡선의 기울기로부터 에칭량의 지표를 산출하고, 산출한 디포막 두께의 지표, 또는 에칭량의 지표가, 데이터베이스에 기억된 참조 패턴에 의거하는 참조 데이터에 의거하여 결정된 소정 범위 외가 되었을 경우에, 다음번 이후의 사이클의 디포 공정, 혹은 에칭 공정에서의 처리 조건을 결정한다.
[실시예 1]
실시예 1로서, 디포 공정과 에칭 공정을 번갈아 실시하여 미세 패턴을 가공하는 사이클 에칭 및 에칭 장치의 실시예에 대해서 설명한다. 도 1은 실시예 1에 따른, 복수의 스텝(S)을 포함하는 사이클 에칭의 프로세스 플로우의 일례를 나타내는 도면이다. 도 2는 도 1의 프로세스 플로우를 설명하기 위한 모식도이며, 도 2의 (a)는 디포 공정(S1), (b)는 에칭 공정(S2)의 설명도이다. 본 실시예에서는, 피에칭 패턴의 일례로서, 피에칭 기판으로서의 웨이퍼(1) 상에 비에칭층(4), 피에칭 재료(2)의 층간막이 형성되어 있고, 마스크(3)에 피에칭 패턴인 미세한 라인 앤드 스페이스 패턴이 형성되어 있을 경우에, 피에칭 재료(2)를 에칭할 경우에 대해서 설명한다. 또한, 본 실시예에서는 S2에 있어서, 이온의 에너지를 이용하여 에칭할 경우에 대해서 설명하지만, 열처리 등의 다른 에너지 공급 수단을 이용하여 에칭해도 된다.
도 1의 프로세스 플로우가 개시되면, 도 2의 (a)에 나타내는 바와 같이 피에칭 재료(2)를 포함하여, 마스크(3)에 의한 패턴을 형성한 웨이퍼(1) 상에 디포막(5)을 형성시킨다(S1). 다음으로, 플라스마 등에 의해 생성된 이온을 피에칭 패턴에 조사한다. 도 2의 (b)에 나타내는 바와 같이, 피에칭 패턴 중, 피에칭 재료(2)의 표면에서는, 이온으로부터 공급된 에너지에 의해 디포막(5)과 피에칭 재료(2)가 반응하여, 에칭이 진행된다(S2). 마스크(3)나 측벽(6) 등의, 피에칭 표면 상에서는, 디포막(5)에 의해 이온의 에너지가 손실되어, 피에칭 표면의 에칭이 억제된다. 본 실시예에서는 S2에 있어서, 이온의 에너지를 이용하여 에칭할 경우에 대해서 나타냈지만, 상술한 바와 같이, 열처리 등의 다른 에너지 공급 수단을 이용하여 에칭해도 된다. 사이클 에칭법에서는, 이 디포막(5)의 형성(S1)과 에칭 공정(S2)을 1 사이클로 하고, 이 사이클을 반복하여 필요 횟수 행함으로써, 소정의 깊이까지 피에칭 재료(2)를 에칭한다.
상술한 사이클 에칭법에서는, 1회의 디포 공정에서 퇴적시키는 디포막의 두께는 수 원자층∼수십 ㎚로 얇고, 또한, 1회의 에칭 공정에서 에칭하는 에칭 깊이도 수 원자층∼수십 ㎚로 얇고, 각 공정에 있어서, 디포막 두께와 에칭량을 정밀하게 제어할 필요가 있다. 그러나, 패턴 표면의 디포량은 챔버 내의 분위기에 의한 영향이 크고, 디바이스의 생산 현장에 있어서는, 다량의 웨이퍼를 처리할 동안에 에칭 챔버 벽에 부착된 디포물 등에 의해 챔버 내 분위기가 변화되어, 원하는 가공 형상을 얻을 수 없게 되는 것이 문제가 되고 있다.
그래서, 도 1의 프로세스 플로우의 S3, S4에 나타내는 바와 같이, 본 실시예의 사이클 에칭에서는, 피에칭 패턴 표면 상에 퇴적한 디포막(5)의 막 두께의 지표와, 에칭량의 지표를 모니터하고, 디포 공정, 혹은 에칭 공정의 처리 조건을 리얼 타임으로 조정한다. 즉, 사이클 에칭으로 퇴적층을 형성할 때에, 특정한 각도로 편광한 광을 피에칭 패턴에 조사하고, 피에칭 패턴에 의해 반사된 특정 파장의 간섭광의 변화에 따라, 퇴적층의 막 두께의 변화량을 모니터하고, 디포막의 막 두께의 지표와 에칭량의 지표를 얻어, 이들 지표를 이용하여 처리 조건을 리얼 타임으로 조정한다.
도 3은, 본 실시예에 있어서의 디포막 두께 모니터용 입사광의 편광 방향과, 피에칭 패턴인 라인 앤드 스페이스 패턴의 라인 방향의 관계를 나타내는 모식도이다. 본 실시예에 있어서는, 디포 공정(S1)에서 패턴 상에 형성되는 디포막 두께를 모니터하기 위해, 피에칭 패턴의 레이아웃 정보로부터, 미리 마스크 상에 형성된 라인 앤드 스페이스 패턴(7)의 규칙성을 나타내는 정보로서, 라인 앤드 스페이스 패턴의 라인 방향(9)을 추출해 두고, 추출한 라인 방향(9)의 정보에 의거하여, 라인 방향(9)에 대하여 수직 방향이 되도록 편광 필터(8)를 회전시켜 편광한 광을 입사한다. 그리고, 웨이퍼 상에서 반사한 특정 파장의 간섭광의 신호 강도의 시간 변화에 의거하여, 퇴적층의 막 두께의 변화량을 리얼 타임으로 모니터하고, 디포막 두께의 지표와 에칭량의 지표를 산출한다.
예를 들면, 도 3에 일례를 나타낸 관계에서는, 라인 방향(9)이 X 방향이었을 경우, 편광 필터(8)의 방향은 Y 방향으로 편광 필터(8)를 회전시켜 조정한다. 이와 같이 라인 앤드 스페이스 패턴의 라인 방향(9)과 편광 필터(8)에 의한 편광 방향(10)을 수직(90도)이 되는 방향으로 광을 라인 앤드 스페이스 패턴에 입사시키면, 반사되는 간섭광은 라인 앤드 스페이스 패턴에 의한 회절(回折) 효과를 발생시키고, 라인 앤드 스페이스 패턴의 단면(斷面) 형상의 변화에 민감하게 대응하여 변화하기 때문에, 디포막의 막 두께의 지표와, 에칭량의 지표를 산출할 수 있고, 이들 지표를 이용하여 디포 공정에서의 디포막 두께와 에칭 공정에서의 에칭 형상의 변화를 정밀하게 모니터 제어할 수 있게 된다.
도 4에, 본 실시예의 사이클 에칭 방법을 실현하기 위한 에칭 장치의 일 전체 구성을 나타낸다. 플라스마 처리 장치인 에칭 장치(20)는, 처리실(21), 가스 공급부(23), 모니터부(28), 모니터 제어부(29), 장치 제어부(36) 등으로 구성된다. 제어부(108), 산출부(109), 데이터베이스(110)를 갖는 모니터 제어부(29), 복수의 기구 블록을 갖는 장치 제어부(36)는, 각각 중앙 처리부(CPU)나 기억부 등을 구비한 컴퓨터의 프로그램 실행으로 실현할 수 있고, 양자는 제어선(47)으로 접속되어 있다. 또한, 장치 제어부(36)는, 가스 제어부(37), 배기계 제어부(38), 고주파 제어부(39), 바이어스 제어부(40), 기억부(41), 클록(42) 등의 기능 블록으로서 기능한다. 이들 기능 블록은, 상술한 바와 같이 한 대의 퍼스널 컴퓨터(PC)로 실현할 수 있다. 또한, 본 명세서에 있어서, 모니터 제어부(29), 장치 제어부(36)를 총칭하여, 단순히 제어부라고 하는 경우가 있다.
에칭 장치(20)는, 처리실(21) 내에 마련된 웨이퍼 스테이지(22)와, 가스봄베나 밸브로 이루어지는 가스 공급부(23)가 마련되어 있고, 장치 제어부(36)로부터의 제어 신호(46)에 의거하여, 디포 공정용 가스(24), 에칭 공정용 가스(25) 각각이, 도 1에 나타낸 처리 스텝에서 처리실(21)에 공급된다. 공급된 처리 가스는, 고주파 전원(27)에 의해 생성되고, 고주파 인가부(31)에 인가되는 고주파 전력(44)에 의해, 처리실(21) 내에서 플라스마로 분해된다. 또한, 처리실(21) 내의 압력은, 처리실(21)에 접속된, 도시를 생략한 가변 컨덕턴스 밸브와 진공 펌프에 의해, 원하는 유량의 처리 가스를 흘린 상태에서, 일정하게 유지할 수 있다.
우선, 디포 공정(S1)이 개시되면, 제어 신호(46)에 의거하여, 디포 공정용 가스(24)가 소정의 유량으로 처리실(21)에 공급된다. 공급된 디포 공정용 가스(24)는 고주파 인가부(31)에 인가되는 고주파 전력(44)에 의해 플라스마가 되고, 래디컬, 이온 등으로 분해된다. 플라스마에서 생성된 래디컬이나 이온은 웨이퍼(1)의 표면에 도달하여, 도 2의 (a)에 나타낸 디포막(5)을 형성한다. 다음으로, 에칭 공정(S2)이 개시되면, 에칭 공정용 가스(25)가 소정의 유량으로 처리실(21)에 공급된다. 공급된 가스(25)는 고주파 인가부(31)에 인가되는 고주파 전력(44)에 의해 플라스마가 되고, 이온이나 래디컬로 분해되어, 웨이퍼(1) 표면에 조사된다. 이때, 플라스마로부터 조사되는 이온에 의해 에칭할 경우, 예를 들면, 웨이퍼 스테이지(22)에 바이어스 전원(30)으로부터 공급되는 바이어스 전압(45)을 인가하여, 이온 에너지를 제어할 수 있다.
각 공정에서 사용하는 가스의 종류는, 에칭 처리를 행하는 패턴 재료에 따라 적절하게 선택된다. 예를 들면, 디포 공정용 가스(24)로서, C4F8, CH3F 등의 플루오로카본 가스, 하이드로플루오로카본 가스와 희(希)가스, 및 O2, CO2, N2 가스 등의 혼합 가스를 이용할 수 있다. 이때, 에칭용 가스(25)로서는, 예를 들면, 플루오로카본 가스, 하이드로플루오로카본 가스와 Ar, He, Ne, Kr, Xe 등의 희가스와, O2, CO2, CF4, N2, H2, 무수 HF, CH4, CHF3, NF3, SF3 등의 혼합 가스가 이용된다. 또한, 예를 들면, 디포 공정용 가스(24)로서, HBr, BCl3 등과 희가스, 및 Cl2, O2, CO2, N2 가스 등의 혼합 가스를 이용했을 경우, 에칭용 가스(25)로서는, 예를 들면 HBr, BCl3 등과 Ar, He, Ne, Kr, Xe 등의 희가스와, Cl2, O2, CO2, CF4, N2, H2, 무수 HF, CH4, CHF3, NF3, SF3 등의 혼합 가스가 이용된다.
다음으로, 본 실시예의 에칭 장치의 구성에 있어서, 사이클 에칭 처리 중의 디포막 두께의 지표, 및 에칭량의 지표를 모니터부(28), 모니터 제어부(29)에서 모니터하는 방법의 일 구체예를 설명한다. 도 5는, 웨이퍼(1)의 노치 방향과, 라인 앤드 스페이스(7)의 라인 방향(9), 및 편광 필터(8)의 회전 방향의 관계를 설명하기 위한 도면이다. 우선, 참조 데이터로서 원하는 형상의 참조 패턴이 패터닝된 웨이퍼(1)를 처리실(21)에 도입한다. 동 도면의 (b)에 나타내는 바와 같이, 처리실에 도입된 웨이퍼의 노치(11)의 방향, 또는, 오리엔테이션 플랫 방향은 미리 설정된 방향으로 설치된다. 웨이퍼의 노치(11), 또는, 오리엔테이션 플랫 방향과 참조 패턴의 라인 방향(9)과의 관계는, 미리, 웨이퍼 정보로서 모니터 제어부(29)의 데이터베이스(110)나, 장치 제어부(36)의 기억부(41) 등에 기억해 둔다.
모니터부(28)에 있어서, 모니터용 광원(102)으로부터 발생한 광은, 회전 기구(103)에서 회전 제어되는 편광 필터(8)에서 편광되어, 웨이퍼(1) 상의 참조 패턴 상에 조사된다. 이때, 모니터용 광원(102)으로서, 예를 들면, 190㎚ 내지 900㎚의 파장 영역의 광이 이용된다. 편광 필터(9)는, 웨이퍼의 라인 앤드 스페이스 패턴(7)의 라인 방향(9)의 정보에 따라, 모니터 제어부(29)의 제어에 의거하여, 회전 기구(103)를 사용해서 회전하여 편광 방향(10)을 조정할 수 있다. 여기에서 편광 필터(8)를 통과한 후의 입사광(104)의 편광 방향(10)은, 장치 제어부(36)의 기억부(41)에 기억된 웨이퍼 정보에 따라, 참조 패턴의 라인 앤드 스페이스 패턴(7)의 라인 방향(9)에 대하여 수직이 되도록 조정된다.
계속해서, 에칭이 개시됨과 동시에, 웨이퍼(1) 상의 참조 패턴의 모니터가 개시된다. 모니터용 광원(102)으로부터 발생한 광은 편광 필터(8)에서 편광되어, 웨이퍼(1) 상의 참조 패턴 상에 조사된다. 웨이퍼(1) 상에 형성되는 라인 앤드 스페이스 패턴(7)의 라인 방향(9)은 웨이퍼의 노치에 대하여 통상 X 방향, 또는, Y 방향이기 때문에, 편광 필터(8)의 편광 방향(10)은, 웨이퍼(1)의 정보에 따라, 미리 X 방향, 혹은 Y 방향으로 조정해 두어도 된다. 다음으로, 참조 패턴에서 반사된 간섭광(105)은, 모니터부(28)의 검출부(26), 광파이버(106)를 통과하여 분광기(107)에 의해 분광된다. 이때, 분광기(107)에 의해 분광되는 간섭광은, 입사광(104)을 편광한 편광 필터(8)를 다시 통과시킴으로써, 일방향으로 편광한 광만을 검출해도 된다. 모니터부(28)의 분광기(107)에서는, 미리 결정된 복수의 파장의 간섭광의 신호 강도의 시간 변화를 측정한다. 측정된 적어도 하나의 특정 파장의 간섭광의 신호 강도의 시간 변화로부터, 모니터 제어부(29)의 산출부(109)에 의해, 참조 패턴에 있어서의 디포막 두께의 지표와 에칭량의 지표를 산출한다.
도 6의 (a)에, 본 실시예의 구성을 사용하고 참조 패턴을 사용하여 참조 데이터로서 취득한 간섭광의 특정 파장의 신호 강도(I)의 시간 변화의 일례를 나타낸다. 본 예의 경우에는, 에칭을 개시하면, 디포 공정(S1)에서 신호 강도가 증가하고, 에칭 공정(S2)에서 신호 강도가 감소하고 있다. 본 실시예에서는, 이 참조 데이터로서 취득한 간섭광의 특정 파장의 신호 강도(I)의 시간 변화에 의거하여, 도 1의 사이클 에칭법의 S3과 S4에서, 디포막(5)의 두께와 에칭 형상을 제어해서, 안정적으로 원하는 형상의 패턴을 형성한다. 그 때문에, 모니터 제어부(29)는, 미리 원하는 형상을 가공 중의 복수의 파장의 간섭광의 시간 변화를 참조 데이터로서 취득하고, 디포 공정(S1)과 에칭 공정(S2)에서의 간섭광의 신호 강도차가, 예를 들면 최대가 되는 특정 파장의 간섭광의 신호 강도를 추출한다.
여기에서, 산출부(109)에 의한 프로세스 플로우의 S3, S4의 판정에서 이용하는 디포막 두께의 지표와 에칭량의 지표의 산출 방법의 일 구체예에 대해서 설명한다. 또한, 산출된 각 지표는 참조 데이터로서, 데이터베이스(110)에 기억된다. 즉, 피에칭 패턴의 참조 패턴에 의해 반사된, 이 특정 파장의 간섭광의 변화에 의거하여 산출한, 디포막 두께의 지표와 에칭량의 지표를 참조 데이터로서 기억해 두고, 모니터한 퇴적층의 막 두께의 변화량으로부터 산출한 디포막 두께의 지표, 또는 에칭량의 지표와, 이들 기억한 참조 데이터를 비교함으로써, 다음 사이클 이후의 처리 조건을 결정할 수 있다.
도 6의 (a)에 나타낸 바와 같이, 참조 패턴으로부터의 간섭광의 신호 강도(I)의 일례에 있어서, 처음엔 디포 공정에서 신호 강도가 증가하고, 에칭 공정에서 신호 강도가 감소하고 있다. 또한 에칭이 진행되면, 디포 공정에서 신호 강도가 감소하고, 에칭 공정에서 신호 강도가 증가하고 있다. 그리고, 에칭 공정 종료시의 특정 파장의 간섭광의 신호 강도의 피팅 곡선(111)은, 에칭 공정 종료 후의 피에칭 패턴의 가공 형상의 정보에 의존하여 변화하고 있는 것, 이 피팅 곡선(111)과 디포 공정 종료 후의 신호 강도의 차이는 디포 공정에서 형성된 디포막의 두께에 의존하고 있음을 우리들은 발견했다.
또한, 디포막 두께의 지표(r)의 일례로서, 도 6의 (b)에 나타내는 바와 같이, (n-1)회째 사이클의 에칭 공정 종료시의 신호 강도와 n회째 사이클의 디포 공정 종료시의 신호 강도의 차이(d)를 피팅 곡선의 기울기(a0)로 규격화한 값의 절대값(|d/a0|)을, 디포막 두께의 지표(r)로서 모니터한 결과, 디포막 두께의 지표의 변화와 에칭 후의 단면 형상과의 관계를 발견했다. 동 도면의 참조 데이터는, 참조 패턴에 의거하여 산출된 디포막 두께의 지표의 시간 변화를 나타낸다. 이 관계에 의거하여, 에칭 공정 종료시의 특정 파장의 간섭광의 신호 강도와, 계속되는 디포 공정 종료시의 특정 파장의 간섭광의 신호 강도의 차이로부터 디포막 두께의 지표를 산출할 수 있다. 이에 따라, 모니터 공정에 있어서, 에칭 공정의 간섭광의 신호 강도와, 디포 공정의 간섭광의 신호 강도의 차이에 의거하여, 퇴적층의 막 두께의 변화량을 모니터할 수 있다.
또한, 도 6의 (c)에 나타낸 바와 같이, 에칭 공정 종료시의 신호 강도의 피팅 곡선(111)의 형상인 진폭과 주기, 환언하면, 소정의 시간에 있어서의 피팅 곡선의 신호 강도(진폭), 또는 피팅 곡선의 주기가, 참조 패턴에 의거하는 피팅 곡선의 원하는 형상으로부터 어긋났을 경우에, 피팅 곡선의 형상이 단면 형상에 의해 변화하는 것을 발견했다. 그 결과, 특정 파장의 간섭광의 신호 강도의 피팅 곡선의 진폭과 주기에 의거하여, 에칭량의 지표를 산출할 수 있다.
도 7은 피에칭 패턴의 각종 단면 형상의 예의 설명도이며, 표 1은, 디포막 두께의 지표와 에칭량의 지표로부터 판정되는 에칭 패턴의 단면 형상의 카테고리와, 디포 공정의 처리 파라미터의 조정 방법, 및 에칭 공정의 처리 파라미터의 조정 방법의 일례를 나타낸 표이다.
[표 1]
Figure pct00001
예를 들면, 참조 패턴의 단면 형상이 도 7의 (a)에 나타낸 수직인 패턴의 경우이며, 디포막 두께의 지표가 지정된 허용 범위(r01)보다도 크고, 에칭량의 지표의 변화가 지정값(I1)보다도 작을 경우, 실제의 에칭 패턴의 단면 형상은, 예를 들면, 도 7의 (b)의 에치 스톱이라고 판정할 수 있다. 또한, 디포막 두께의 지표가 지정된 허용 범위(r02)보다도 크고, 에칭량의 지표의 변화, 예를 들면 진폭의 변화가 지정값(I2)보다도 작을 경우에는, 단면 형상은, 예를 들면, 도 7의 (c)의 테이퍼 형상이라고 판정할 수 있다. 마찬가지로, 디포막 두께의 지표가 지정된 허용 범위(r03)보다도 크고, 에칭량의 지표의 변화, 예를 들면 주기의 변화가 지정값(S3)보다도 클 경우에는, 단면 형상은, 예를 들면, 도 7의 (d)의 선폭이 증대한 단면 형상이라고 판정할 수 있고, 디포막 두께의 지표가 지정된 허용 범위(r04)보다도 작고, 에칭량의 지표의 변화, 예를 들면 주기의 변화가 지정값(S4)보다도 작을 경우에는, 단면 형상은, 예를 들면, 도 7의 (e)의 선폭이 감소한 단면 형상이라고 판정할 수 있다. 또한, 디포막 두께의 지표가 지정된 허용 범위(r05)보다도 작고, 에칭량의 지표의 변화, 예를 들면 진폭의 변화가 지정값(I5)보다도 작을 경우에는, 단면 형상은, 예를 들면, 도 7의 (f)의 숄더 드롭된 단면 형상이라고 판정할 수 있다.
그래서, 본 실시예의 에칭 장치(20)에서는, 모니터 제어부(29)에 의해, 데이터베이스(110)에 축적된 참조 패턴의 간섭광 스펙트럼, 디포막 두께의 지표, 및 에칭량의 지표와, 실제의 모니터 결과인 간섭광 스펙트럼, 디포막 두께의 지표, 및 에칭량의 지표를 비교한다. 이 비교는 모니터 제어부(29)의 산출부(109)가 행하고, 그 비교 결과가, 예를 들면 도 6의 허용 범위에서 나타낸 소정 범위 외가 되었을 경우에, 제어부(108)가, 다음번 이후의 사이클의 디포 공정(S1), 및 에칭 공정(S2)에서의 처리 조건을 조정·결정하고, 장치 제어부(36)에 조정·결정 후의 처리 조건을 송신하도록 제어한다.
다음으로, 도 4에 나타낸 본 실시예의 에칭 장치로, 퇴적층의 막 두께의 변화량을 모니터하고, 피에칭 패턴의 디포막 두께의 지표와 에칭량의 지표를 모니터하여, 리얼 타임으로 에칭을 제어할 경우를 설명한다. 우선, 피에칭 웨이퍼로서, 미리 측정되고, 참조 데이터가 기억된 참조 패턴과 마찬가지의 패턴이 패터닝된 웨이퍼(1)가 처리실(21)에 도입된다. 이때, 웨이퍼(1)의 노치(11), 또는, 오리엔테이션 플랫은 미리 설정된 장소에 설치된다. 웨이퍼의 노치(11), 또는, 오리엔테이션 플랫의 방향과 참조 패턴의 라인 방향(7)과의 관계는, 미리, 웨이퍼 정보로서 장치 제어부(36)의 기억부(41)에 기억되어 있다. 편광 필터(8)는 참조 패턴을 모니터했을 때와 마찬가지로, 기억부(41)에 기억된 피에칭 기판인 웨이퍼의 웨이퍼 정보에 따라, 피에칭 패턴의 라인 앤드 스페이스 패턴(7)에 대하여 수직이 되도록, 모니터 제어부(29)의 제어에 의해, 편광 필터(8)의 회전 각도를 회전 기구(103)에 의해 조정한다.
피에칭 웨이퍼의 에칭이 개시됨과 동시에, 모니터부(28)에 의한 피에칭 패턴의 모니터가 개시된다. 모니터부(28)의 모니터용 광원(102)으로부터 발생한 입사광(104)은, 앞서 참조 데이터를 취득했을 경우와 마찬가지로, 편광 필터(8)에서 편광되어, 웨이퍼(1) 상의 피에칭 패턴 상에 조사된다. 다음으로, 웨이퍼(1)에서 반사된 간섭광(105)은, 참조 데이터를 취득했을 경우와 마찬가지로, 검출부(26), 광파이버(106)를 통과하여 분광기(107)에 의해 측정된다. 분광기(107)에서는, 미리 참조 데이터를 취득했을 때에 결정된 특정 파장의 간섭광의 신호 강도의 시간 변화를 모니터한다. 모니터부(28)에서 모니터된 특정 파장의 간섭광의 시간 변화로부터, 산출부(109)가 디포막 두께의 지표와, 에칭량의 지표를 앞의 참조 데이터와 마찬가지로 산출한다.
도 8에, 디포막 두께의 지표가 소정 범위 이외의 값이 되었을 경우, 디포 공정 프로세스 조건을 조정하는, 즉, 피에칭 패턴의 디포막 두께의 지표를 모니터하고, 리얼 타임으로 디포 공정의 시간을 조정하여, 원하는 에칭 형상으로 제어해서 에칭했을 경우의 일례를 나타낸다. 도 8의 (a)에는 도 6과 마찬가지로, 특정 파장의 간섭광의 신호 강도(I)의 시간 변화의 일례를 나타내고, 도 8의 (b)에는, 디포막 두께의 지표(r)의 시간 변화의 일례를 나타낸다. 예를 들면, n사이클째 디포막의 지표 r(n)이 r(n)의 허용 범위를 초과하여 작을 경우, (n+1)회째 사이클의 디포 공정의 디포 시간 t(n+1)는, 예를 들면, 다음과 같이 제어부(108)에서 결정된다.
도 9에, 디포 공정의 디포 시간의 조정 방법의 일례로서 참조 데이터의 n사이클째 디포 공정 내의 디포막 두께의 지표(r)의 시간 변화를 나타낸다. (n+1)회째 디포막 두께의 지표로서 필요한 값을 r0(n+1), r0(n+1)과 r(n)의 차이를 Δr, n회째 디포 공정의 처리 시간을 t, 시간 t에 있어서의 디포막 두께의 지표의 기울기를 b라고 하면, (n+1)회째 처리 시간 t(n+1)는 (Δr/b+t(n))로서 결정할 수 있다. 이와 같이, (n+1)회째 디포 공정의 시간이 조정되면, (n+1)회째 디포막 두께의 지표 r(n+1)은 (n+1)회째 디포막의 지표의 허용 범위 내로 제어할 수 있었다. 또한, 도 8의 (b)에 나타내는 바와 같이, m회째에 디포막의 지표 r(m)이 허용 범위로서 도시한 소정의 범위를 초과하여 커졌을 경우에도, m회째 디포 공정 내에서의 디포막 두께의 지표의 시간 변화의 측정값으로부터, (m+1)회째 디포 공정의 처리 시간을 조정함으로써, 디포막 두께를 원하는 범위 내로 제어할 수 있었다. 본 실시예의 구성에 의해, 각 사이클에서 디포막 두께의 지표가 소정의 범위 내가 되도록, 디포 시간의 조정을 실시함으로써, 재현성 좋게 장기간에 걸쳐, 에칭 형상을 제어해서 에칭하는 것이 가능해졌다.
이와 같이, 디포막 두께의 지표가 소정의 범위 외라고 판정되었을 경우에는, 디포 공정의 시간 이외로 조정해야 할 처리 파라미터로서, 얼음에 나타내는 바와 같이, 예를 들면, 에칭 가스의 혼합비 등이 있으며, 이를 조정하는 수단을 마련할 수 있다. 예를 들면, 에칭 가스의 혼합비로서 퇴적성 가스와 모든 가스 유량의 비를 조정할 경우, 에칭 가스의 유량비를 변화시켰을 경우의 디포막 두께의 지표의 변화량과의 관계를 미리 취득한 데이터 파일을 기억부(41)에 유지해 두고, 측정한 디포막 두께의 지표(r)와 소정값(ro)의 차이(Δr)분을 변화시켜 디포막 두께의 지표가 소정 범위에 들어가기 위한 가스 유량비를 산출부(109)에서 산출한다. 산출한 가스 유량비는 가스 제어부(37)로 보내져, 가스 유량비를 제어하는 것이 가능해졌다.
한편, 디포막 두께의 지표가 소정의 범위 내인데도, 에칭량의 지표가 소정 범위 외라고 판정되었을 경우에는, 예를 들면, 에칭 공정의 시간, 웨이퍼 바이어스 전압, 웨이퍼 온도를 조정하는 수단에 의해, 에칭 형상을 정밀하게 제어하는 것이 가능해졌다. 예를 들면, 바이어스 제어부(40)에 의해, 에칭 공정의 웨이퍼 바이어스 전압을 조정할 경우, 에칭량의 지표가 소정의 범위가 되도록 웨이퍼 바이어스 전압을, 표 1의 에칭 공정 파라미터의 조정의 란(欄)에 나타내는 바와 같이 미세 조정을 행할 수 있다. 산출부(109)에서 산출된 웨이퍼 바이어스 전압(45)의 조정값은, 바이어스 제어부(40)로 보내져, 소정의 값으로 바이어스 전원(30)을 조정할 수 있다. 마찬가지로, 고주파 제어부(39) 등을 사용하여, 에칭 공정의 시간 증감에 따른 미세 조정을 행할 수 있다.
이상 상술한 본 실시예에 있어서는, 피에칭 패턴으로서, 예를 들면 라인 앤드 스페이스 패턴의 경우에 대해서 설명했다. 그러나, 본 실시예의 구성은, 반드시 라인 앤드 스페이스 패턴만에 한정하여 실시되는 것이 아니다. 예를 들면, 도 10에 피에칭 패턴이 홀 패턴일 경우에도 실시할 수 있다. 이 경우의 입사광의 편광 방향 설정 방법의 일례의 설명도를 나타낸다. 도 10에 나타내는 바와 같이, 홀 패턴(120)의 피치가 X 방향과 Y 방향에서 다를 경우, 즉 홀 패턴에 있어서의 한쪽 방향의 피치가 홀 패턴에 있어서의 다른 쪽 방향의 피치보다 작을 경우, 한쪽 방향의 피치에 대하여 수직으로 편광되고, 다른 쪽 방향의 측에 있어서의 홀 패턴의 측벽의 퇴적층에 따른 막 두께의 변화량을 모니터한다. 예를 들면, 피치가 작은 방향, 즉, X 방향이 입사광(104)의 편광 방향(10)과 수직이 되도록 편광 필터(8)의 회전을 조정함으로써, 피치가 큰 쪽의 측벽(121)에 대한 디포막 두께의 지표와 에칭량의 지표를 정밀도 좋게 모니터할 수 있다.
단, 미세 패턴을 가공할 때에는, 피치가 작은 방향의 측벽 형상(122)을 보다 정밀하게 제어할 필요가 있을 경우도 있다. 그 경우에는, 패턴 피치가 큰 방향, 즉, Y 방향이 입사광(104)의 편광 방향(10)과 수직이 되도록, 편광 필터(8)의 회전 각도를 조정함으로써, 고정밀도의 모니터가 가능해졌다. 혹은, 편광 필터(8)를 회전 기구(103)로 X 방향과 Y 방향으로 고속으로 번갈아 회전시켜, X 방향으로 편광한 입사광을 조사했을 때의 간섭광의 신호 강도와 Y 방향으로 편광한 입사광을 조사했을 때의 간섭광의 신호 강도를 번갈아 측정함으로써, 홀의 디포막과 에칭 형상을 감도 좋게 모니터하는 것도 가능하다.
본 실시예의 구성에 의해, 모니터부가 모니터한 퇴적층의 막 두께의 변화량으로부터 디포막 두께의 지표, 또는 에칭량의 지표를 산출하고, 산출한 디포막 두께의 지표, 또는 에칭량의 지표에 의거하여, 사이클 에칭의 다음 사이클 이후의 디포 공정, 혹은 에칭 공정의 처리 조건을 결정하고, 결정한 처리 조건으로 피에칭 기판을 처리하는 것이 가능해진다.
[실시예 2]
다음으로, 실시예 2의 클러스터형 에칭 툴(이하, 클러스터 툴)로 구성되는 플라스마 처리 장치에 대해서, 도 11을 이용하여 설명한다. 도 11은 실시예 2의 클러스터 툴의 일 구성을 나타내는 도면이다. 본 클러스터 툴의 일례로서, 에칭 장치의 처리실이 3실로 구성될 경우에 대해서 나타낸다. 클러스터 툴은, 3개의 처리실에 더하여, 웨이퍼 카세트 로더(204), 제어용 PC(205), 반송 로봇(207), 제어부(220), 및 노치 위치 조정 스테이지(221)을 구비하고 있다. 본 실시예의 구성에 있어서, 제어용 PC, 제어부(220), 및 3개의 모니터 제어부(36)를 총칭하여 클러스터 툴의 제어부라고 할 수 있다.
본 클러스터 툴에 있어서, 웨이퍼 카세트를 웨이퍼 로더(204)에 세트하면, 미리 제어용 PC(205)에서 설정된 처리 레시피에 의거하여, 처리용 웨이퍼(1)는 웨이퍼 카세트로부터 노치 위치를 맞추기 위한 노치 위치 조정 스테이지(221)의 회전대(206) 상에 반송 로봇(207)에 의해 반송된다. 회전대(206)에서는, 처리용 웨이퍼(1)의 노치 위치를 처리 레시피에서 지정한 처리실의 정보에 따라, 제어부(220)의 제어 아래, 노치(11)의 방향을 소정의 방향(208)에 맞춘다. 노치의 방향 맞춤이 종료되면, 처리용 웨이퍼(1)는 반송 로봇(207)에 의해, 회전대(206)로부터 로드 로크실(212)로 반송된다.
웨이퍼(1)가 로드 로크실(212)로 반송되면, 로드 로크실(212)은 소정의 진공도까지 배기된다. 로드 로크실(212)이 소정의 진공도로 배기되면, 처리용 웨이퍼(1)는 반송용 챔버(213)로 반송된다. 그 후, 처리용 웨이퍼(1)는 지정된 처리실 내로 반송되어, 처리실 내에서 지정된 노치의 방향이 되도록 도입된다. 웨이퍼(1)가 예를 들면, 처리실(1) 내로 반송될 동안에, 제어용 PC(205)에 입력된 웨이퍼 상의 패턴 정보를 판독한다. 판독된 패턴 정보와 처리실 내의 노치의 방향(209, 210, 211), 및 미리 설정된 모니터용 입사광의 조사 위치의 정보로부터, 입사광의 조사 위치에 있어서의 측정 패턴의 라인 방향(9)의 정보를 추출하고, 입사광의 편광 방향(10)이 라인 앤드 스페이스 패턴의 방향과 수직, 즉 90도가 되는 각도로 편광 필터의 회전 각도(214, 215, 216)를 회전 기구(217, 218, 219)에 의해 각각 회전시킨다. 웨이퍼의 노치의 위치(209, 210, 211), 입사광의 조사 위치, 편광 필터의 각도(214, 215, 216)의 상대 위치 관계는, 처리실(1, 2, 3)에서 같을 필요가 있다. 통상, 웨이퍼 상에 형성하는 라인 앤드 스페이스 패턴의 방향은, 노치 방향에 대하여, 평행 또는 수직이기 때문에, 편광 필터의 회전 방향은 0°, 혹은, 90° 방향의 2 방향으로 간편하게 회전할 수 있는 기구를 마련해도 된다. 또한, 처리실(1, 2, 3)은 드라이 에칭 장치에 한하지 않고, 원자층 퇴적 장치 등의 성막 장치여도 된다.
다음으로, 디포막 두께를 모니터하는 패턴 영역이 수 평방 밀리미터 이하 정도이며, 측정 위치의 미세 조정이 필요한 경우의 입사광의 조사 위치와 검출용 파이버의 위치의 조정 방법의 일례에 대해서 기술한다. 본 실시예에서는, 광원(102), 및 간섭광을 검출하는 검출용 광파이버(106)는 스테이지 위치를 X축 방향과 Y 방향으로 미세 조정 가능한 가동 스테이지에 설치되어 있다. 처리용 웨이퍼를 처리실(1)로 반송 후, 웨이퍼를 처리실의 스테이지에 고정한다. 우선, 모니터용 광원(102)으로부터 출사된 광을 측정 패턴에 조사하고, 측정 위치 맞춤용 카메라로 조사 위치를 확인한다. 위치 맞춤용 카메라로서, CCD 카메라나 CMOS 카메라와 같은 소형 카메라를 이용하면 된다. 카메라로 취득한 측정 위치의 화상은 제어용 PC(205)에 표시된다. 조사 위치가 원하는 측정 패턴으로부터 벗어나 있을 경우, 제어용 PC(205)에 웨이퍼 정보의 패턴 배치도를 표시하고, 입사광이 현재 조사하고 있는 패턴의 조사와 원하는 측정 패턴의 위치를 지정함으로써 위치 어긋남을 계산하여, 모니터 제어부(29)에 의해 XY 스테이지를 원하는 위치로 조정할 수 있다.
본 실시예의 구성에 있어서, 모니터용 광원을 원하는 위치로 조정하고, 입사광(104)을 측정 패턴에 조사한 후에는, 실시예 1에 기재한 방법을 이용하여 디포막 두께의 지표와 에칭량의 지표를 리얼 타임 모니터함으로써, 원하는 형상의 패턴을 재현성 좋게 장기간 안정적으로 형성하는 것이 가능하다.
또한, 본 발명은 상기한 실시예에 한정되는 것이 아니라, 다양한 변형예가 포함된다. 예를 들면, 상기한 실시예는 본 발명의 보다 좋은 이해를 위해 상세하게 설명한 것이며, 반드시 설명한 모든 구성을 구비하는 것에 한정되는 것이 아니다. 또한, 어떤 실시예의 구성의 일부를 다른 실시예의 구성으로 치환하는 것이 가능하며, 또한, 어떤 실시예의 구성에 다른 실시예의 구성을 더하는 것이 가능하다. 또한, 각 실시예의 구성의 일부에 대해서, 다른 구성의 추가·삭제·치환을 하는 것이 가능하다. 또한, 상술한 각 구성, 기능, 각종 제어부 등은, 그것들의 일부 또는 전부를 실현하는 프로그램을 작성하는 예를 설명했지만, 그것들의 일부 또는 전부를 예를 들면 집적 회로로 설계하는 등에 의해 하드웨어로 실현해도 되는 것은 말할 것도 없다. 즉, 제어부의 전부 또는 일부의 기능은, 프로그램을 대신하여, 예를 들면, ASIC(Application Specific Integrated Circuit), FPGA(Field Programmable Gate Array) 등으로 실현 가능하다.
1: 웨이퍼 2: 피에칭 재료
3: 마스크 4: 비에칭층
5: 디포막 6: 측벽
7: 라인 앤드 스페이스 패턴 8: 편광 필터
9: 라인 방향 10: 편광 방향
11: 노치 20: 에칭 장치
21, 201, 202, 203: 처리실 22: 웨이퍼 스테이지
23: 가스 공급부 24: 디포 공정용 가스
25: 에칭 공정용 가스 26: 검출부
27: 고주파 전원 28: 모니터부
29: 모니터 제어부 30: 바이어스 전원
31: 고주파 인가부 36: 장치 제어부
37: 가스 제어부 38: 배기계 제어부
39: 고주파 제어부 40: 바이어스 제어부
41: 기억부 42: 클록
44: 고주파 전력 45: 바이어스 전압
46: 제어 신호 47: 제어선
102: 광원 103, 217, 218, 219: 회전 기구
104: 입사광 105: 간섭광
106: 광파이버 107: 분광기
108, 220: 제어부 109: 산출부
110: 데이터베이스 111: 피팅 곡선
120: 홀 패턴 121: 피치가 큰 방향의 측벽 형상
122: 피치가 작은 방향의 측벽 형상 204: 웨이퍼 로더
205: 제어용 PC 206: 회전대
207: 반송 로봇 208, 209, 210, 211: 노치 위치
212: 로드 로크실 213: 반송용 챔버
214, 215, 216: 편광 필터의 회전 각도
221: 노치 위치 조정 스테이지

Claims (15)

  1. 피(被)에칭막 상에 퇴적층을 형성하는 퇴적 공정과, 상기 퇴적층과 상기 피에칭막의 반응 생성물을 제거하는 제거 공정을 반복함으로써 상기 피에칭막을 에칭하는 플라스마 처리 방법에 있어서,
    상기 피에칭막의 마스크 패턴에 대하여 소정의 각도로 편광된 편광을 조사(照射)함으로써 얻어지고 상기 마스크 패턴에 의해 반사된 간섭광의 변화를 이용하여 상기 퇴적층의 막 두께의 변화량을 모니터하는 모니터 공정을 갖는 것을 특징으로 하는 플라스마 처리 방법.
  2. 제1항에 있어서,
    상기 소정의 각도는, 상기 마스크 패턴이 라인 앤드 스페이스 패턴일 경우, 90도인 것을 특징으로 하는 플라스마 처리 방법.
  3. 제1항에 있어서,
    상기 모니터 공정은, 상기 제거 공정의 상기 간섭광의 신호 강도와 상기 퇴적 공정의 상기 간섭광의 신호 강도의 차이에 의거하여 상기 퇴적층의 막 두께의 변화량을 모니터하는 것을 특징으로 하는 플라스마 처리 방법.
  4. 제1항에 있어서,
    상기 모니터 공정은, 상기 간섭광의 신호 강도를 이용하여 구해진 피팅 곡선(fitting curve)의 진폭과 주기에 의거하여 상기 퇴적층의 막 두께의 변화량을 모니터하는 것을 특징으로 하는 플라스마 처리 방법.
  5. 제2항에 있어서,
    상기 모니터 공정은, 상기 제거 공정의 상기 간섭광의 신호 강도와 상기 퇴적 공정의 상기 간섭광의 신호 강도의 차이에 의거하여 상기 퇴적층의 막 두께의 변화량을 모니터하는 것을 특징으로 하는 플라스마 처리 방법.
  6. 제2항에 있어서,
    상기 모니터 공정은, 상기 간섭광의 신호 강도를 이용하여 구해진 피팅 곡선의 진폭과 주기에 의거하여 상기 퇴적층의 막 두께의 변화량을 모니터하는 것을 특징으로 하는 플라스마 처리 방법.
  7. 제3항에 있어서,
    상기 차이는, 상기 제거 공정의 간섭광의 신호 강도를 이용하여 구해진 피팅 곡선의 기울기에 의해 규격화되어 있는 것을 특징으로 하는 플라스마 처리 방법.
  8. 제1항에 있어서,
    상기 편광은, 상기 마스크 패턴이 홀 패턴이고, 상기 홀 패턴에 있어서의 한쪽 방향의 피치가 상기 홀 패턴에 있어서의 다른 쪽 방향의 피치보다 작을 경우, 상기 한쪽 방향의 피치에 대하여 수직으로 편광되고,
    상기 모니터 공정은, 상기 다른 쪽 방향의 측에 있어서의 상기 홀 패턴의 측벽의 퇴적층에 따른 막 두께의 변화량을 모니터하는 것을 특징으로 하는 플라스마 처리 방법.
  9. 제6항에 있어서,
    상기 편광은, 상기 마스크 패턴이 홀 패턴이고, 상기 홀 패턴에 있어서의 한쪽 방향의 피치가 상기 홀 패턴에 있어서의 다른 쪽 방향의 피치보다 작을 경우, 상기 한쪽 방향의 피치에 대하여 수직으로 편광되고,
    상기 모니터 공정은, 상기 다른 쪽 방향의 측에 있어서의 상기 홀 패턴의 측벽의 퇴적층에 따른 막 두께의 변화량을 모니터하는 것을 특징으로 하는 플라스마 처리 방법.
  10. 제7항에 있어서,
    상기 편광은, 상기 마스크 패턴이 홀 패턴이고, 상기 홀 패턴에 있어서의 한쪽 방향의 피치가 상기 홀 패턴에 있어서의 다른 쪽 방향의 피치보다 작을 경우, 상기 한쪽 방향의 피치에 대하여 수직으로 편광되고,
    상기 모니터 공정은, 상기 다른 쪽 방향의 측에 있어서의 상기 홀 패턴의 측벽의 퇴적층에 따른 막 두께의 변화량을 모니터하는 것을 특징으로 하는 플라스마 처리 방법.
  11. 피에칭막이 성막된 시료가 플라스마 처리되는 처리실과,
    플라스마를 생성하기 위한 고주파 전력을 공급하는 고주파 전원과,
    상기 시료가 재치(載置)되는 시료대와,
    광을 조사하는 광원과,
    상기 광원으로부터 조사된 광을 상기 피에칭막의 마스크 패턴에 대하여 소정의 각도로 편광시키는 편광 필터와,
    상기 편광 필터의 회전 각도를 제어하는 회전 기구와,
    상기 회전 기구에 의해 회전 각도가 제어된 상기 편광 필터에 의해 편광된 상기 광을 조사함으로써 얻어지고 상기 마스크 패턴에 의해 반사된 간섭광의 변화를 이용하여 상기 피에칭막 상에 있어서의 퇴적층의 막 두께의 변화량이 구해지는 제어부를 구비하는 것을 특징으로 하는 플라스마 처리 장치.
  12. 제11항에 있어서,
    상기 회전 기구는, 상기 마스크 패턴이 라인 앤드 스페이스 패턴일 경우, 상기 소정의 각도가 90도가 되도록 상기 편광 필터의 회전 각도를 제어하는 것을 특징으로 하는 플라스마 처리 장치.
  13. 제11항에 있어서,
    상기 피에칭막 상에 퇴적층을 형성하는 퇴적 공정과, 상기 퇴적층과 상기 피에칭막의 반응 생성물을 제거하는 제거 공정을 반복함으로써 상기 피에칭막을 에칭하는 플라스마 처리가 행해질 경우, 상기 제어부는, 상기 제거 공정의 상기 간섭광의 신호 강도와 상기 퇴적 공정의 상기 간섭광의 신호 강도의 차이에 의거하여 상기 퇴적층의 막 두께의 변화량을 구하는 것을 특징으로 하는 플라스마 처리 장치.
  14. 제11항에 있어서,
    상기 피에칭막 상에 퇴적층을 형성하는 퇴적 공정과, 상기 퇴적층과 상기 피에칭막의 반응 생성물을 제거하는 제거 공정을 반복함으로써 상기 피에칭막을 에칭하는 플라스마 처리가 행해질 경우, 상기 제어부는, 상기 간섭광의 신호 강도를 이용하여 구해진 피팅 곡선의 진폭과 주기에 의거하여 상기 퇴적층의 막 두께의 변화량을 구하는 것을 특징으로 하는 플라스마 처리 장치.
  15. 제13항에 있어서,
    상기 차이는, 상기 제거 공정의 간섭광의 신호 강도를 이용하여 구해진 피팅 곡선의 기울기에 의해 규격화된 값이며,
    상기 마스크 패턴이 홀 패턴이고, 상기 홀 패턴에 있어서의 한쪽 방향의 피치가 상기 홀 패턴에 있어서의 다른 쪽 방향의 피치보다 작을 경우,
    상기 회전 기구는, 상기 한쪽 방향의 피치에 대하여 수직으로 상기 광이 편광되도록 상기 편광 필터의 회전 각도를 제어하고,
    상기 제어부는, 상기 다른 쪽 방향의 측에 있어서의 상기 홀 패턴의 측벽의 퇴적층에 따른 막 두께의 변화량을 구하는 것을 특징으로 하는 플라스마 처리 장치.
KR1020187036680A 2018-01-31 2018-01-31 플라스마 처리 방법, 및 플라스마 처리 장치 KR102172031B1 (ko)

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
PCT/JP2018/003165 WO2019003483A1 (ja) 2018-01-31 2018-01-31 プラズマ処理方法、及びプラズマ処理装置

Publications (2)

Publication Number Publication Date
KR20190093497A true KR20190093497A (ko) 2019-08-09
KR102172031B1 KR102172031B1 (ko) 2020-10-30

Family

ID=64741345

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1020187036680A KR102172031B1 (ko) 2018-01-31 2018-01-31 플라스마 처리 방법, 및 플라스마 처리 장치

Country Status (6)

Country Link
US (1) US10971369B2 (ko)
JP (1) JP6705023B2 (ko)
KR (1) KR102172031B1 (ko)
CN (1) CN110326089B (ko)
TW (1) TWI711800B (ko)
WO (1) WO2019003483A1 (ko)

Families Citing this family (7)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US10832979B2 (en) * 2018-02-22 2020-11-10 Lam Research Corporation Feedback control system for iterative etch process
JP7130524B2 (ja) * 2018-10-26 2022-09-05 東京エレクトロン株式会社 基板処理装置の制御装置および基板処理装置の制御方法
JPWO2020100339A1 (ja) * 2019-06-26 2021-02-15 株式会社日立ハイテク プラズマ処理方法
JP7236975B2 (ja) * 2019-10-08 2023-03-10 東京エレクトロン株式会社 制御装置、処理装置及び制御方法
KR102581094B1 (ko) * 2020-02-10 2023-09-22 주식회사 히타치하이테크 플라스마 처리 방법
US20220165593A1 (en) * 2020-11-24 2022-05-26 Applied Materials, Inc. Feedforward control of multi-layer stacks during device fabrication
CN112729133B (zh) * 2020-12-18 2023-02-24 广东省大湾区集成电路与系统应用研究院 一种基于探测光栅衍射强度测量薄膜厚度的方法及装置

Citations (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2001332534A (ja) * 2000-05-25 2001-11-30 Matsushita Electric Ind Co Ltd プラズマ処理方法及びプラズマ処理装置
JP2005127797A (ja) * 2003-10-22 2005-05-19 Toshiba Corp 光学式プロセスモニタ装置、光学式プロセスモニタ方法及び半導体装置の製造方法
KR20050059266A (ko) * 2005-04-15 2005-06-17 가부시키가이샤 히타치세이사쿠쇼 반도체 장치의 제조 방법
JP2005302771A (ja) * 2004-04-06 2005-10-27 Renesas Technology Corp 半導体デバイスの製造装置および製造方法
JP2014232825A (ja) 2013-05-30 2014-12-11 株式会社日立ハイテクノロジーズ プラズマ処理方法

Family Cites Families (10)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6390019B1 (en) 1998-06-11 2002-05-21 Applied Materials, Inc. Chamber having improved process monitoring window
WO2002025696A2 (en) * 2000-09-21 2002-03-28 Applied Materials, Inc. Reducing deposition of process residues on a surface in a chamber
US6831742B1 (en) * 2000-10-23 2004-12-14 Applied Materials, Inc Monitoring substrate processing using reflected radiation
JP5713808B2 (ja) * 2010-07-09 2015-05-07 東京エレクトロン株式会社 プラズマ処理方法及び半導体装置の製造方法
WO2012023537A1 (ja) * 2010-08-19 2012-02-23 株式会社 アルバック ドライエッチング方法及び半導体装置の製造方法
US8440473B2 (en) * 2011-06-06 2013-05-14 Lam Research Corporation Use of spectrum to synchronize RF switching with gas switching during etch
JP2014107520A (ja) * 2012-11-30 2014-06-09 Hitachi High-Technologies Corp プラズマエッチング方法
JP6035606B2 (ja) 2013-04-09 2016-11-30 株式会社日立ハイテクノロジーズ プラズマ処理方法およびプラズマ処理装置
CN104658882B (zh) * 2013-11-25 2017-09-01 北京北方微电子基地设备工艺研究中心有限责任公司 控制浅沟槽深度微负载效应的刻蚀方法
EP3432346A4 (en) * 2016-03-17 2019-10-16 Zeon Corporation plasma etching

Patent Citations (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2001332534A (ja) * 2000-05-25 2001-11-30 Matsushita Electric Ind Co Ltd プラズマ処理方法及びプラズマ処理装置
JP2005127797A (ja) * 2003-10-22 2005-05-19 Toshiba Corp 光学式プロセスモニタ装置、光学式プロセスモニタ方法及び半導体装置の製造方法
JP2005302771A (ja) * 2004-04-06 2005-10-27 Renesas Technology Corp 半導体デバイスの製造装置および製造方法
KR20050059266A (ko) * 2005-04-15 2005-06-17 가부시키가이샤 히타치세이사쿠쇼 반도체 장치의 제조 방법
JP2014232825A (ja) 2013-05-30 2014-12-11 株式会社日立ハイテクノロジーズ プラズマ処理方法

Non-Patent Citations (1)

* Cited by examiner, † Cited by third party
Title
Journal of Vacuum Science & Technology A32, 020603(2014)

Also Published As

Publication number Publication date
TWI711800B (zh) 2020-12-01
WO2019003483A1 (ja) 2019-01-03
KR102172031B1 (ko) 2020-10-30
CN110326089A (zh) 2019-10-11
US10971369B2 (en) 2021-04-06
JP6705023B2 (ja) 2020-06-03
US20190237337A1 (en) 2019-08-01
CN110326089B (zh) 2023-07-04
TW201934957A (zh) 2019-09-01
JPWO2019003483A1 (ja) 2019-07-04

Similar Documents

Publication Publication Date Title
CN110326089B (zh) 等离子处理方法以及等离子处理装置
JP7269296B2 (ja) 方法およびエッチングシステム
US10665516B2 (en) Etching method and plasma processing apparatus
JP6019043B2 (ja) 光学計測及びセンサ装置を用いるエッチングプロセス制御
US8173451B1 (en) Etch stage measurement system
CN100382233C (zh) 监测处理室中处理的方法以及基底处理设备
EP1492153A2 (en) Method and system for monitoring an etch process
JPH0614510B2 (ja) パターン形成方法
TWI732440B (zh) 電漿處理方法及電漿處理裝置
JP6072613B2 (ja) プラズマ処理方法
US20170207066A1 (en) Method and apparatus for plasma etching
US8173450B1 (en) Method of designing an etch stage measurement system
JP2007103604A (ja) エッチング方法および処理装置
TW202341819A (zh) 電漿處理裝置及電漿處理方法
Klimecky Plasma density control for reactivate ion etch variation reduction in industrial microelectronics
Stutzman Correlation of process with topography evolution during reactive ion etching

Legal Events

Date Code Title Description
A201 Request for examination
E902 Notification of reason for refusal
E701 Decision to grant or registration of patent right
GRNT Written decision to grant