TW202341819A - 電漿處理裝置及電漿處理方法 - Google Patents

電漿處理裝置及電漿處理方法 Download PDF

Info

Publication number
TW202341819A
TW202341819A TW112123319A TW112123319A TW202341819A TW 202341819 A TW202341819 A TW 202341819A TW 112123319 A TW112123319 A TW 112123319A TW 112123319 A TW112123319 A TW 112123319A TW 202341819 A TW202341819 A TW 202341819A
Authority
TW
Taiwan
Prior art keywords
protective film
pattern
gas
sample
etching
Prior art date
Application number
TW112123319A
Other languages
English (en)
Inventor
松井都
臼井建人
桑原謙一
Original Assignee
日商日立全球先端科技股份有限公司
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 日商日立全球先端科技股份有限公司 filed Critical 日商日立全球先端科技股份有限公司
Publication of TW202341819A publication Critical patent/TW202341819A/zh

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67017Apparatus for fluid treatment
    • H01L21/67063Apparatus for fluid treatment for etching
    • H01L21/67069Apparatus for fluid treatment for etching for drying etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L22/00Testing or measuring during manufacture or treatment; Reliability measurements, i.e. testing of parts without further processing to modify the parts as such; Structural arrangements therefor
    • H01L22/20Sequence of activities consisting of a plurality of measurements, corrections, marking or sorting steps
    • H01L22/26Acting in response to an ongoing measurement without interruption of processing, e.g. endpoint detection, in-situ thickness measurement
    • GPHYSICS
    • G01MEASURING; TESTING
    • G01BMEASURING LENGTH, THICKNESS OR SIMILAR LINEAR DIMENSIONS; MEASURING ANGLES; MEASURING AREAS; MEASURING IRREGULARITIES OF SURFACES OR CONTOURS
    • G01B11/00Measuring arrangements characterised by the use of optical techniques
    • G01B11/02Measuring arrangements characterised by the use of optical techniques for measuring length, width or thickness
    • G01B11/06Measuring arrangements characterised by the use of optical techniques for measuring length, width or thickness for measuring thickness ; e.g. of sheet material
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32082Radio frequency generated discharge
    • H01J37/32174Circuits specially adapted for controlling the RF discharge
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/3244Gas supply means
    • H01J37/32449Gas control, e.g. control of the gas flow
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32917Plasma diagnostics
    • H01J37/32935Monitoring and controlling tubes by information coming from the object and/or discharge
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32917Plasma diagnostics
    • H01J37/32935Monitoring and controlling tubes by information coming from the object and/or discharge
    • H01J37/32972Spectral analysis
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/02164Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material being a silicon oxide, e.g. SiO2
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • H01L21/3065Plasma etching; Reactive-ion etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31105Etching inorganic layers
    • H01L21/31111Etching inorganic layers by chemical means
    • H01L21/31116Etching inorganic layers by chemical means by dry-etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31144Etching the insulating layers by chemical or physical means using masks
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67098Apparatus for thermal treatment
    • H01L21/67115Apparatus for thermal treatment mainly by radiation
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67242Apparatus for monitoring, sorting or marking
    • H01L21/67248Temperature monitoring
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L22/00Testing or measuring during manufacture or treatment; Reliability measurements, i.e. testing of parts without further processing to modify the parts as such; Structural arrangements therefor
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L22/00Testing or measuring during manufacture or treatment; Reliability measurements, i.e. testing of parts without further processing to modify the parts as such; Structural arrangements therefor
    • H01L22/10Measuring as part of the manufacturing process
    • H01L22/12Measuring as part of the manufacturing process for structural parameters, e.g. thickness, line width, refractive index, temperature, warp, bond strength, defects, optical inspection, electrical measurement of structural dimensions, metallurgic measurement of diffusions

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • General Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Chemical & Material Sciences (AREA)
  • Plasma & Fusion (AREA)
  • Analytical Chemistry (AREA)
  • Spectroscopy & Molecular Physics (AREA)
  • Health & Medical Sciences (AREA)
  • Toxicology (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • General Chemical & Material Sciences (AREA)
  • Inorganic Chemistry (AREA)
  • Drying Of Semiconductors (AREA)
  • Treatment Of Fiber Materials (AREA)

Abstract

本發明之電漿處理裝置,具備供試料受到電漿處理之處理室、及供給用來生成電漿的高頻電力之高頻電源、及供試料載置之試料台。電漿處理裝置,更具備:控制裝置,運用藉由對試料照射紫外線而從試料反射的干涉光,計測在試料的期望的材料選擇性地形成的保護膜的厚度,或運用藉由對試料照射紫外線而從試料反射的干涉光而判斷保護膜的選擇性。

Description

電漿處理裝置及電漿處理方法
本發明係電漿處理裝置及電漿處理方法,特別有關可在晶圓上的圖樣的上面形成期望的蝕刻保護膜之電漿處理裝置及電漿處理方法。
由於半導體元件等的機能元件製品的微細化及三維化,半導體製造中的乾蝕刻工程中,以薄膜間隔材(spacer)或金屬等的各種材料作為遮罩之溝或孔洞的三維加工技術變得重要。半導體元件的圖樣中的遮罩或閘極絕緣膜、蝕刻阻擋層等的厚度變薄,被要求以原子層等級來控制形狀之加工技術。又,伴隨元件的三維化,加工複雜的形狀之工程不斷增加。
以乾蝕刻工程加工這樣的元件時,為了控制圖樣的尺寸而加工,會於蝕刻裝置內在圖樣上形成保護膜而將圖樣尺寸調整成均一,來抑制尺寸的不均,作為這樣的技術,專利文獻1中揭示一種為了抑制遮罩圖樣的尺寸不均而於乾蝕刻前在遮罩圖樣之上形成保護膜的手法。專利文獻1的技術中,係對晶圓內賦予溫度分布,藉此抑制晶圓內的尺寸不均,以便抑制初始的遮罩圖樣的寬幅的尺寸不均而可形成保護膜。
此外,專利文獻2中揭示一種於蝕刻裝置內在圖樣上形成保護膜後,以保護膜作為遮罩而蝕刻之技術,以便盡可能不蝕刻遮罩等的耐蝕刻材料,而以高選擇比加工期望的圖樣。專利文獻2中,為了將保護膜的膜厚與尺寸做成均一,揭示一種於乾蝕刻前在圖樣上形成保護膜,再除去保護膜的一部分使得形成的保護膜的膜厚與尺寸於晶圓面內成為均一,以於晶圓面內被均一化的保護膜作為遮罩而乾蝕刻之技術。 先前技術文獻 專利文獻
專利文獻1:日本特開2017-212331號公報 專利文獻2:國際公開第2020/121540號
發明所欲解決之問題
如上述般,隨著三維元件中的圖樣的微細化與複雜化,以原子層等級控制微細而複雜的構造的元件的加工形狀,且以高選擇比對多種類的膜加工之技術變得重要。為了進行這樣的加工,揭示一種於藉由乾蝕刻裝置加工圖樣之前,於乾蝕刻裝置內在圖樣上形成保護膜後進行蝕刻之手法。
首先,專利文獻1中,作為抑制圖樣的最小線寬的不一致之方法,揭示一種於蝕刻前在遮罩圖樣表面堆積膜的手法。此時,堆積膜的堆積速率取決於晶圓溫度,因此基於堆積速率與溫度之關聯性,使晶圓溫度在各區域變化以便修正事先測定好的圖樣尺寸的不均,藉此形成用來修正溝寬幅的不均之薄膜,來調整在晶圓面內的溝寬幅。為了抑制圖樣的上面的蝕刻,必須形成讓從電漿照射的離子能量無法供給至保護膜與圖樣表面之界面的程度的厚度的保護膜。專利文獻1的手法中,如圖2所示,在形成於基板103上的圖樣102的上面121,形成和側面122同程度的膜厚的堆積膜120,因此能夠減低圖樣102的尺寸不均。但,無法獨立地調整側面120的堆積膜的厚度與上面122的厚度,因此無法在圖樣102的上面121堆積足以抑制照射至上面121的離子及自由基所造成的蝕刻的厚度的膜。
專利文獻2中,揭示一種保護膜形成方法,具有:保護膜堆積工程,在圖樣上部形成比圖樣上部的寬幅還大的寬幅的保護膜,而不使膜積於圖樣的溝底;及保護膜部分除去工程,除去堆積工程中形成的堆積膜的晶圓面內分布當中的晶圓中央部分的過剩的堆積膜,而控制晶圓面內均一性、及保護膜的寬幅的晶圓面內不均。半導體裝置製造工程途中的圖樣,有時會混雜著形成有密度高的圖樣之區域與沒有圖樣之區域。當加工這樣的晶圓的情形下,專利文獻2中記載的手法中,例如如圖3所示,在圖樣102密集的區域107中,能夠在圖樣102的上面形成厚的保護膜101。但,同時也會在沒有圖樣102之區域108的表面上109形成厚的保護膜104,導致妨礙沒有圖樣102之區域108的蝕刻,故難以同時蝕刻圖樣102的底106與沒有圖樣102之區域108的表面109。圖3示意在圖樣102的底106的表面上也形成了薄的保護膜105的狀態。
本發明之目的,在於提供一種保護膜堆積方法,能夠於蝕刻前僅在圖樣的期望的材料上堆積用來抑制蝕刻的保護膜,而不在晶圓上的圖樣少之區域或沒有圖樣之區域堆積不需要的保護膜,此外,提供一種運用該保護膜堆積方法而將圖樣做蝕刻處理之電漿處理裝置及電漿處理方法。 解決問題之技術手段
為解決上述的習知技術的待解問題,本發明電漿處理裝置,係具備供試料受到電漿處理之處理室、及供給用來生成電漿的高頻電力之高頻電源、及供前述試料載置之試料台。電漿處理裝置,更具備:控制裝置,運用藉由對前述試料照射紫外線而從前述試料反射的干涉光,計測在前述試料的期望的材料選擇性地形成的保護膜的厚度,或運用藉由對前述試料照射紫外線而從前述試料反射的干涉光而判斷前述保護膜的選擇性。
此外,為解決上述的習知技術的待解問題,本發明之電漿處理方法,係在期望的材料選擇性地形成保護膜,藉此將被蝕刻膜做電漿蝕刻之電漿處理方法,其中,運用四氯化矽氣體(SiCl 4)與溴化氫氣體(HBr)與氯氣體(Cl 2)而在期望的材料選擇性地形成保護膜。 發明之效果
按照本發明,於蝕刻處理前可在構成圖樣的耐蝕刻材料(遮罩)上選擇性地重現性良好地形成保護膜,而不會在沒有形成圖樣之區域形成不需要的保護膜,能夠將微細圖樣以高選擇比且高精度地重現性良好地做蝕刻加工。
以下,利用圖面詳細說明本發明之實施形態。另,所有的圖中,具有同一機能之物標記同一符號,省略其反覆說明。 實施例
首先,運用圖4說明實施例之保護膜形成方法。圖4示意實施例之保護膜形成方法的說明圖。如圖4所示,按照本發明,在圖樣102密集之區域107中,能夠在圖樣102的上面形成厚的保護膜101,但在沒有圖樣102之區域108的表面上109則不會形成保護膜104。因此,可同時蝕刻圖樣102的底106與沒有圖樣102之區域108的表面109而不會蝕刻圖樣102的上面,能夠將微細圖樣以高選擇比且高精度地重現性良好地做蝕刻加工。此處,圖樣102密集之區域107亦能夠稱為圖樣密集之區域或密集圖樣。此外,沒有圖樣102之區域108亦能夠稱為圖樣稀疏之區域。
實施例之蝕刻裝置(30),構成為可在形成於作為試料的晶圓(100)上之微細的圖樣的表面的期望的材料上選擇性地使保護膜堆積,而將形成了保護膜的圖樣的下層的被蝕刻膜的材料(被蝕刻材料)予以蝕刻除去。
圖1示意本實施例之電漿處理裝置的一例的一全體構成。電漿處理裝置亦即蝕刻裝置30,具備處理室31、晶圓平台32、氣體供給部33、光學系統38、光學系統控制部39、偏壓電源40、高頻施加部41、裝置控制部42等。裝置控制部(亦稱為控制裝置)42,控制處理室31、晶圓平台32、氣體供給部33、光學系統38、光學系統控制部39、偏壓電源40、高頻施加部41,藉此控制蝕刻裝置30的動作及藉由蝕刻裝置30而被實施的各工程(圖5中說明的各工程)之執行。裝置控制部42,具備氣體控制部43、排氣系統控制部44、高頻控制部45、偏壓控制部46、堆積工程控制部47、記憶部50、時鐘51等的機能區塊。該些構成裝置控制部42的各機能區塊,能夠藉由一台的個人電腦(PC)而實現。堆積工程控制部47包含判定部48、資料庫保存部49,藉由將從光學系統控制部39送來的訊號和資料庫49對照,而能夠以判定部48判定僅在期望的材料上形成了保護膜。晶圓平台32,為用來載置試料亦即晶圓100的載置台或試料台。當運用蝕刻裝置30將晶圓100做電漿蝕刻處理的情形下,晶圓100從處理室31的外部被導入處理室31內,載置於試料台亦即晶圓平台32之上。
蝕刻裝置30,設有設於處理室31內之晶圓平台32,與具備氣體鋼瓶或閥之氣體供給部33。氣體供給部33,可切換複數個處理氣體(34、35、36、37)而供給至處理室31內。氣體供給部33,基於來自裝置控制部42的控制訊號54,根據處理步驟對處理室31供給保護膜形成用氣體34、保護膜形成用氣體35、用來除去保護膜的除去用氣體36、蝕刻用氣體37各者。
供給至處理室31的處理氣體,藉由從受到裝置控制部42控制的高頻電源63對高頻施加部41施加之高頻電力52、及從偏壓電源40對晶圓平台32施加之偏壓53,而於處理室31內被分解成電漿。此外,處理室31內的壓力,藉由連接至處理室31之省略圖示的可變傳導(conductance)閥及真空泵浦,能夠在流通期望的流量的處理氣體之狀態下保持一定。高頻電源63、高頻施加部41及高頻電力52能夠視為電漿產生部。
光學系統38,為用來評估形成於晶圓100上的保護膜的堆積狀態之物,藉由以光學系統38取得或監控從光學系統38發射而在晶圓100反射的光譜,便能評估保護膜在形成於晶圓上的圖樣的期望的材料上正在選擇性地堆積,及該保護膜的膜厚。
若要判定保護膜僅在期望的材料上正在選擇性地堆積,首先係取得參照資料(參照用光譜)。為了取得參照資料,係將形成有在圖樣的期望的材料上選擇性地堆積保護膜而成之參照用圖樣的晶圓100導入處理室31而載置於晶圓平台32之上。形成有參照用圖樣的晶圓100的保護膜的形狀或膜厚、選擇性的資訊,事先記憶於資料庫49、或裝置控制部42的記憶部50等作為晶圓資訊。
接著,光學系統38中,將從光源56發射的入射光57照射至晶圓100上的參照溝圖樣上。作為光源56,例如運用190nm至900nm之間的波長區域的光。在參照圖樣反射的反射光(干涉光)58受到檢測器59檢測,通過光纖60,在分光器61被分光而被送至光學系統控制部39作為反射光譜。被送至光學系統控制部39的反射光譜資訊,被送至堆積工程控制部47作為參照資料(參照用光譜)而事先保存作為資料庫49。
接著,作為本實施例之電漿蝕刻方法,如圖4所示,說明對混雜著圖樣102密集之區域107與沒有圖樣102之區域108的圖樣,於處理室31內對圖樣102的材料選擇性地形成保護膜101後,再將被蝕刻材料以高選擇比做蝕刻加工之手法。
接著,運用圖面說明實施例之電漿處理方法。圖5為示意本實施例之選擇性的保護膜形成方法的製程流程的一例的圖。此外,圖6為說明本實施例之保護膜形成方法的製程流程的圖樣截面圖的一例。圖6(a)為示意混雜著圖樣102密集之區域107與沒有圖樣102之區域108的圖樣的圖樣截面圖。圖6(b)為示意對圖6(a)的圖樣實施選擇性的保護膜堆積工程,而選擇性地堆積了保護膜118的狀態的圖樣截面圖。圖6(c)為示意對圖6(b)的圖樣實施蝕刻工程,以高選擇比蝕刻了被蝕刻圖樣116的狀態的圖樣截面圖。
本實施例中,如圖6(a)所示,對混雜著圖樣102密集之區域107與沒有圖樣102之區域108的圖樣,如圖6(b)所示,係在密集之區域107內的圖樣102之上的遮罩117的材料上(一部分)選擇性地堆積保護膜118,而不會在沒有圖樣102之區域108上形成不需要的保護膜。然後,如圖6(c)所示,抑制遮罩117的蝕刻,將形成或成膜於基板115之上的被蝕刻圖樣(被蝕刻膜)116以高選擇比做蝕刻加工。針對此手法,基於圖5的流程說明之。
本實施例中,為了判定保護膜堆積的選擇性,設立了用來取得反射光的光譜,而判定保護膜堆積工程中的選擇性之手段。
此處,反射光譜的強度,會因光源56的輸出或光學系統38的經時變化而變動。此外,使來自光源56的光導入處理室31時,當使用讓光穿透的石英等的窗62的情形下,由於在處理室31內生成的電漿等,窗62的表面狀態會變化,可能對入射光57或反射光(干涉光)58的光譜造成影響。為了校正該些變動,於電漿處理之前,測定並取得作為參考的初始反射光譜(反射光譜測定:S201)。首先,將作為參考的初始晶圓導入處理室31內,將從光源56產生的入射光57通過光穿透用的窗62導入處理室31,照射至晶圓。然後,反射的反射光(干涉光)58再次通過窗62,受到檢測器59檢測。受到檢測器59檢測的光,通過光纖60而在分光器61被分光。在此分光器61被分光的反射光譜被保存於記憶部50作為初始光譜(初始反射光譜)。
接著,實施將試料亦即晶圓100的表面潔淨化之前處理工程。對形成於蝕刻用的晶圓100上的圖樣實施前處理,將形成於圖樣表面的自然氧化膜等除去,形成潔淨的圖樣表面(前處理:S202)。用來形成潔淨表面的前處理(S202),能夠運用藉由電漿處理蝕刻僅最表面之方法、不形成電漿而是僅將氣體導入處理室31之方法、或是熱處理所致之方法。
一旦形成了潔淨的圖樣表面,對取得了初始反射光譜的圖樣上照射從光源56產生的入射光57,測定反射的反射光58的光譜(反射光譜測定:S203)。取得的反射光譜,如同初始光譜般被保存於記憶部50。取得的反射的光譜,和事先保存在資料庫49的潔淨圖樣的反射光譜比較,確認已成為了潔淨表面(S204)。當判定圖樣表面不是潔淨表面的情形下(No),再度實施前處理(S202)及反射光譜測定(S203)。
一旦蝕刻用的晶圓100的表面成為潔淨(S204:Yes),則開始對圖樣材料(期望的材料)選擇性地堆積保護膜之工程(選擇性的保護膜堆積工程)(S205)。
首先,基於來自裝置控制部42的控制訊號54,保護膜形成用氣體34及保護膜形成用氣體35以規定的流量供給至處理室31。被供給的保護膜形成用氣體34及保護膜形成用氣體35,藉由對高頻施加部41施加的高頻電力52而成為電漿,被分解成自由基、離子等。此期間的處理室31內的壓力,能夠藉由可變傳導性閥與真空泵浦,而在流通期望的流量的處理氣體的狀態下保持一定。藉由電漿而生成的自由或離子到達晶圓100的表面,形成圖6(b)所示之保護膜118。保護膜形成用氣體34成為了電漿時,會生成容易堆積於圖樣表面的自由基、離子,而形成保護膜118並堆積。保護膜形成用氣體35成為了電漿時,會生成帶有除去保護膜118的堆積成分的性質之自由基及離子,而抑制在沒有圖樣之廣闊區域堆積不需要的保護膜118。保護膜形成用氣體34為堆積性高的處理氣體,保護膜形成用氣體35為帶有除去堆積成分的效果的處理氣體。
作為使其堆積的保護膜118的材料,例如能夠使SiO 2,Si,SiH x,SiN,SiOC,C,氟碳系聚合物,BCl,BN,BO,BC等堆積。
此處作為一例,說明在密集圖樣107的遮罩117上形成Si系的保護膜118,在廣闊區域108則不形成保護膜118的情形。也就是說,說明藉由在Si上不形成保護膜118,而僅在作為期望的材料(117)的氧化膜(SiO 2)上形成保護膜118之選擇性的保護膜堆積工程,來對遮罩117的材料為SiO 2而不形成保護膜的區域108的表面的材料為Si之圖樣,僅在遮罩117上形成保護膜118,而在廣闊區域108則不形成不需要的保護膜118之情形。此處作為一例,作為保護膜形成用氣體34運用四氯化矽氣體(SiCl 4)與溴化氫氣體(HBr)的混合氣體,作為保護膜形成用氣體35則將氯氣體(Cl 2)以規定的流量供給至處理室31。
圖7(a)中,示意對SiCl 4與HBr的混合氣體加入Cl 2而形成了保護膜118時,Cl 2流量所造成的形成於Si上及SiO 2上的保護膜118的膜厚(保護膜厚)的變化的一例。線110示意Cl 2流量所造成的SiO 2上的保護膜厚的變化,線111示意Cl 2流量所造成的Si上的保護膜厚的變化。吾人發現,當Cl 2流量少的情形下,形成於Si上與SiO 2上的保護膜118的厚度沒有差異,但若使Cl 2流量增加至一定值以上,則存在著僅在SiO 2上形成保護膜118而在Si上則不會形成之條件。也就是說,發現了保護膜118可在SiO 2上選擇性地沉積。圖7(b)中,示意僅在SiO 2上形成保護膜118而在Si上則不會形成之一條件下,保護膜厚的堆積工程的處理時間相依性。線112示意SiO 2上的保護膜厚的處理時間變化,線113示意Si上的保護膜厚的處理時間變化。得知若處理時間成為某一定時間以上,則在SiO 2上及Si上都會形成保護膜118,但若為一定時間以下,則僅會在SiO 2上形成保護膜118,能夠在材料選擇性地形成保護膜118。
保護膜形成用氣體34,除上述說明的以外,例如當使容易堆積於圖樣材料上的氣體例如Si或SiO 2等的含Si的膜堆積作為保護膜118的情形下,係運用SiCl 4、或是SiF 4或SiH 4等的Si系氣體。當使SiO 2堆積作為保護膜118的情形下,例如運用SiF 4、或是SiCl 4等的Si系氣體與O 2,CO 2,N 2等的氣體,及Ar,He等的混合氣體。當使Si堆積作為保護膜118的情形下,例如運用SiH 4,SiF 4、或是SiCl 4等的Si系氣體與H 2,HBr,NH 3,CH 3F等的氣體,及Ar,He等的混合氣體。當使SiN堆積作為保護膜118的情形下,例如作為氣體運用SiF 4、或是SiCl 4等的Si系氣體與N 2,NF 3等的氣體,及H 2,Ar,He等的混合氣體。作為保護膜形成用氣體35,係運用帶有除去含Si的堆積膜之性質的氣體,例如Cl 2、或是CF 4等的氟碳氣體、CHF 3等的氫氟碳氣體、NF 3等的氣體、及Ar,He,O 2、CO 2等的混合氣體。
此外,當使C系聚合物或CF系聚合物堆積作為保護膜118的情形下,保護膜形成用氣體34例如運用氟碳氣體、氫氟碳氣體、或是CH 4與Ar、He、Ne、Kr、Xe等的稀有氣體的混合氣體。保護膜形成用氣體35,運用O 2、CO 2、SO 2、CF 4、N 2、H 2、無水HF、CH 4、CHF 3、HBr、NF 3、SF 6等的混合氣體。
此外,當使BCl,BN,BO,BC等堆積作為保護膜118的情形下,保護膜形成用氣體34例如運用BCl 3等與Ar、He、Ne、Kr、Xe等的稀有氣體的混合氣體。保護膜形成用氣體35,例如運用Cl 2、O 2、CO 2、CF 4、N 2、H 2、無水HF、CH 4、CHF 3、HBr、NF 3、SF 6等的混合氣體。
保護膜118,能夠對應於遮罩的非蝕刻層117、下層的被蝕刻層116的材料而使其選擇性地堆積。
保護膜堆積工程(S205)之後,再度對圖樣上照射從光源56產生的入射光57,測定反射的反射光58的反射光譜(反射光譜測定:S206)。取得的反射光譜,如同初始光譜般被保存於記憶部50,被送至堆積工程控制部47內的判定部48。取得的反射的光譜,和資料庫49中事先保存的來自選擇性地使保護膜118堆積而成之參照用圖樣的反射光譜比較,基於該比較結果來判定保護膜118是否正在選擇性地堆積(S207)。又,判定部48中,從資料庫49中事先保存的來自參照圖樣的反射光譜與保護膜堆積後取得的反射光譜,能夠算出選擇性地堆積的保護膜118的厚度、及圖樣寬幅(尺寸)。
圖8中,示意SiO 2系的保護膜118選擇性地堆積的情形,與齊一地堆積的情形下的反射光譜的差異的一例。縱軸示意訊號強度,橫軸示意波長。在保護膜118選擇性地堆積的情形與齊一地堆積的情形下反射光譜會變化,因此藉由比較事先取得而保存於資料庫49的反射光譜與於選擇性的保護膜堆積工程(S205)後藉由反射光譜測定(S206)而取得的反射光譜,便能判定保護膜118已選擇性地堆積。或是,藉由和運用事先測定好的保護膜118的反射率而計算出的反射光譜比較,便能判定保護膜118已選擇性地堆積。
作為判定保護膜118選擇性地堆積的另一手法,亦能運用將藉由於選擇性的保護膜堆積工程(S205)後取得的反射光譜測定(S206)而取得的反射光譜,以藉由事先保存於記憶部50的選擇性的保護膜堆積工程(S205)的實施前的初始反射光譜測定(S201)取得的初始的反射光譜、或是以藉由進行了前處理(S202)後的反射光譜測定(S203)取得的潔淨的圖樣的反射光譜而予以標準化而成之光譜。藉此,便可減小由於在處理室31內生成的電漿等而窗62的表面狀態變化所造成之對於入射光57或反射光(干涉光)58的光譜變動的影響,而正確地判定。圖9中,示意針對將保護膜118選擇性地堆積的情形與將保護膜118齊一地堆積的情形,藉由選擇性的保護膜堆積工程(S205)實施前的初始反射光譜測定(S201)取得的初始光譜予以標準化而成之光譜。縱軸示意訊號強度比,橫軸示意波長。當使SiO 2系的保護膜118堆積的情形下,選擇性地堆積的情形與齊一地堆積的情形之訊號強度的差異,在波長200~500nm的範圍有較大的傾向。是故,藉由運用200~500nm的短波長的入射光57來取得反射光58,便能靈敏度良好地判定SiO 2系的保護膜118已經選擇性地堆積。例如,作為200~500nm的短波長的入射光57的光源56,能夠運用Xe燈等的發出紫外光(亦稱為紫外線)的紫外光源。
圖10中,作為一例,示意將SiO 2系的保護膜118選擇性地堆積的情形與齊一地堆積的情形下,堆積處理時間所造成之特定的波長亦即波長270nm的訊號強度的變化。縱軸示意訊號強度比,橫軸示意堆積處理時間。訊號強度比,為將初始光譜的訊號強度予以標準化而成之值。例如,當以處理時間20秒形成了保護膜118的情形下,如圖10所示,設定用來判定已選擇性地形成了保護膜118之規定值1,藉此當實際測定出的訊號強度比比規定值1還大的情形下(規定值以上),便能判定保護膜118已選擇性地堆積。此處,規定值1,如圖10所示,被設定在處理時間20秒中,將保護膜118齊一地堆積的情形下的訊號強度比與將保護膜118選擇性地堆積的情形下的訊號強度比之間。例如,當將規定值1設定成訊號強度比3的情形下,當實際測定出的訊號強度比比規定值1還大的情形下,便能判定保護膜118已選擇性地堆積。
圖11中,作為另一例,示意將SiO 2系的保護膜118選擇性地堆積的情形與齊一地堆積的情形下,堆積處理時間所造成之特定的波長亦即波長390nm的訊號強度的變化。縱軸示意訊號強度比,橫軸示意堆積處理時間。訊號強度比,為將初始光譜的訊號強度予以標準化而成之值。例如,當以處理時間5秒形成了保護膜118的情形下,若將規定值2設定成訊號強度比1,則當實際測定出的訊號強度比比規定值2還大的情形下(規定值以上),便能判定保護膜118已選擇性地堆積。
圖12中,作為另一例,示意當將SiO 2系的保護膜118選擇性地堆積的情形與齊一地堆積的情形下,堆積處理時間所造成之以初始光譜標準化而成之訊號強度比成為1的波長的變化。縱軸示意訊號強度比成為1的波長,橫軸示意堆積處理時間。例如,當以處理時間20秒形成了保護膜118的情形下,若將規定波長3設定成波長380nm,則當訊號強度比成為1的波長比規定波長3還大的情形下,便能判定保護膜118已選擇性地堆積。
此處,上述的規定值1、規定值2、規定波長3,可從來自事先保存於資料庫49的選擇性地使保護膜118堆積而成之參照用圖樣的初始光譜與反射光譜,而藉由判定部48設定。或是,亦可運用事先測定的圖樣的光學常數、及堆積膜的光學常數,以判定部48藉由計算求出初始光譜與反射光譜,而事先設定。
藉由上述的手法,S207中,當判定尚未選擇性地形成保護膜118的情形下(No),實施保護膜除去工程(S208)。一旦保護膜除去工程(S208)開始,則保護膜除去用氣體36以規定的流量供給至處理室31。被供給的保護膜除去用氣體36藉由施加於高頻施加部41之高頻電力52而成為電漿,被分解成離子或自由基,而被照射至晶圓100表面。
一旦保護膜除去工程(S208)結束,再次取得作為參考的初始光譜(S201),實施前處理(S202)後,再次實施選擇性的保護膜堆積工程(S205)。此時,再次進行時的選擇性的保護膜堆積工程的條件,是基於保存於記憶部50的前次實施的情形下的保護膜堆積工程(S205)後的反射光譜的測定結果,而調整藉由判定部48修正的條件(保護膜堆積條件的調整:S209)。例如,當從前次實施時的保護膜堆積工程後的反射光譜,判定尚未選擇性地形成保護膜118的情形下,例如將保護膜堆積條件決定成使保護膜形成用氣體35亦即Cl 2流量增加恰好規定的量而成之條件,依該條件實施保護膜堆積工程(S205)。
實施以上所述的處理,當判定保護膜118已選擇性地堆積的情形下(S207的Yes),實施保護膜118的膜質控制工程(S210)。膜質控制工程(S210),為將選擇性地堆積而成之保護膜118的膜質予以改質的工程。例如,當藉由保護膜堆積工程(S205)形成Si系保護膜作為保護膜118,而藉由下一工程亦即蝕刻工程(S111)將Si蝕刻的情形下,使保護膜118氧化而改質成SiO 2,有時較可能蝕刻成期望的圖樣形狀。這樣的情形下,膜質控制工程(S210)中會將O 2、及CO 2等的含O的混合氣體供給至處理室31。或是,當使保護膜118氮化而改質成Si 3N 4較可能蝕刻成期望的圖樣形狀的情形下,會將N 2、及NH 3等的含氮的混合氣體供給至處理室31。被供給的氣體藉由施加於高頻施加部41之高頻電力52而成為電漿,被分解成自由基、離子等,而被照射至晶圓100表面。
一旦保護膜118的膜質控制工程(S210)結束,則以形成的保護膜118、及原本就形成於圖樣102的遮罩117作為蝕刻遮罩,將被蝕刻材料116蝕刻(S211)。
蝕刻工程(S211)中,首先,藉由裝置控制部42控制氣體供給部33,將蝕刻用氣體36以規定的流量供給至處理室31。在蝕刻用氣體36被供給而處理室31的內部成為了規定的壓力的狀態下,藉由裝置控制部42控制高頻電源37,對高頻施加部41施加高頻電力52,使處理室31的內部產生蝕刻用氣體36所造成之電漿。
藉由此在處理室31的內部產生的蝕刻用氣體36的電漿,進行形成有保護膜118之晶圓100的蝕刻處理。一面進行此蝕刻處理,一面藉由光學系統38測定保護膜118的膜厚,測定保護膜118的膜厚直到晶圓100上的圖樣(被蝕刻材料116)被蝕刻至期望的深度(S212),在到達了規定的蝕刻的處理時間或期望的深度的時間點結束蝕刻(S213)。
此處,有時在到達蝕刻期望的蝕刻深度之前,保護膜118的厚度就成為規定值以下。這樣的情形下(S212中No的情形下),回到選擇性的保護膜堆積工程(S205),從保護膜118的堆積工程再度開始,再次實施選擇性的保護膜118的堆積直到達規定的膜厚。如前述般,反覆S205至S212,反覆直到晶圓100上的圖樣(被蝕刻材料116)被蝕刻至規定的深度。S212中,在蝕刻深度到達了規定的深度為止的時間點(Yes),結束蝕刻(S213)。又,將圖樣蝕刻後,能夠除去堆積於圖樣表面的保護膜118。能夠僅除去保護膜118,當在遮罩117材料上形成有保護膜118的情形下亦可和遮罩117材料同時地除去殘留於遮罩表面上的保護膜118。
藉由對晶圓100施以這樣的電漿處理,便可僅在圖樣的遮罩上面117形成保護膜118,而不會在沒有圖樣之區域108形成不需要的保護膜118。遮罩上面117被蝕刻導致圖樣的深度變淺這樣的習知技術的待解問題、或在蝕刻下層的被蝕刻層116的期間導致遮罩上面117被蝕刻這樣的習知的待解問題得以解決,而能夠在晶圓100上得到期望的圖樣形狀。
另,上述實施例中講述了當作為被蝕刻圖樣形成有遮罩117、下層的被蝕刻層116,而遮罩圖樣混雜著圖樣密集之區域107與沒有圖樣之區域108的情形下,在密集圖樣107上的遮罩117的材料上選擇性地形保護膜118而不沒有圖樣之區域108的被蝕刻材料上形成不需要的保護膜,來抑制遮罩117的蝕刻,將被蝕刻圖樣116以高選擇比加工的手法。
圖13中,示意運用本實施例之保護膜形成手法而可蝕刻的圖樣的另一例。被蝕刻圖樣中,形成有遮罩150A、及150B、下層的被蝕刻層151,在被蝕刻層151的一部分形成有不蝕刻的圖樣152,遮罩圖樣中混雜著圖樣密集之區域107與沒有圖樣之區域108。當蝕刻被蝕刻材料153而不蝕刻圖樣152的情形下,在圖樣152材料上選擇性地形成保護膜101是有效的方式。當不做選擇性地堆積的情形下,在遮罩150B上的沒有圖樣之區域108及遮罩150A之區域都會形成厚的保護膜,但藉由在圖樣152材料上選擇性地形成保護膜101,便不會在遮罩150A、及遮罩150B上、及被蝕刻材料上153上堆積不需要的保護膜,而僅在圖樣152上形成保護膜101,而能夠將被蝕刻圖樣加工。圖13中,154為阻擋層,155為層間絕緣膜。
圖14中,示意在材料選擇性地形成保護膜之方法的另一製程流程的一例的圖。本製程流程,係在反覆做選擇性的保護膜堆積工程(S205)與前處理(S202),藉此選擇性地形成較厚的保護膜的情形下實施。這是由於如圖7(b)所示,若將保護膜堆積工程(S205)實施某一定時間以上,則材料選擇性會喪失,因此會以選擇性不會喪失之方式預先設定處理時間,於保護膜堆積工程(S205)後再度進行前處理(S202),來確保藉由初始的表面的材料而產生之選擇性。實施選擇性的保護膜堆積工程後(S205),如前述般,測定反射光譜(S206)、比較反射光譜與事先保存的來自參照用圖樣的反射光譜,而判定是否正在選擇性地形成保護膜(S207)。又,判定部48中,從資料庫49中事先保存的來自參照圖樣的反射光譜與保護膜形成後取得的反射光譜,算出選擇性地形成的保護膜的厚度、及圖樣寬幅(尺寸)(S214)。此處,當保護膜的厚度尚未達規定的膜厚的情形下(No),再次實施前處理(S202)。藉此,不形成保護膜的材料之上會成為潔淨。另一方面,在形成有保護膜的材料上,必須設定處理時間等的處理條件,以免表面即使進行前處理仍無法恢復初始狀態。反覆S202至S214直到保護膜成為規定的膜厚,便能選擇性地形成厚的保護膜。圖15中,示意反覆次數(循環數)所造成之在Si上、及SiO 2上堆積的保護膜厚的變化。藉由本手法,能夠確認到在Si上不會形成保護膜,而能夠僅在SiO 2上形成厚的保護膜。
針對實施例之電漿處理裝置總結如以下。
本發明之電漿處理裝置(30),構成為具備:處理室(31),具備載置形成有圖樣的試料(100)之試料台(32);及氣體供給部(33),對處理室(31)的內部切換供給複數個處理氣體(34、35、36、37);及電漿產生部(40、41、45、52),使得藉由氣體供給部(33)而被供給至處理室(31)的內部的處理氣體的電漿產生;及光學系統(38),對被載置於試料台(32)的試料(100)照射光而檢測來自試料(100)的干涉光所造成之光譜;及控制部(42),控制氣體供給部(33)與電漿產生部(40、41、45、52)與光學系統(38)。
控制部(42),控制氣體供給部(33)而在對處理室(31)的內部供給了保護膜形成用的氣體(34、35)的狀態下,控制電漿產生部(40、41、45、52)而在被載置於試料台(32)的試料(100)的表面形成保護膜(101、118),又,比較取得的干涉光的光譜與事先取得的參照光譜,判定保護膜(101、118)已依附於形成圖樣(102、117)的材料而選擇性地形成。
控制部(42),又設計成,控制氣體供給部(33)而在供給至處理室(31)的內部的氣體切換至蝕刻用的氣體(37)的狀態下,控制電漿產生部(40、41、45、52)而將在被載置於試料台(32)的表面形成有保護膜(101、118)之試料(100)做蝕刻處理。
此外,針對實施例之電漿處理裝置總結則亦能做以下敍述。
電漿處理裝置(30),具備供試料(100)受到電漿處理之處理室(31)、及供給用來生成電漿的高頻電力之高頻電源(63)、及供試料(100)載置之試料台(32)。電漿處理裝置(30),更具備:控制裝置(42),運用藉由對試料(100)照射紫外線而從試料(100)反射的干涉光(58),計測在試料(100)的期望的材料選擇性地形成的保護膜(118)的厚度,或運用藉由對試料(100)照射紫外線而從試料(100)反射的干涉光(58)而判斷保護膜(118)的選擇性。
控制裝置(42),基於受監控的干涉光(58)的光譜與當形成有保護膜(118)的情形下的事先取得的干涉光(58)的光譜之比較結果,來計測保護膜(118)的厚度或判斷保護膜(118)的選擇性。
此處,受監控的干涉光(58)的光譜及事先取得的干涉光(58)的光譜,可藉由未受到電漿處理的試料(100)的干涉光(58)的光譜(初始光譜)而予以標準化。控制裝置(42),當受監控的干涉光(58)的光譜的被標準化而成之光譜比規定值還大的情形下,判定保護膜(118)已在試料(100)的期望的材料(117)選擇性地形成。
針對實施例之電漿處理方法總結如以下。 本發明之電漿處理方法中,首先,設立一進行前處理工程(S202)之手段,其用來除去設置於試料台(32)的試料(100)上形成的自然氧化膜等,而進行圖樣(102、117)的表面的潔淨化。又,在運用電漿將試料(100)做蝕刻處理之電漿處理方法中,設立一手段,其用來將用以對圖樣(102、117)材料選擇性地形成保護膜(101、118)之保護膜形成用氣體(34,35)供給至處理室(31)。作為用以對圖樣(102、117)材料選擇性地形成保護膜(101、118)之手段,係設計成包含下述工程來將試料(100)做蝕刻處理,即,藉由電漿產生手段(40、41、45、52)使處理室(31)的內部產生保護膜形成用氣體(34、35)的電漿,而在形成於載置於試料台(32)的試料(100)上的圖樣(102、117)的表面選擇性地使保護膜(101、118)堆積之工程(S205);及對處理室(31)供給蝕刻處理用氣體(37)而藉由電漿產生手段(40、41、45、52)使蝕刻處理用氣體(37)的電漿產生而將在圖樣(102、117)的表面形成了保護膜(101、118)的試料(100)做蝕刻處理,將溝的圖樣之間、及未形成有溝的圖樣之區域(108)的被蝕刻圖樣予以蝕刻除去之工程(S211)。
又,作為控制在圖樣(102、117)表面選擇性地堆積保護膜(101、118)之工程(S205)的手段,係於保護膜堆積工程(S205)的前後對試料(100)照射光(57),檢測來自試料(100)的干涉光(58)所造成之光譜,而和當已選擇性地形成保護膜(101、118)的情形下事先取得的干涉光光譜比較,藉此判別是否已選擇性地形成有保護膜(101、118) (S207),當尚未選擇性地形成有保護膜(101、118)的情形下,設立一用來除去保護膜(101、118)的手段(S208)。又,設立一手段,其用來實施於調整後的保護膜堆積條件(S209)下,再度對處理室(31)供給用來選擇性地堆積保護膜(101、118)的保護膜形成用氣體(34、35),藉由電漿產生手段(40、41、45、52)使處理室(31)的內部產生保護膜形成用氣體(34、35)的電漿,而在形成於載置於試料台(32)的試料(100)上的圖樣(102、117)的表面選擇性地使保護膜(101、118)堆積之工程(S205)。
又,為了蝕刻厚的膜,或加工具有高深寬比的圖樣的底,係設計成將使保護膜(101、118)選擇性地堆積之工程(S205)與蝕刻被蝕刻膜之工程(S211)訂為循環而反覆實施(S212)。
此外,針對實施例之電漿處理方法總結則亦能做以下敍述。
係在期望的材料(117)選擇性地形成保護膜(101、108),藉此將被蝕刻膜(116)做電漿蝕刻之電漿處理方法,其中,運用四氯化矽氣體(SiCl 4)與溴化氫氣體(HBr)與氯氣體(Cl 2)而在期望的材料選擇性地形成保護膜(116)(S205:選擇性的保護膜堆積工程)。此處,期望的材料,為氧化膜(SiO 2)。
此外,係在期望的材料(117)選擇性地形成保護膜(101、108),藉此將被蝕刻膜(116)做電漿蝕刻之電漿處理方法,其中,運用藉由對成膜有被蝕刻膜(116)的試料(100)照射紫外線而從試料(100)反射的干涉光(58)來計測保護膜(101、108)的厚度,或運用藉由對試料(100)照射紫外線而從試料(100)反射的干涉光(58)來判斷保護膜(101、108)的選擇性。
以上,雖已基於實施例具體地說明了藉由本發明者而創作之發明,但本發明並非限定於前述實施例,在不脫離其要旨的範圍內當然可做各種變更。例如,上述的實施例是為了簡單明瞭地敍述本發明而詳細說明,未必限定於具備上開說明之所有構成者。此外,針對各實施例的構成的一部分,可追加其他構成、刪除、置換。
30:蝕刻裝置 31:處理室 32:晶圓平台 33:氣體供給部 34:保護膜形成用氣體 35:保護膜形成用氣體 36:保護膜除去用氣體 37:蝕刻用氣體 38:光學系統 39:光學系統控制部 40:偏壓電源 41:高頻施加部 42:裝置控制部 43:氣體控制部 44:排氣系統控制部 45:高頻控制部 46:偏壓控制部 47:堆積工程控制部 48:判定部 49:資料庫 50:記憶部 51:時鐘 52:高頻電力 54:控制訊號 56:光源 57:入射光 58:反射光 59:檢測器 60:光纖 61:分光器 62:窗 63:高頻電源 100:晶圓 101:保護膜 102:圖樣 103:基板 104:不需要的保護膜 106:不需要的保護膜 107:圖樣密集之區域 108:沒有圖樣之區域 109:沒有圖樣之區域的表面 115:基板 116:被蝕刻圖樣 117:遮罩 118:保護膜 110:Cl 2流量所造成之SiO 2上的保護膜厚的變化 111:Cl 2流量所造成之Si上的保護膜厚的變化 112:SiO 2上的保護膜厚的處理時間變化 113:Si上的保護膜厚的處理時間變化 120:堆積膜 121:圖樣上面 122:側面
[圖1]示意本發明之電漿處理裝置的一例的全體圖。 [圖2]用來說明習知方法之待解問題的說明圖。 [圖3]用來說明另一習知方法之待解問題的說明圖。 [圖4]實施例之保護膜形成方法的說明圖。 [圖5]示意實施例之保護膜形成方法的製程流程的一例的圖。 [圖6]說明實施例之保護膜形成方法的製程流程的一例的圖樣截面圖。 [圖7]在SiO 2上選擇性地形成保護膜的情形的一例的說明圖。 [圖8]實施例之選擇性的保護膜形成判定方法的一例的說明圖。 [圖9]實施例之選擇性的保護膜形成判定方法的一例的說明圖。 [圖10]實施例之選擇性的保護膜形成判定方法的一例的說明圖。 [圖11]實施例之選擇性的保護膜形成判定方法的另一例的說明圖。 [圖12]實施例之選擇性的保護膜形成判定方法的另一例的說明圖。 [圖13]適用本發明之另一圖樣的例的說明圖。 [圖14]示意按照實施例的循環處理之方法的製程流程的一例的圖。 [圖15]實施例之循環處理方法的說明圖。
30:蝕刻裝置
31:處理室
32:晶圓平台
33:氣體供給部
34:保護膜形成用氣體
35:保護膜形成用氣體
36:保護膜除去用氣體
37:蝕刻用氣體
38:光學系統
39:光學系統控制部
40:偏壓電源
41:高頻施加部
42:裝置控制部
43:氣體控制部
44:排氣系統控制部
45:高頻控制部
46:偏壓控制部
47:堆積工程控制部
48:判定部
49:資料庫
50:記憶部
51:時鐘
52:高頻電力
53:偏壓
54:控制訊號
56:光源
57:入射光
58:反射光
59:檢測器
60:光纖
61:分光器
62:窗
63:高頻電源
100:晶圓

Claims (2)

  1. 一種電漿處理方法,係藉由在期望的材料選擇性地形成保護膜而將被蝕刻膜做電漿蝕刻之電漿處理方法,其特徵為, 運用四氯化矽氣體(SiCl 4)與溴化氫氣體(HBr)與氯氣體(Cl 2)而在期望的材料選擇性地形成保護膜。
  2. 如請求項1記載之電漿處理方法,其中, 前述期望的材料,為氧化膜(SiO 2)。
TW112123319A 2020-12-16 2021-12-15 電漿處理裝置及電漿處理方法 TW202341819A (zh)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
WOPCT/JP2020/046976 2020-12-16
PCT/JP2020/046976 WO2022130536A1 (ja) 2020-12-16 2020-12-16 プラズマ処理装置及びプラズマ処理方法

Publications (1)

Publication Number Publication Date
TW202341819A true TW202341819A (zh) 2023-10-16

Family

ID=82059268

Family Applications (2)

Application Number Title Priority Date Filing Date
TW110146899A TWI809602B (zh) 2020-12-16 2021-12-15 電漿處理裝置及電漿處理方法
TW112123319A TW202341819A (zh) 2020-12-16 2021-12-15 電漿處理裝置及電漿處理方法

Family Applications Before (1)

Application Number Title Priority Date Filing Date
TW110146899A TWI809602B (zh) 2020-12-16 2021-12-15 電漿處理裝置及電漿處理方法

Country Status (6)

Country Link
US (1) US20240047239A1 (zh)
JP (1) JP7254971B2 (zh)
KR (1) KR20220088674A (zh)
CN (1) CN114981932A (zh)
TW (2) TWI809602B (zh)
WO (1) WO2022130536A1 (zh)

Family Cites Families (7)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6355581B1 (en) * 2000-02-23 2002-03-12 Chartered Semiconductor Manufacturing Ltd. Gas-phase additives for an enhancement of lateral etch component during high density plasma film deposition to improve film gap-fill capability
JP3901533B2 (ja) 2002-02-04 2007-04-04 株式会社東芝 モニタ方法、エッチング方法及び半導体装置の製造方法
JP5404984B2 (ja) * 2003-04-24 2014-02-05 東京エレクトロン株式会社 プラズマモニタリング方法、プラズマモニタリング装置及びプラズマ処理装置
JP6541618B2 (ja) 2016-05-25 2019-07-10 東京エレクトロン株式会社 被処理体を処理する方法
JP7169072B2 (ja) 2017-02-14 2022-11-10 エーエスエム アイピー ホールディング ビー.ブイ. 選択的パッシベーションおよび選択的堆積
JP6820775B2 (ja) * 2017-03-17 2021-01-27 株式会社日立ハイテク エッチング方法及びプラズマ処理装置
WO2020121540A1 (ja) 2019-02-04 2020-06-18 株式会社日立ハイテク プラズマ処理方法及びプラズマ処理装置

Also Published As

Publication number Publication date
JPWO2022130536A1 (zh) 2022-06-23
KR20220088674A (ko) 2022-06-28
CN114981932A (zh) 2022-08-30
JP7254971B2 (ja) 2023-04-10
TWI809602B (zh) 2023-07-21
WO2022130536A1 (ja) 2022-06-23
TW202226900A (zh) 2022-07-01
US20240047239A1 (en) 2024-02-08

Similar Documents

Publication Publication Date Title
US10665516B2 (en) Etching method and plasma processing apparatus
KR100702290B1 (ko) 포토레지스트와 에칭 잔여물의 에싱방법 및 처리방법
KR20040111072A (ko) 식각공정을 모니터링하기 위한 방법 및 시스템
TWI767578B (zh) 電漿處理方法
JP6705023B2 (ja) プラズマ処理方法、及びプラズマ処理装置
TWI732440B (zh) 電漿處理方法及電漿處理裝置
Yamamoto et al. Feature profiles on plasma etch of organic films by a temporal control of radical densities and real-time monitoring of substrate temperature
US7439068B2 (en) Plasma monitoring method, plasma processing method, method of manufacturing semiconductor device, and plasma processing system
TWI809602B (zh) 電漿處理裝置及電漿處理方法
US11978631B2 (en) Forming contact holes with controlled local critical dimension uniformity
JP7498313B2 (ja) プラズマ処理方法
Rasgon Origin, evolution, and control of sidewall line edge roughness transfer during plasma etching
JPH0567590A (ja) 半導体装置のエツチングにおける終点検出方法
Park et al. Etch Profile Control of High-Aspect Ratio, Deep Submicron-Si Gate Etch