KR20220109432A - 캡 층 (cap layer) 인-시츄 (in-situ) pecvd - Google Patents

캡 층 (cap layer) 인-시츄 (in-situ) pecvd Download PDF

Info

Publication number
KR20220109432A
KR20220109432A KR1020227022116A KR20227022116A KR20220109432A KR 20220109432 A KR20220109432 A KR 20220109432A KR 1020227022116 A KR1020227022116 A KR 1020227022116A KR 20227022116 A KR20227022116 A KR 20227022116A KR 20220109432 A KR20220109432 A KR 20220109432A
Authority
KR
South Korea
Prior art keywords
deposition
dielectric film
indentation
ald
plasma
Prior art date
Application number
KR1020227022116A
Other languages
English (en)
Inventor
제레미 데이비드 필즈
이안 존 커틴
조셉 알. 아벨
프랭크 로렌 파스콸레
더글라스 월터 애그뉴
Original Assignee
램 리써치 코포레이션
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 램 리써치 코포레이션 filed Critical 램 리써치 코포레이션
Publication of KR20220109432A publication Critical patent/KR20220109432A/ko

Links

Images

Classifications

    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/04Coating on selected surface areas, e.g. using masks
    • C23C16/045Coating cavities or hollow spaces, e.g. interior of tubes; Infiltration of porous substrates
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/40Oxides
    • C23C16/401Oxides containing silicon
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45517Confinement of gases to vicinity of substrate
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45527Atomic layer deposition [ALD] characterized by the ALD cycle, e.g. different flows or temperatures during half-reactions, unusual pulsing sequence, use of precursor mixtures or auxiliary reactants or activations
    • C23C16/45536Use of plasma, radiation or electromagnetic fields
    • C23C16/45542Plasma being used non-continuously during the ALD reactions
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45563Gas nozzles
    • C23C16/45565Shower nozzles
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/50Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges
    • C23C16/505Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges using radio frequency discharges
    • C23C16/509Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges using radio frequency discharges using internal electrodes
    • C23C16/5096Flat-bed apparatus
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/52Controlling or regulating the coating process
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32798Further details of plasma apparatus not provided for in groups H01J37/3244 - H01J37/32788; special provisions for cleaning or maintenance of the apparatus
    • H01J37/32899Multiple chambers, e.g. cluster tools
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32917Plasma diagnostics
    • H01J37/32926Software, data control or modelling
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32917Plasma diagnostics
    • H01J37/32935Monitoring and controlling tubes by information coming from the object and/or discharge
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/022Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being a laminate, i.e. composed of sublayers, e.g. stacks of alternating high-k metal oxides
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/02274Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition in the presence of a plasma [PECVD]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/0228Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition deposition by cyclic CVD, e.g. ALD, ALE, pulsed CVD
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/31051Planarisation of the insulating layers
    • H01L21/31053Planarisation of the insulating layers involving a dielectric removal step
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76819Smoothing of the dielectric
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76837Filling up the space between adjacent conductive structures; Gap-filling properties of dielectrics
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J2237/00Discharge tubes exposing object to beam, e.g. for analysis treatment, etching, imaging
    • H01J2237/32Processing objects by plasma generation
    • H01J2237/33Processing objects by plasma generation characterised by the type of processing
    • H01J2237/332Coating
    • H01J2237/3321CVD [Chemical Vapor Deposition]

Landscapes

  • Chemical & Material Sciences (AREA)
  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • General Chemical & Material Sciences (AREA)
  • Organic Chemistry (AREA)
  • Metallurgy (AREA)
  • Mechanical Engineering (AREA)
  • Materials Engineering (AREA)
  • Plasma & Fusion (AREA)
  • Computer Hardware Design (AREA)
  • Power Engineering (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Manufacturing & Machinery (AREA)
  • General Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Analytical Chemistry (AREA)
  • Electromagnetism (AREA)
  • Inorganic Chemistry (AREA)
  • Chemical Vapour Deposition (AREA)
  • Formation Of Insulating Films (AREA)

Abstract

유전체 재료로 갭들 (gaps) 을 충진하기 위한 방법들은 갭을 충진하기 위해 원자 층 증착 (atomic layer deposition; ALD) 기법을 사용한 증착, 이어서 화학 기상 증착 (chemical vapor deposition; CVD) 기법에 의해 충진된 갭 상에 캡 층 (cap layer) 의 증착을 수반한다. ALD 증착은 플라즈마-강화된 ALD (plasma-enhanced ALD; PEALD) 또는 열적 ALD (thermal ALD; tALD) 증착일 수도 있다. CVD 증착은 플라즈마-강화된 CVD (plasma-enhanced CVD; PECVD) 또는 열적 CVD (thermal CVD; tCVD) 증착일 수도 있다. 일부 실시 예들에서, CVD 증착은 프로세스 동작들을 개입하지 않고 ALD 증착과 동일한 챔버에서 수행된다. 캡 층의 이러한 인 시츄 (in-situ) 증착은 고 균일성 (uniformity) 을 갖는 고 쓰루풋 (throughput) 프로세스를 발생시킨다. 프로세스 후, 웨이퍼는 일부 실시 예들에서 화학적-기계적 평탄화 (chemical-mechanical planarization; CMP) 를 위해 준비된다.

Description

캡 층 (CAP LAYER) 인-시츄 (IN-SITU) PECVD
집적 회로들의 제조는 많은 다양한 프로세싱 단계들을 포함한다. 빈번하게 채용된 동작들 중 하나는 실리콘 기판들 위 또는 기판들 내로 패터닝된 (patterned) 피처들 (features) 사이의 갭 (gap) 내에 유전체 막의 증착이다. 이러한 재료를 증착하는 목적들 중 하나는 갭에 보이드-프리 (void-free), 심-프리 (seam-free) 충진을 형성하는 것이다. 예를 들어, DRAM, 플래시 메모리 및 로직의 맥락에서 디바이스 치수들이 보다 작아짐에 따라, 이러한 타입의 충진을 달성하는 것이 점점 어려워진다.
본 명세서에 제공된 배경기술 기술 (description) 은 본 개시의 맥락을 일반적으로 제시할 목적이다. 이 배경기술 섹션에 기술된 정도의 본 명세서에 명명된 발명자들의 업적, 뿐만 아니라 출원시 종래 기술로서 달리 인증되지 않을 수도 있는 본 기술의 양태들은 본 개시에 대한 종래 기술로서 명시적으로나 암시적으로 인정되지 않는다.
참조로서 인용
PCT 신청 양식은 본 출원의 일부로서 본 명세서와 동시에 제출되었다. 본 출원이 동시에 제출된 PCT 신청 양식에서 식별된 바와 같이 우선권 또는 이익을 주장하는 출원 각각은 전체가 모든 목적들을 위해 참조로서 인용되었다.
본 개시의 일 양태는 유전체 재료로 충진될 갭들 (gaps) 을 갖는 기판들을 프로세싱하는 방법들에 관한 것이다. 일부 실시 예들에서, 방법들은: 갭을 갖는 기판을 프로세싱 챔버에 제공하는 단계로서, 기판은 상단 표면으로부터 리세스된 (recessed) 갭을 갖는 상단 표면을 갖는, 기판을 제공하는 단계; 제 1 유전체 막 (dielectric film) 으로 갭을 충진하도록 복수의 플라즈마 강화된 원자 층 증착 (plasma-enhanced atomic layer deposition; PEALD) 사이클들을 수행하는 단계로서, 제 1 유전체 막은 갭 위 및 상단 표면의 평면 위에 제 1 인덴테이션 (indentation) 으로 특징화되는, PEALD 사이클들을 수행하는 단계; 및 프로세싱 챔버에서, 플라즈마 강화된 화학적 기상 증착 (plasma enhanced chemical vapor deposition; PECVD) 에 의해 제 1 유전체 막 위에 제 2 유전체 막을 증착하는 단계를 포함한다.
일부 실시 예들에서, 제 2 유전체 막은 제 1 인덴테이션을 충진하고 제 1 인덴테이션보다 적어도 10 % 작은 제 2 인덴테이션을 포함한다. 일부 실시 예들에서, 제 2 인덴테이션은 제 1 인덴테이션보다 적어도 20 %, 적어도 30 %, 적어도 40 %, 적어도 50 %, 적어도 60 %, 적어도 70 %, 또는 적어도 80 % 작다. 일부 실시 예들에서, 제 2 유전체 막은 1 내지 3 ㎛ 두께이다. 일부 실시 예들에서, 제 2 유전체 막은 100 Å 내지 1 ㎛ 두께이다.
일부 실시 예들에서, 프로세싱 챔버는 멀티-스테이션 프로세싱 챔버이다. 복수의 PEALD 사이클들은 멀티-스테이션 프로세싱 챔버의 하나 이상의 제 1 스테이션들에서 수행될 수도 있고, PECVD 프로세스는 멀티-스테이션 프로세싱 챔버의 하나 이상의 제 2 스테이션들에서 수행될 수도 있고, 하나 이상의 제 1 스테이션들은 하나 이상의 제 2 스테이션들과 상의한 스테이션들이다. 일부 실시 예들에서, 제 2 유전체 막의 증착 후, 기판은 화학적-기계적 평탄화 (chemical-mechanical planarization; CMP) 를 위해 준비된다. 일부 실시 예들에서, 방법은 제 1 유전체 막 및 제 2 유전체 막 중 하나 또는 모두를 포함하는 평탄화된 표면을 형성하도록 CMP 프로세스를 수행하는 단계를 더 포함한다.
본 개시의 또 다른 양태는 유전체 재료로 충진될 갭들을 갖는 기판들을 프로세싱하는 방법들에 관한 것이다. 일부 실시 예들에서, 방법들은: 갭을 갖는 기판을 프로세싱 챔버에 제공하고, 기판은 상단 표면으로부터 리세스된 갭을 갖는 상단 표면을 제공하는 단계; 제 1 유전체 막으로 갭을 충진하도록 복수의 원자 층 증착 (atomic layer deposition; ALD) 사이클들을 수행하는 단계로서, 제 1 유전체 막은 갭 위 및 상단 표면의 평면 위에 제 1 인덴테이션으로 특징화되는, 복수의 ALD 사이클들 수행 단계; 및 상기 프로세싱 챔버에서, 화학적 기상 증착 (chemical vapor deposition; CVD) 에 의해 제 1 유전체 막 위에 제 2 유전체 막을 증착하는 단계를 포함한다.
일부 실시 예들에서, 제 2 유전체 막은 제 1 인덴테이션을 충진하고 제 1 인덴테이션보다 적어도 10 % 작은 제 2 인덴테이션을 포함한다. 일부 실시 예들에서, 제 2 인덴테이션은 제 1 인덴테이션보다 적어도 20 %, 적어도 30 %, 적어도 40 %, 적어도 50 %, 적어도 60 %, 적어도 70 %, 또는 적어도 80 % 작다. 일부 실시 예들에서, 제 2 유전체 막은 1 내지 3 ㎛ 두께이다. 일부 실시 예들에서, 제 2 유전체 막은 100 Å 내지 1 ㎛ 두께이다.
일부 실시 예들에서, 제 2 유전체 막은 제 1 인덴테이션을 충진하고 제 1 인덴테이션보다 적어도 10 % 작은 제 2 인덴테이션을 포함한다. 일부 실시 예들에서, 제 2 인덴테이션은 제 1 인덴테이션보다 적어도 20 %, 적어도 30 %, 적어도 40 %, 적어도 50 %, 적어도 60 %, 적어도 70 %, 또는 적어도 80 % 작다. 일부 실시 예들에서, 제 2 유전체 막은 1 내지 3 ㎛ 두께이다. 일부 실시 예들에서, 제 2 유전체 막은 100 Å 내지 1 ㎛ 두께이다.
이들 및 다른 양태들은 연관된 도면들을 참조하여 이하에 기술된다.
도 1은 유전체 재료로 갭 (gap) 을 충진하고 캡핑하는 (cap) 방법의 플로우 차트 (flow chart) 를 도시한다.
도 2는 유전체 재료의 원자 층 증착 (atomic layer deposition; ALD) 후 충진된 갭을 도시한다.
도 3은 캡 층 (cap layer) 이 화학적 기상 증착 (chemical vapor deposition; CVD) 에 의해 증착된 후 도 2의 기판을 도시한다.
도 4는 후속 프로세싱 동안 도 3의 기판을 도시한다.
도 5a는 플라즈마 강화된 ALD (plasma enhanced atomic layer deposition; PEALD) 프로세스를 통해 갭을 충진하는 방법의 플로우 차트를 도시한다.
도 5b는 플라즈마 강화된 CVD (plasma enhanced chemical vapor deposition; PECVD) 프로세스를 통해 캡 층을 증착하는 방법의 플로우 차트를 도시한다.
도 6은 개시된 방법들을 수행하도록 사용될 수도 있는 장치의 블록도를 도시한다.
도 7은 개시된 방법들을 수행하도록 사용될 수도 있는 멀티-스테이션 장치를 도시한다.
도 8은 개시된 방법들을 수행하도록 사용될 수도 있는 멀티-챔버 프로세싱 툴을 도시한다.
본 명세서에서, 용어들 "반도체 웨이퍼", "웨이퍼", "기판", "웨이퍼 기판" 및 "부분적으로 제조된 집적 회로"는 상호 교환 가능하게 사용된다. 당업자는 용어 "부분적으로 제조된 집적 회로"가 상부의 집적 회로 제조의 많은 단계들 중 임의의 단계 동안의 실리콘 웨이퍼를 지칭할 수 있다는 것을 이해할 것이다. 반도체 디바이스 산업계에서 사용된 웨이퍼 또는 기판은 200 ㎜, 또는 300 ㎜, 또는 450 ㎜의 직경을 가질 수도 있다. 반도체 웨이퍼들에 더하여, 본 발명의 이점을 취할 수도 있는 다른 워크피스들 (work pieces) 은 인쇄 회로 기판들, 유리 패널들, 등과 같은 다양한 물품들을 포함한다.
이하의 기술 (description) 에서, 제시된 실시 예들의 완전한 이해를 제공하기 위해 수많은 구체적 상세들이 제시된다. 개시된 실시 예들은 이들 구체적인 상세들 중 일부 또는 전부 없이 실시될 수도 있다. 다른 예들에서, 공지된 프로세스 동작들은 개시된 실시 예들을 불필요하게 모호하게 하지 않기 위해 상세히 기술되지 않았다. 개시된 실시 예들이 구체적인 실시 예들과 함께 기술될 것이지만, 이는 개시된 실시 예들을 제한하는 것으로 의도되지 않았다는 것이 이해될 것이다.
유전체 재료로 갭들 (gaps) 을 충진하기 위한 방법들 및 장치가 본 명세서에 제공된다. 방법들은 갭을 충진하기 위해 원자 층 증착 (atomic layer deposition; ALD) 기법을 사용한 증착에 이어 화학적 기상 증착 (chemical vapor deposition; CVD) 기법에 의해 충진된 갭 상에 캡 층 (cap layer) 의 증착을 수반한다. ALD 증착은 플라즈마-강화된 ALD (plasma-enhanced ALD; PEALD) 또는 열적 ALD (thermal ALD; tALD) 증착일 수도 있다. CVD 증착은 플라즈마-강화된 CVD (plasma-enhanced CVD; PECVD) 또는 열적 CVD (thermal CVD; tCVD) 증착일 수도 있다. 일부 실시 예들에서, CVD 증착은 프로세스 동작들을 개입하지 않고 ALD 증착과 동일한 챔버에서 수행된다. 캡 층의 이러한 인 시츄 (in-situ) 증착은 고 균일성 (uniformity) 을 갖는 고 쓰루풋 (throughput) 프로세스를 발생시킨다. 프로세스 후, 웨이퍼는 일부 실시 예들에서 화학적-기계적 평탄화 (chemical-mechanical planarization; CMP) 를 위해 준비된다.
도 1은 다양한 실시 예들에 따른 방법 (100) 의 동작들을 도시한다. 먼저, 동작 (101) 에서, 기판 상의 갭은 ALD 프로세스를 사용하여 유전체 재료로 충진된다. 갭은 고 종횡비 또는 좁은 개구부를 갖는 것으로 특징화될 수도 있다. 갭 기하 구조들은 3D NAND 슬릿 충진, DRAM 주변 갭 충진 (peri gapfill) 및 SSL 분리 마스크 옥사이드 충진을 포함하는 예시적인 애플리케이션들 (applications) 과 함께 애플리케이션에 종속된다. 3D NAND 슬릿 갭 충진에 대해, 예시적인 갭 기하 구조들은 200 내지 500 ㎚의 개구부들과 함께 2 내지 10 ㎛의 깊이일 수도 있다. DRAM 애플리케이션들에 대해, 예시적인 갭 기하 구조들은 75 내지 300 ㎚의 깊이 및 5 내지 50 ㎚의 개구부들일 수도 있다. 예를 들어, 종횡비들은 4:1 내지 30:1일 수도 있다. 그러나, 본 명세서에 기술된 방법들은 임의의 기하 구조의 갭들의 충진을 위해 사용될 수도 있다는 것이 인식될 것이다. 부가적인 애플리케이션들은 3D NAND 필라 (pillar) 또는 홀 (hole) 충진, DRAM STI, 로직 STI, PCRAM STI, MRAM 및 로직 PMD를 포함한다. 상기 나타낸 바와 같이, ALD 방법은 PEALD 또는 tALD 프로세스일 수도 있다. ALD 프로세스들의 예들은 이하에 더 기술된다.
ALD 프로세스는 갭이 유전체 재료로 완전히 충진될 때까지 계속되도록 허용될 수도 있다. 도 2는 동작 (101) 후 충진된 갭의 일 예를 도시한다. 갭은 하단 표면 (206) 으로부터 상단 표면 (204) 으로 연장하는 측벽들 (208) 에 의해 적어도 부분적으로 (in part) 규정된다. 하단 표면 (206) 은 도 2의 예에 도시된 바와 같이 커브되거나 (curved) 점 표면 (point surface) 이거나 일반적으로 평면형 (planar) 표면일 수도 있다. 상단 표면 (204) 은 통상적으로, 반드시 그런 것은 아니지만, 일반적으로 평면형 표면이다. 유전체 막 (202) 이 갭을 완전히 충진한다. 유전체 막은 디보트 (divot) 또는 가우지 (gouge) (201) 로 특징화된다. 이 가우지 (201) 는 컨포멀성 (conformality) ALD 프로세스의 결과이고, 특히 막은 측벽들 (208) 로부터 피처의 중심으로 적어도 부분적으로 (partially) 성장한다. 가우지 (201) 의 깊이는 ALD 프로세스, 갭 기하 구조 및 갭 위의 막의 두께에 종속될 수도 있다. 일부 실시 예들에서, 이는 60 ㎚ 초과, 또는 100 ㎚ 초과이다. 동작 (101) 은 적어도 가우지 꼭짓점 (vertex) 이 상단 표면 (206) 위에 있을 때까지, 그리고 상단 표면의 적어도 50 ㎚, 100 ㎚, 200 ㎚, 또는 500 ㎚ 위에 있을 수도 있을 때까지 수행된다. 이는 후속하는 평탄화 동안, 갭이 충진된 채로 남아 있는 것을 보장한다. 그러나, 방치되면, 가우지는 후속하는 CMP, 에칭, 또는 포토리소그래피 (photolithography) 동작들을 포함하는 후속 프로세싱에서 문제들을 유발할 수 있다. 에칭 단계 및 CMP 단계 동안, 가우지는 고르지 않은 양의 재료가 구조체의 상단부로부터 제거되게 하고, 충진된 피처로부터 너무 많은 재료가 제거되게 하여, 디바이스 성능에 영향을 주는 결함들을 생성한다. 포토리소그래피에서, 평탄한 표면 (flat surface) 은 최적의 성능을 생성한다. ALD 충진으로부터 발생되는 가우지는 디바이스 (device) 의 상단 표면 상에 후속하는 리소그래피 동작들의 정확도를 감소시키는 토포그래피 (topography) 를 생성할 수 있고, 이는 또한 디바이스 성능에 영향을 주는 결함들을 생성할 수 있다.
도 1을 다시 참조하면, CVD 캡 층은 이어서 동작 (103) 에서 동일한 챔버에서 유전체 충진 재료 상에 증착된다. 상기 나타낸 바와 같이, 캡 층은 PECVD 또는 tCVD에 의해 증착될 수도 있다. CVD 프로세스들의 예들은 이하에 더 기술된다. CVD에 의한 증착은 두꺼운 막이 신속하게 증착되게 하고, 이는 가우지를 우선적으로 충진하여 보다 평탄한 막을 발생시키고, 후속 프로세싱에서 결함들의 생성 빈도를 감소시킨다. 그리고, ALD 갭 충진과 동일한 챔버에서 증착함으로써, 이송 동작들 및 프로세싱 툴들의 수가 크게 감소된다. 도 3은 동작 (103) 후 충진된 갭의 일 예를 도시한다. 캡 막 (210) 은 유전체 막 (202) 상에 있다. 특히, 캡 막 (210) 내의 가우지 (211) 는 가우지 (201) 와 비교하여 상당히 감소된다. 가우지는 예를 들어, 적어도 20 %, 적어도 30 %, 적어도 40 %, 적어도 50 %, 적어도 70 %, 적어도 80 %, 또는 적어도 90 % 만큼 감소될 수도 있다. 캡 층의 예시적인 두께들은 1 내지 3 kÅ의 범위이다. 일부 실시 예들에서, 보다 얇은 층들, 예를 들어, 100 내지 1000 Å이 증착될 수도 있다. 도 4는 캡 층 증착 직후 수행될 수도 있는 후속 프로세싱, 이 경우 CMP의 일 예를 도시한다. CMP 프로세스는 유전체의 상단 층을 제거할 수도 있고 충진된 가우지를 남길 수도 있다. 일부 실시 예들에서, CMP 프로세스는 CVD 증착된 막만을 제거할 수도 있다. 일부 실시 예들에서, CMP 프로세스는 ALD 증착된 막을 제거할 수도 있고, 충진된 가우지를 남긴다.
도 1의 예에서, ALD 증착 및 CVD 증착은 동일한 챔버에서 수행된다. 이는 최소 전이 시간 (minimal transition time) 으로 신속한 프로세스를 허용한다. 그러나, 일부 다른 실시 예들에서, ALD 증착 및 CVD 증착은 상이한 챔버들에서 수행될 수 있다.
ALD 갭 충진 (Gapfill)
상기 기술된 바와 같이, ALD 프로세스는 유전체 재료, 통상적으로 실리콘-함유 유전체 재료로 갭을 충진한다. ALD 프로세스는 ALD만 (ALD-only) 일 수도 있고, 또는 일부 실시 예들에서, 하나 이상의 에칭 또는 억제 동작들을 가질 수도 있다. 예를 들어, 갭은 증착-에칭-증착 프로세스 또는 증착-억제-증착 동작, 또는 이들의 일부 조합으로 충진될 수도 있다. 이러한 실시 예들에서, 에칭 또는 억제 동작들은 피처의 상단을 우선적으로 에칭하거나 억제할 수 있다.
일부 실시 예들에서, PEALD 프로세스가 사용된다. 도 5a는 PEALD 프로세스 (500) 를 수행하는 방법에 대한 플로우 차트를 제시한다. 프로세스 (500) 는 기판을 담는 반응 챔버에 제 1 반응 물질의 일 도즈 (dose) 가 제공되는 동작 (501) 에서 시작된다. 기판은 기판 내에 PEALD 프로세스를 통해 충진될 갭들을 가질 것이다. 다양한 경우들에서, 제 1 반응 물질은 실리콘-함유 반응 물질일 수도 있다. 다음에, 동작 (503) 에서 반응 챔버는 예를 들어 불활성 가스 또는 질소 캐리어 가스를 사용하여 퍼지된다 (purge). 이는 반응 챔버로부터 남아 있는 모든 제 1 반응 물질을 제거하는 것을 돕는다.
동작 (505) 에서, 제 2 반응 물질이 반응 챔버에 제공된다. 특정한 경우들에서, 제 2 반응 물질은 산화 반응 물질이다. 일 예에서, 이는 산소이다. 제 2 반응 물질은 또한 반응 물질들의 혼합물일 수도 있다. 특정한 실시 예에서, 제 2 반응 물질은 산소 및 아산화 질소의 플로우이다. 제 2 반응 물질은 동작 (505) 에서 제공되고, 동작 (507) 에서 플라즈마 활성화와 동시에 반응 물질을 흘리기 전에 반응 물질을 미리 흘리는 것 (pre-flow) 을 포함할 수도 있다. 플라즈마가 활성화될 때, 이는 기판의 표면 상의 제 1 반응 물질과 제 2 반응 물질 사이의 반응을 구동한다. 다음에, 플라즈마가 소화되고 (extinguish), 이어서 반응 챔버가 예를 들어 불활성 가스 또는 질소 캐리어 가스를 사용하여 퍼지된다. 이 동작 (509) 은 포스트-RF (post-RF) 퍼지로서 지칭된다. 방법 (500) 은 갭을 충진하도록 수 회 반복된다. tALD 방법이 또한 수행될 수도 있고, 동작 (507) 은 생략된다.
반응 물질들 중 적어도 하나는 일반적으로 실온에서 고체인 원소 (element) 를 함유할 것이고, 이 원소는 ALD 방법에 의해 형성된 막 내로 통합된다. 이 반응 물질은 주요 반응 물질로 지칭될 수도 있다. 주요 반응 물질은 실리콘-함유일 수도 있고 또는 또 다른 반도체 (예를 들어, 게르마늄, 등) 를 함유할 수도 있다. 다른 반응 물질은 때때로 보조 반응 물질 또는 공-반응 물질 (co-reactant) 로 지칭된다. 공-반응 물질들의 비제한적인 예들은 산소, 오존, 수소, 하이드라진, 물, 일산화 탄소, 아산화 질소, 암모니아, 알킬 아민들, 등을 포함한다. 공-반응 물질은 또한 상기 언급된 바와 같이 반응 물질들의 혼합물일 수도 있다.
PEALD 프로세스는 매우 다양한 막 타입들로 갭들을 충진하도록 사용될 수도 있다. 본 명세서의 논의의 대부분은 비도핑 (undoped) 실리콘 옥사이드들의 형성에 초점을 맞추는 반면, 나이트라이드들 (nitrides), 카바이드들 (carbides), 옥시나이트라이드들 (oxynitrides), 탄소-도핑된 (carbon-doped) 옥사이드들, 질소-도핑된 (nitrogen-doped) 옥사이드들, 보라이드들 (borides), 등과 같은 다른 막 타입들이 또한 형성될 수도 있다. 옥사이드들은 비도핑 실리케이트 유리 (undoped silicate glass; USG), 플루오르실리케이트 유리 (fluorosilicate glass; FSG) 및 다른 도핑된 실리케이트 유리를 포함하는 광범위한 재료들을 포함한다. 도핑된 유리들의 예들은 붕소 도핑된 실리케이트 유리 (boron doped silicate glass; BSG), 인 도핑된 실리케이트 유리 (phosphorus doped silicate glass; PSG) 및 붕소 인 도핑된 실리케이트 유리 (boron phosphorus doped silicate glass; BPSG) 를 포함한다. 또한, PEALD/PECVD 프로세스는 금속 증착 및 피처 충진을 위해 사용될 수도 있다.
개시된 실시 예들이 특정한 반응 물질들로 제한되지 않지만, 반응 물질들의 예시적인 목록이 이하에 제공된다.
특정한 실시 예들에서, 증착된 막은 실리콘-함유 막이다. 이들 경우들에서, 실리콘-함유 반응 물질은 예를 들어, 실란 (silan), 할로실란 (halosilane) 또는 아미노실란 (aminosilane) 일 수도 있다. 실란은 수소기 및/또는 탄소기를 함유하지만 할로겐은 함유하지 않는다. 실란들의 예들은 실란 (SiH4), 디실란 (Si2H6) 및 유기 실란들, 예컨대 메틸실란 (methylsilane), 에틸실란 (ethylsilane), 이소프로필실란 (isopropylsilane), t-부틸실란 (t-butylsilane), 디메틸실란 (dimethylsilane), 디에틸실란 (diethylsilane), 디-t-부틸실란 (di-t-butylsilane), 알릴실란 (allylsilane), sec-부틸실란 (sec-butylsilane), 텍실실란 (thexylsilane), 이소아밀실란 (isoamylsilane), t-부틸디실란 (t-butyldisilane), 디-t-부틸디실란 (di-t-butyldisilane), 테트라-에틸-오르토-실리케이트 (tetra-ethyl-ortho-silicate) (또한 테트라-에톡시-실란 (tetra-ethoxy-silane) 또는 TEOS로 공지됨) 등이다. 할로실란은 적어도 하나의 할로겐기를 함유하고, 수소기 및/또는 탄소기를 함유할 수도 있거나 함유하지 않을 수도 있다. 할로실란들의 예들은 요오드실란들 (iodosilanes), 브로모실란들 (bromosilanes), 클로로실란들 (chlorosilanes) 및 플루오로실란들 (fluorosilanes) 이다. 할로실란들, 특히 플루오로실란들은, 실리콘 재료들을 에칭할 수 있는 반응성 할라이드 종을 형성할 수도 있지만, 본 명세서에 기술된 특정한 실시 예들에서, 플라즈마가 스트라이킹될 (strike) 때 실리콘-함유 반응 물질은 존재하지 않는다. 특정한 클로로실란들은 테트라클로로실란 (tetrachlorosilane) (SiCl4), 트리클로로실란 (trichlorosilane) (HSiCl3), 디클로로실란 (dichlorosilane) (H2SiCl2), 모노클로로실란 (monochlorosilane) (ClSiH3), 클로로알릴실란 (chloroallylsilane), 클로로메틸실란 (chloromethylsilane), 디클로로메틸실란 (dichloromethylsilane), 클로로디메틸실란 (chlorodimethylsilane), 클로로에틸실란 (chloroethylsilane), t-부틸클로로실란 (t-butylchlorosilane), 디-t-부틸클로로실란 (di-t-butylchlorosilane), 클로로이소프로필실란 (chloroisopropylsilane), 클로로-sec-부틸실란 (chloro-sec-butylsilane), t-부틸디메틸클로로실란 (t-butyldimethylchlorosilane), 덱실디메틸클로로실란 (thexyldimethylchlorosilane), 등이다. 아미노실란은 실리콘 원자에 결합된 적어도 하나의 질소 원자를 포함하지만, 또한 수소들, 산소들, 할로겐들 및 탄소들을 함유할 수도 있다. 아미노실란들의 예들은 모노-아미노실란, 디-아미노실란, 트리-아미노실란 및 테트라-아미노실란 (각각 H3Si(NH2)4, H2Si(NH2)2, HSi(NH2)3 및 Si(NH2)4), 뿐만 아니라 치환된 모노-아미노실란, 디-아미노실란, 트리-아미노실란 및 테트라-아미노실란, 예를 들어, t-부틸아미노실란, 메틸아미노실란, tert-부틸실란아민, 비스(tert-부틸아미노)실란 (SiH2(NHC(CH3)3)2 (BTBAS)), tert-부틸실릴카바메이트, SiH(CH3)-(N(CH3)2)2, SiHCl-(N(CH3)2)2, (Si(CH3)2NH)3 등이다. 추가의 예들은 트리실릴아민 (N(SiH3)) 및 비스(디에틸아미노)실란, BDEAS이다.
CVD 캡핑
도 5b는 PECVD를 사용하여 캡 층을 증착하는 방법 (550) 에 대한 플로우 차트를 도시한다. 방법 (550) 은 도 5a의 방법 (500) 후 수행될 수도 있다. PECVD 방법은 일반적으로 동작 (551) 에서 하나 이상의 반응 물질들을 반응 챔버 내로 흘림으로써 시작된다. 반응 물질 전달은 동작 (553) 에서 플라즈마가 생성됨에 따라 계속될 수도 있다. 기판 표면은 플라즈마에 노출되고, 이는 동작 (555) 에서 ALD-증착된 유전체 충진 층 상에서 증착을 유발한다. 이 프로세스는 목표된 막 두께에 도달할 때까지 계속된다. 동작 (557) 에서, 플라즈마가 소화되고 반응 물질 플로우가 종료된다. 다음으로, 반응 챔버는 동작 (559) 에서 퍼지된다.
일부 실시 예들에서, PEALD 프로세스와 PECVD 프로세스 사이에 다운타임 (downtime) 이 없다. 예를 들어, PEALD 프로세스는 플라즈마를 소화하고, 포스트-RF 퍼지를 (펌프 다운 (pump down) 을 사용하거나 사용하지 않고) 수행하고, 이어서 PECVD 반응 물질(들)을 즉시 흘림으로써 종료될 수도 있다.
전이 페이즈 (transition phase) 는 PEALD 페이즈와 PECVD 페이즈 사이에 채용될 수도 있다. 이러한 전이 페이즈 동안 채용된 조건들은 PEALD 페이즈 또는 PECVD 페이즈에서 채용된 조건들과 상이할 수 있다. 일부 실시 예들에서, 조건들은 동시의 (simultaneous) ALD 표면 반응들 및 CVD 타입 가스상 (gas phase) 반응들을 허용한다. 전이 페이즈는 예를 들어 펄싱될 (pulse) 수도 있는, 플라즈마로의 노출을 수반할 수도 있다. 또한, 전이 페이즈는 저 플로우 레이트 (low flow rate), 즉, 프로세스의 대응하는 ALD 페이즈에 채용된 레이트보다 상당히 보다 낮은 레이트로 하나 이상의 반응 물질들의 전달을 수반할 수도 있다.
PECVD 반응은 ALD 반응과 동일한 반응 물질들 또는 상이한 반응 물질들을 사용하여 수행될 수도 있다. 예를 들어, PECVD 반응은 TEOS 및/또는 실란을 사용하여 수행된다. TEOS 반응 물질 및 실란 반응 물질은 PECVD 반응을 실시하는 데 특히 유용한 것으로 밝혀졌다. 일반적으로, PEALD 반응 물질들 섹션에 상기 열거된 반응 물질들은 PECVD 반응에 사용될 수도 있다. 그러나, 일부 실시 예들에서, 반응 물질들은 PECVD에 사용된 TEOS 또는 실란 및 PEALD에 사용된 아미노실란과 상이하다.
일부 실시 예들에서, PEALD로부터 PECVD로의 전이에서 RF 전력은 감소될 수도 있다. 예를 들어, PEALD에 대해, RF 전력은 1250 내지 6000 W일 수도 있고, PECVD에 대해, RF 전력은 1000 내지 6000 W일 수도 있다. 그러나, 일부 실시 예들에서, PEALD에 대해, RF 전력은 적어도 3 ㎾, 또는 4 ㎾, 또는 5 ㎾일 수도 있다. 일부 실시 예들에서, PECVD에 대해 RF 전력은 1 ㎾ 내지 2 ㎾, 예를 들어, 1250 W일 수도 있다. PEALD 사이클 각각에 대한 예시적인 RF 시간들은 0.15 초 내지 0.6 초이다. PECVD 증착을 위한 예시적인 RF 시간들은 1 내지 200 초이다. tCVD 방법은 또한 플라즈마 없이 수행될 수도 있다.
일부 실시 예들에서, ALD 및 CVD 프로세스들은 멀티-스테이션 챔버에서 수행될 수도 있다. 다양한 실시 예들에 따라, ALD 스테이션 및 CVD 스테이션 각각은 정적 모드 (static mode) (일 스테이션에서 완전히 프로세싱) 또는 다마신 모드 (damascene mode) (스테이션들 간 인덱싱 (index)) 로 독립적으로 수행될 수도 있다. 다마신 모드는 보다 우수한 균일성을 부여하도록 사용될 수도 있다. 따라서, 멀티-스테이션 챔버에서:
1) 정적 모드의 ALD 및 CVD (일 스테이션에서 완전히 프로세싱), 또는
2) 정적 모드의 ALD 및 보다 나은 균일성을 부여하도록 스테이션들 사이에 인덱싱하는 다마신 모드의 CVD 프로세스, 또는
3) 다마신 모드의 ALD 및 정적 모드의 CVD, 또는
4) 다마신 모드의 ALD 및 다마신 모드의 CVD 중 임의의 하나의 프로세스가 수행될 수도 있다.
ALD 및 CVD 모두 정적 모드인 실시 예들에서, 프로세스들은 동일한 스테이션에서 수행될 수도 있거나 수행되지 않을 수도 있다.
일부 실시 예들에서, ALD와 CVD 사이의 전이는 ALD 스테이션으로부터 CVD 스테이션으로 기판을 인덱싱하는 것을 포함한다. ALD 및 CVD를 수행하기 위해 상이한 스테이션들을 사용하는 것은 ALD 프로세스 및 CVD 프로세스에 대해 상이한 화합물들 및 프로세스 조건들의 사용을 용이하게 할 수 있다.
장치
개시된 방법들을 수행하기 적합한 장치는 통상적으로 프로세스 동작들을 달성하기 위한 하드웨어 및 본 발명에 따른 프로세스 동작들을 제어하기 위한 인스트럭션들을 갖는 시스템 제어기를 포함한다. 예를 들어, 일부 실시 예들에서, 하드웨어는 프로세스 툴에 포함된 하나 이상의 PEALD 프로세스 스테이션, PECVD 프로세스 스테이션 또는 공동 (joint) PEALD/PECVD 프로세스 스테이션들을 포함할 수도 있다.
도 6은 어느 하나가 플라즈마 강화될 수도 있는, ALD 및/또는 CVD를 사용하여 재료를 증착하도록 사용될 수도 있는 프로세스 스테이션 (600) 의 실시 예를 개략적으로 도시한다. 간략함을 위해, 프로세스 스테이션 (600) 은 저압 환경을 유지하기 위한 프로세스 챔버 바디 (body) (602) 를 갖는 독립형 (standalone) 프로세스 스테이션으로서 도시된다. 그러나, 복수의 프로세스 스테이션들 (600) 이 공통 프로세스 툴 환경에 포함될 수도 있다는 것이 이해될 것이다. 또한, 일부 실시 예들에서, 이하에 상세히 논의된 하드웨어 파라미터들을 포함하는, 프로세스 스테이션 (600) 의 하나 이상의 하드웨어 파라미터들이 하나 이상의 컴퓨터 제어기들에 의해 프로그램적으로 조정될 수도 있다는 것이 인식될 것이다.
프로세스 스테이션 (600) 은 분배 샤워헤드 (606) 로 프로세스 가스들을 전달하기 위해 반응 물질 전달 시스템 (601) 과 유체로 연통한다. 반응 물질 전달 시스템 (601) 은 샤워헤드 (606) 로 전달을 위해, 프로세스 가스들을 블렌딩 (blend) 및/또는 컨디셔닝하기 (condition) 위한 혼합 용기 (mixing vessel) (604) 를 포함한다. 하나 이상의 혼합 용기 유입구 밸브들 (620) 은 혼합 용기 (604) 로의 프로세스 가스들의 도입을 제어할 수도 있다. 유사하게, 샤워헤드 유입구 밸브 (605) 는 샤워헤드 (606) 로의 프로세스 가스들의 도입을 제어할 수도 있다.
BTBAS와 같은 일부 반응 물질들은 기화 및 프로세스 스테이션으로의 후속 전달 전에 액체 형태로 저장될 수도 있다. 예를 들어, 도 6의 실시 예는 혼합 용기 (604) 로 공급될 액체 반응 물질을 기화시키기 위한 기화 지점 (603) 을 포함한다. 일부 실시 예들에서, 기화 지점 (603) 은 가열된 기화기일 수도 있다. 이러한 기화기들로부터 생성된 반응 물질 증기가 다운스트림 (downstream) 전달 파이핑 (piping) 에서 응결될 수도 있다. 응결된 반응 물질로의 양립할 수 없는 가스들의 노출은 작은 입자들을 생성할 수도 있다. 이들 작은 입자들은 파이핑을 막고 (clog), 밸브 동작을 방해하고 (impede), 기판들을 오염시키는, 등을 할 수도 있다. 이들 문제들을 해결하기 위한 일부 접근법들은 잔류 반응 물질을 제거하기 위해 전달 파이핑을 스윕핑 (sweep) 및/또는 배기하는 것을 수반한다. 그러나, 전달 파이핑을 스윕핑하는 것은 프로세스 스테이션 사이클 시간을 증가시킬 수도 있어, 프로세스 스테이션 쓰루풋을 저하시킨다. 따라서, 일부 실시 예들에서, 기화 지점 (603) 의 다운스트림 전달 파이핑은 열 추적될 수도 있다. 일부 예들에서, 혼합 용기 (604) 는 또한 열 추적될 수도 있다. 일 비제한적인 예에서, 기화 지점 (603) 의 다운스트림 파이핑은 혼합 용기 (604) 에서 대략 100 ℃로부터 대략 150 ℃로 연장하는, 상승하는 온도 프로파일을 갖는다.
일부 실시 예들에서, 반응 물질 액체는 액체 주입기에서 기화될 수도 있다. 예를 들어, 액체 주입기는 액체 반응 물질의 펄스들을 혼합 용기의 업스트림 (upstream) 의 캐리어 가스 스트림 내로 주입할 수도 있다. 일 시나리오에서, 액체 주입기는 보다 높은 압력으로부터 보다 낮은 압력으로 액체를 플래싱함으로써 (flash) 반응 물질을 기화시킬 수도 있다. 또 다른 시나리오에서, 액체 주입기는 가열된 전달 파이프 내에서 후속하여 기화되는 분산된 마이크로 액적들 (microdroplets) 로 액체를 원자화할 수도 있다. 보다 작은 액적들은 보다 큰 액적들보다 보다 빠르게 기화될 수도 있고, 액체 주입과 완전한 기화 사이의 지연을 감소시킨다는 것이 인식될 것이다. 보다 빠른 기화는 기화 지점 (603) 으로부터 다운스트림 파이핑의 길이를 감소시킬 수도 있다. 일 시나리오에서, 액체 주입기는 혼합 용기 (604) 에 직접 장착될 수도 있다. 또 다른 시나리오에서, 액체 주입기는 샤워헤드 (606) 에 직접 장착될 수도 있다.
일부 실시 예들에서, 기화 지점 (603) 의 업스트림의 액체 유량 제어기 (liquid flow controller; LFC) 가 기화 및 프로세스 스테이션 (600) 으로의 전달을 위해 액체의 질량 유량 (mass flow) 를 제어하기 위해 제공될 수도 있다. 예를 들어, LFC는 LFC의 다운스트림에 위치된 열적 질량 유량 미터 (mass flow meter; MFM) 를 포함할 수도 있다. 이어서 LFC의 플런저 밸브가 MFM과 전기적으로 통신하는 PID (Proportional-Integral-Derivative) 제어기에 의해 제공된 피드백 제어 신호들에 응답하여 조정될 수도 있다. 그러나, 이는 피드백 제어를 사용하여 액체 플로우를 안정화시키기 위해 1 초 이상 소요될 수도 있다. 이는 액체 반응 물질을 도징하기 (dose) 위한 시간을 연장할 수도 있다. 따라서, 일부 실시 예들에서, LFC는 피드백 제어 모드와 직접 제어 모드 사이에서 동적으로 스위칭될 (switch) 수도 있다. 일부 실시 예들에서, LFC는 LFC 및 PID 제어기의 센싱 튜브를 디스에이블함으로써 (disable) 피드백 제어 모드로부터 직접 제어 모드로 동적으로 스위칭될 수도 있다.
샤워헤드 (606) 는 기판 (612) 을 향해 프로세스 가스들을 분배한다. 도 6에 도시된 실시 예에서, 기판 (612) 은 샤워헤드 (606) 밑에 위치되고 페데스탈 (608) 상에 놓인 것으로 도시된다. 샤워헤드 (606) 는 임의의 적합한 형상을 가질 수도 있고, 기판 (612) 으로 프로세스 가스들을 분배하기 위해 임의의 적합한 수 및 배열의 포트들을 가질 수도 있다는 것이 인식될 것이다.
일부 실시 예들에서, 마이크로볼륨 (607) 이 샤워헤드 (606) 밑에 위치된다. 프로세스 스테이션의 전체 볼륨이 아니라 마이크로볼륨에서 ALD 및/또는 CVD 프로세스를 수행하는 것은 반응 물질 노출 및 스윕핑 시간들을 감소시킬 수도 있고, 프로세스 조건들 (예를 들어, 압력, 온도, 등) 을 변경하기 위한 시간들을 감소시킬 수도 있고, 프로세스 스테이션 로봇들의 프로세스 가스들로의 노출을 제한할 수도 있는, 등을 할 수도 있다. 예시적인 마이크로볼륨 사이즈들은 이로 제한되는 것은 아니지만, 0.1 리터 내지 2 리터의 볼륨들을 포함한다. 이 마이크로볼륨은 또한 생산성 쓰루풋에 영향을 준다. 사이클당 증착 레이트가 떨어지지만, 사이클 시간도 동시에 감소한다. 특정한 경우들에서, 후자의 효과는 미리 결정된 (given) 타깃 두께의 막에 대한 모듈의 전체 쓰루풋을 개선하기에 충분히 극적이다.
일부 실시 예들에서, 페데스탈 (608) 은 기판 (612) 을 마이크로볼륨 (607) 에 노출하고 그리고/또는 마이크로볼륨 (607) 의 볼륨을 가변시키도록 상승될 수도 있거나 하강될 수도 있다. 예를 들어, 기판 이송 페이즈에서, 페데스탈 (608) 은 기판 (612) 으로 하여금 페데스탈 (608) 상으로 로딩되게 하도록 하강될 수도 있다. 증착 프로세스 페이즈 동안, 페데스탈 (608) 은 마이크로볼륨 (607) 내에 기판 (612) 을 위치시키도록 상승될 수도 있다. 일부 실시 예들에서, 마이크로볼륨 (607) 은 증착 프로세스 동안 고 플로우 (high flow) 임피던스 (impedance) 의 영역을 생성하도록 페데스탈 (608) 의 일부뿐만 아니라 기판 (612) 을 완전히 인클로징할 (enclose) 수도 있다.
선택 가능하게 (optionally), 페데스탈 (608) 은 마이크로볼륨 (607) 내에서 프로세스 압력, 반응 물질 농도, 등을 조절하기 (modulate) 위해 증착 프로세스의 부분들 동안 하강 및/또는 상승될 수도 있다. 프로세스 챔버 바디 (602) 가 증착 프로세스 동안 기준 압력으로 유지되는 일 시나리오에서, 페데스탈 (608) 을 하강시키는 것은 마이크로볼륨 (607) 으로 하여금 배기되게 할 수도 있다. 마이크로볼륨 대 프로세스 챔버 체적의 예시적인 비들은 이로 제한되는 것은 아니지만, 1:600 내지 1:10의 체적비들을 포함한다. 일부 실시 예들에서, 페데스탈 높이는 적합한 컴퓨터 제어기에 의해 프로그램적으로 조정될 수도 있다는 것이 인식될 것이다.
또 다른 시나리오에서, 페데스탈 (608) 의 높이를 조정하는 것은 플라즈마 밀도로 하여금 증착 프로세스에 포함된 플라즈마 활성화 및/또는 처리 사이클들 동안 가변되게 할 수도 있다. 증착 프로세스 페이즈의 종료 시, 페데스탈 (608) 은 페데스탈 (608) 로부터 기판 (612) 의 제거를 허용하도록 또 다른 기판 이송 페이즈 동안 하강될 수도 있다.
본 명세서에 기술된 예시적인 마이크로볼륨 변동들이 높이-조정 가능한 페데스탈을 지칭하지만, 일부 실시 예들에서, 샤워헤드 (606) 의 위치는 마이크로볼륨 (607) 의 볼륨을 가변시키도록 페데스탈 (608) 에 대해 조정될 수도 있다는 것이 인식될 것이다. 또한, 페데스탈 (608) 및/또는 샤워헤드 (606) 의 수직 위치는 본 개시의 범위 내의 임의의 적합한 메커니즘에 의해 가변될 수도 있다는 것이 인식될 것이다. 일부 실시 예들에서, 페데스탈 (608) 은 기판 (612) 의 배향을 회전시키기 위한 회전 축을 포함할 수도 있다. 일부 실시 예들에서, 이들 예시적인 조정들 중 하나 이상이 하나 이상의 적합한 컴퓨터 제어기들에 의해 프로그램적으로 수행될 수도 있다는 것이 인식될 것이다.
도 6에 도시된 실시 예를 다시 참조하면, 샤워헤드 (606) 및 페데스탈 (608) 은 플라즈마에 전력을 공급하기 위해 RF 전력 공급부 (614) 및 매칭 네트워크 (616) 와 전기적으로 통신한다. 일부 실시 예들에서, 플라즈마 에너지는 프로세스 스테이션 압력, 가스 농도, RF 소스 전력, RF 소스 주파수 및 플라즈마 전력 펄스 타이밍 중 하나 이상을 제어함으로써 제어될 수도 있다. 예를 들어, RF 전력 공급부 (614) 및 매칭 네트워크 (616) 는 목표된 조성의 라디칼 종을 갖는 플라즈마를 형성하도록 임의의 적합한 전력에서 동작될 수도 있다. 적합한 전력들의 예들은 상기에 포함되었다. 유사하게, RF 전력 공급부 (614) 는 임의의 적합한 주파수의 RF 전력을 제공할 수도 있다. 일부 실시 예들에서, RF 전력 공급부 (614) 는 고 주파수 RF 전력 소스 및 저 주파수 RF 전력 소스를 서로 독립적으로 제어하도록 구성될 수도 있다. 예시적인 저 주파수 RF 주파수들은, 이로 제한되는 것은 아니지만, 50 ㎑ 내지 600 ㎑의 주파수들을 포함할 수도 있다. 예시적인 고 주파수 RF 주파수들은, 이로 제한되는 것은 아니지만, 1.8 ㎒ 내지 2.45 ㎓의 주파수들을 포함할 수도 있다. 임의의 적합한 파라미터들은 표면 반응들을 위한 플라즈마 에너지를 제공하도록 이산적으로 (discretely) 또는 연속적으로 (continuously) 조절될 수도 있다는 것이 인식될 것이다. 일 비제한적인 예에서, 플라즈마 전력은 연속적으로 전력 공급된 플라즈마들에 대해 기판 표면과의 이온 충돌 (ion bombardment) 을 감소시키도록 간헐적으로 펄싱될 수도 있다.
일부 실시 예들에서, 플라즈마는 하나 이상의 플라즈마 모니터들에 의해 인 시츄 모니터링될 수도 있다. 일 시나리오에서, 플라즈마 전력은 하나 이상의 전압 센서들, 전류 센서들 (예를 들어, VI 프로브들) 에 의해 모니터링될 수도 있다. 또 다른 시나리오에서, 플라즈마 밀도 및/또는 프로세스 가스 농도는 하나 이상의 광방출 분광법 (Optical Emission Spectroscopy; OES) 센서들에 의해 측정될 수도 있다. 일부 실시 예들에서, 하나 이상의 플라즈마 파라미터들은 이러한 인 시츄 플라즈마 모니터들로부터의 측정 값들에 기초하여 프로그램적으로 조정될 수도 있다. 예를 들어, OES 센서는 플라즈마 전력의 프로그램적 제어를 제공하기 위해 피드백 루프 (feedback loop) 내에서 사용될 수도 있다. 일부 실시 예들에서, 다른 모니터들이 플라즈마 및 다른 프로세스 특성들을 모니터링하도록 사용될 수도 있다는 것이 인식될 것이다. 이러한 모니터들은 이로 제한되는 것은 아니지만, 적외선 (IR) 모니터들, 음향 모니터들 및 압력 트랜스듀서들 (transducers) 을 포함할 수도 있다.
일부 실시 예들에서, 플라즈마는 입력/출력 제어 (input/output control; IOC) 시퀀싱 인스트럭션들을 통해 제어될 수도 있다. 일 예에서, 플라즈마 프로세스 페이즈에 대한 플라즈마 조건들을 설정하기 위한 인스트럭션들은 증착 프로세스 레시피의 대응하는 플라즈마 활성화 레시피 페이즈에 포함될 수도 있다. 일부 경우들에서, 프로세스 레시피 페이즈들은 증착 프로세스 페이즈에 대한 모든 인스트럭션들이 그 프로세스 페이즈와 동시에 실행되도록 순차적으로 배열될 수도 있다. 일부 실시 예들에서, 하나 이상의 플라즈마 파라미터들을 설정하기 위한 인스트럭션들은 플라즈마 프로세스 페이즈에 앞서 레시피 페이즈에 포함될 수도 있다. 예를 들어, 제 1 레시피 페이즈는 불활성 가스 및/또는 반응 물질 가스의 플로우 레이트를 설정하기 위한 인스트럭션들, 플라즈마 생성기를 전력 설정점으로 설정하기 위한 인스트럭션들 및 제 1 레시피 페이즈에 대한 시간 지연 인스트럭션들을 포함할 수도 있다. 제 2, 후속 레시피 페이즈는 플라즈마 생성기를 인에이블하기 (enable) 위한 인스트럭션들 및 제 2 레시피 페이즈에 대한 시간 지연 인스트럭션들을 포함할 수도 있다. 제 3 레시피 페이즈는 플라즈마 생성기를 디스에이블하기 위한 인스트럭션들 및 제 3 레시피 페이즈에 대한 시간 지연 인스트럭션들을 포함할 수도 있다. 이들 레시피 페이즈들은 본 개시의 범위 내에서 임의의 적합한 방식으로 더 세분되고 그리고/또는 반복될 수도 있다는 것이 인식될 것이다.
일부 증착 프로세스들에서, 플라즈마 스트라이크들 (strikes) 은 대략 수 초 이상의 지속 기간 지속된다. 특정한 구현 예들에서, 훨씬 보다 짧은 플라즈마 스트라이크들이 사용될 수도 있다. 이들은 대략 10 ㎳ 내지 1 초, 통상적으로 약 20 내지 80 ㎳일 수도 있고, 50 ㎳가 특정한 예이다. 이러한 매우 짧은 RF 플라즈마 스트라이크들은 플라즈마의 매우 신속한 안정화를 필요로 한다. 이를 달성하기 위해, 플라즈마 생성기는 임피던스 매칭이 특정한 전압으로 미리 설정되는 한편, 주파수가 플로팅되도록 구성될 수도 있다. 통상적으로, 고 주파수 플라즈마들은 약 13.56 ㎒의 RF 주파수에서 생성된다. 본 명세서에 개시된 다양한 실시 예들에서, 주파수는 이 표준 값과 상이한 값으로 플로팅되게 된다. 임피던스 매칭을 미리 결정된 전압으로 고정하는 동안 주파수가 플로팅하게 함으로써, 플라즈마는 일부 타입들의 증착 사이클들과 연관된 매우 짧은 플라즈마 스트라이크들을 사용할 때 중요할 수도 있는 결과를 훨씬 보다 신속하게 안정화될 수 있다.
일부 실시 예들에서, 페데스탈 (608) 은 히터 (610) 를 통해 온도 제어될 수도 있다. 또한, 일부 실시 예들에서, 증착 프로세스 스테이션 (600) 에 대한 압력 제어가 버터플라이 밸브 (butterfly valve) (618) 에 의해 제공될 수도 있다. 도 6의 실시 예에 도시된 바와 같이, 버터플라이 밸브 (618) 는 다운스트림 진공 펌프 (미도시) 에 의해 제공된 진공을 쓰로틀한다 (throttle). 그러나, 일부 실시 예들에서, 프로세스 스테이션 (600) 의 압력 제어는 또한 프로세스 스테이션 (600) 으로 도입된 하나 이상의 가스들의 플로우 레이트를 가변시킴으로써 조정될 수도 있다.
도 7은 인바운드 로드 록 (702) 및 아웃바운드 로드 록 (704) 을 갖는 멀티-스테이션 프로세싱 툴 (700) 의 실시 예의 개략도를 도시하고, 인바운드 로드 록 (702) 및 아웃바운드 로드 록 (704) 중 하나 또는 모두는 리모트 플라즈마 소스를 포함할 수도 있다. 대기압에서 로봇 (706) 은, 카세트로부터 포드 (pod) (708) 를 통해 로딩된 웨이퍼들을 대기 포트 (710) 를 통해 인바운드 로드 록 (702) 으로 이동시키도록 구성된다. 웨이퍼는 인바운드 로드 록 (702) 내의 페데스탈 (712) 상에 로봇 (706) 에 의해 배치되고, 대기 포트 (710) 는 폐쇄되고, 로드 록은 펌핑 다운된다 (pump down). 인바운드 로드 록 (702) 이 리모트 플라즈마 소스를 포함하는, 웨이퍼는 프로세싱 챔버 (714) 내로 도입되기 전에 로드 록 내에서 리모트 플라즈마 처리에 노출될 수도 있다. 또한, 웨이퍼는 예를 들어, 수분 및 흡착된 가스들을 제거하기 위해 인바운드 로드 록 (702) 내에서도 또한 가열될 수도 있다. 다음에, 프로세싱 챔버 (714) 로의 챔버 이송 포트 (716) 가 개방되고, 또 다른 로봇 (미도시) 이 프로세싱을 위한 반응기 내에 도시된 제 1 스테이션의 페데스탈 상의 반응기 내로 웨이퍼를 배치한다. 도 4에 도시된 실시 예는 로드 록들을 포함하지만, 일부 실시 예들에서, 프로세스 스테이션 내로 웨이퍼의 직접 진입이 제공될 수도 있다는 것이 인식될 것이다.
도시된 프로세싱 챔버 (714) 는 도 7에 도시된 실시 예에서 1 내지 4로 번호가 붙여진, 4 개의 프로세스 스테이션들을 포함한다. 스테이션 각각은 가열된 페데스탈 (스테이션 1에 대해 (718) 로 도시됨) 및 가스 라인 유입구들을 갖는다. 일부 실시 예들에서, 프로세스 스테이션 각각이 상이한 목적들 또는 복수의 목적들을 가질 수도 있다는 것이 인식될 것이다. 도시된 프로세싱 챔버 (714) 는 4 개의 스테이션들을 포함하지만, 본 개시에 따른 프로세싱 챔버는 임의의 적합한 수의 스테이션들을 가질 수도 있다는 것이 이해될 것이다. 예를 들어, 일부 실시 예들에서, 프로세싱 챔버는 5 개 이상의 스테이션들을 가질 수도 있는 반면, 다른 실시 예들에서 프로세싱 챔버는 3 개 이하의 스테이션들을 가질 수도 있다.
도 7은 또한 프로세싱 챔버 (714) 내에서 웨이퍼들을 이송하기 위한 웨이퍼 핸들링 시스템 (790) 의 실시 예를 도시한다. 일부 실시 예들에서, 웨이퍼 핸들링 시스템 (790) 은 다양한 프로세스 스테이션들 사이 그리고/또는 프로세스 스테이션과 로드 록 사이에서 웨이퍼들을 이송할 수도 있다. 임의의 적합한 웨이퍼 핸들링 시스템이 채용될 수도 있다는 것이 인식될 것이다. 비제한적인 예들은 웨이퍼 캐로절들 (carousels) 및 웨이퍼 핸들링 로봇들을 포함한다. 도 7은 또한 프로세스 툴 (700) 의 프로세스 조건들 및 하드웨어 상태들을 제어하도록 채용된 시스템 제어기 (750) 의 실시 예를 도시한다. 시스템 제어기 (750) 는 하나 이상의 메모리 디바이스들 (756), 하나 이상의 대용량 저장 디바이스들 (754) 및 하나 이상의 프로세서들 (752) 을 포함할 수도 있다. 프로세서 (752) 는 CPU 또는 컴퓨터, 아날로그 입력/출력 연결부 및/또는 디지털 입력/출력 연결부, 스텝퍼 모터 제어 보드들, 등을 포함할 수도 있다.
일부 실시 예들에서, 시스템 제어기 (750) 는 프로세스 툴 (700) 의 모든 액티비티들을 제어한다. 시스템 제어기 (750) 는 대용량 저장 디바이스 (754) 에 저장되고 메모리 디바이스 (756) 내로 로딩되고, 프로세서 (752) 상에서 실행되는 시스템 제어 소프트웨어 (758) 를 실행한다. 시스템 제어 소프트웨어 (758) 는 타이밍, 가스들의 혼합물, 챔버 및/또는 스테이션 압력, 챔버 및/또는 스테이션 온도, 퍼지 조건들 및 타이밍, 웨이퍼 온도, RF 전력 레벨들, RF 주파수들, 기판, 페데스탈, 척 및/또는 서셉터 (susceptor) 위치 및 프로세스 툴 (700) 에 의해서 수행된 특정한 프로세스의 다른 파라미터들을 포함할 수도 있다. 시스템 제어 소프트웨어 (758) 는 임의의 적합한 방식으로 구성될 수도 있다. 예를 들어, 다양한 프로세스 툴 컴포넌트 서브루틴들 (subroutines) 또는 제어 객체들은 개시된 방법들에 따른 다양한 프로세스 툴 프로세스들을 수행하기 위해 필요한 프로세스 툴 컴포넌트들의 동작을 제어하도록 작성될 수도 있다. 시스템 제어 소프트웨어 (758) 는 임의의 적합한 컴퓨터 판독 가능 프로그래밍 언어로 코딩될 수도 있다.
일부 실시 예들에서, 시스템 제어 소프트웨어 (758) 는 상기 기술된 다양한 파라미터들을 제어하기 위한 IOC 시퀀싱 인스트럭션들을 포함할 수도 있다. 예를 들어, PEALD 프로세스의 페이즈 각각은 시스템 제어기 (750) 에 의한 실행을 위한 하나 이상의 인스트럭션들을 포함할 수도 있다. PEALD 프로세스 페이즈에 대한 프로세스 조건들을 설정하기 위한 인스트럭션들은 대응하는 PEALD 레시피 페이즈에 포함될 수도 있다. 일부 실시 예들에서, PEALD 레시피 페이즈들은 PEALD 프로세스 페이즈에 대한 모든 인스트럭션들이 그 프로세스 페이즈와 동시에 실행되도록 순차적으로 배열될 수도 있다.
시스템 제어기 (750) 와 연관된 대용량 저장 디바이스 (754) 및/또는 메모리 디바이스 (756) 에 저장된 다른 컴퓨터 소프트웨어 및/또는 프로그램들이 일부 실시 예들에서 채용될 수도 있다. 이 목적을 위한 프로그램들 또는 프로그램들의 섹션들의 예들은 기판 포지셔닝 (positioning) 프로그램, 프로세스 가스 제어 프로그램, 압력 제어 프로그램, 히터 제어 프로그램 및 플라즈마 제어 프로그램을 포함한다.
기판 포지셔닝 프로그램은 페데스탈 (718) 상에 기판을 로딩하고 기판과 프로세스 툴 (700) 의 다른 부분들 사이의 간격을 제어하도록 사용된 프로세스 툴 컴포넌트들에 대한 프로그램 코드를 포함할 수도 있다.
프로세스 가스 제어 프로그램은 가스 조성 및 플로우 레이트들을 제어하기 위한 코드 및 선택 가능하게 프로세스 스테이션 내 압력을 안정화시키기 위해 증착 전에 하나 이상의 프로세스 스테이션들 내로 가스를 흘리기 위한 코드를 포함할 수도 있다. 프로세스 가스 제어 프로그램은 임의의 개시된 범위들 내에서 가스 조성 및 플로우 레이트들을 제어하기 위한 코드를 포함할 수도 있다. 압력 제어 프로그램이 예를 들어, 프로세스 스테이션의 배기 시스템의 쓰로틀 밸브를 조절함으로써, 프로세스 스테이션 내 압력, 프로세스 스테이션 내로 가스 플로우, 등을 제어하기 위한 코드를 포함할 수도 있다. 압력 제어 프로그램은 임의의 개시된 압력 범위들 내에서 프로세스 스테이션의 압력을 유지하기 위한 코드를 포함할 수도 있다.
히터 제어 프로그램은 기판을 가열하도록 사용되는 가열 유닛으로의 전류를 제어하기 위한 코드를 포함할 수도 있다. 대안적으로, 히터 제어 프로그램은 기판으로 (헬륨과 같은) 열 이송 가스의 전달을 제어할 수도 있다. 히터 제어 프로그램은 임의의 개시된 범위들 내에서 기판의 온도를 유지하기 위한 인스트럭션들을 포함할 수도 있다.
플라즈마 제어 프로그램은 예를 들어 본 명세서에 개시된 임의의 RF 전력 레벨들을 사용하여, 하나 이상의 프로세스 스테이션들에서 프로세스 전극들에 인가된 RF 전력 레벨들 및 주파수들을 설정하기 위한 코드를 포함할 수도 있다. 플라즈마 제어 프로그램은 또한 플라즈마 노출 각각의 지속 기간을 제어하기 위한 코드를 포함할 수도 있다.
일부 실시 예들에서, 시스템 제어기 (750) 와 연관된 사용자 인터페이스가 있을 수도 있다. 사용자 인터페이스는 디스플레이 스크린, 장치 및/또는 프로세스 조건들의 그래픽 소프트웨어 디스플레이들 및 포인팅 디바이스들, 키보드들, 터치 스크린들, 마이크로폰들, 등과 같은 사용자 입력 디바이스들을 포함할 수도 있다.
일부 실시 예들에서, 시스템 제어기 (750) 에 의해 조정된 파라미터들은 프로세스 조건들에 관련될 수도 있다. 비제한적인 예들은 프로세스 가스 조성 및 플로우 레이트들, 온도, 압력, (RF 전력 레벨들, 주파수 및 노출 시간과 같은) 플라즈마 조건들, 등을 포함한다. 이들 파라미터들은 사용자 인터페이스를 활용하여 입력될 수도 있는 레시피의 형태로 사용자에게 제공될 수도 있다.
프로세스를 모니터링하기 위한 신호들은 다양한 프로세스 툴 센서들로부터 시스템 제어기 (750) 의 아날로그 입력 연결부 및/또는 디지털 입력 연결부에 의해 제공될 수도 있다. 프로세스를 제어하기 위한 신호들은 프로세스 툴 (700) 의 아날로그 출력 연결부 및 디지털 출력 연결부 상에 출력될 수도 있다. 모니터링될 수도 있는 프로세스 툴 센서들의 비한정적인 예들은 질량 플로우 제어기들, (마노미터들 (manometers) 과 같은) 압력 센서들, 열전대들 (thermocouples), 등을 포함한다. 적절하게 프로그래밍된 피드백 및 제어 알고리즘들이 프로세스 조건들을 유지하기 위해 이들 센서들로부터 데이터와 함께 사용될 수도 있다.
임의의 적합한 챔버가 개시된 실시 예들을 구현하는 데 사용될 수도 있다. 예시적인 증착 장치들은 이로 제한되는 것은 아니지만, California, Fremont 소재의 Lam Research Corp.로부터 각각 입수 가능한 STRIKER® 제품군 및 VECTOR® 제품군으로부터의 장치, 또는 모든 다양한 다른 상업적으로 입수 가능한 프로세싱 시스템들을 포함한다. 스테이션들 중 2개 이상이 동일한 기능들을 수행할 수도 있다. 유사하게, 2 개 이상의 스테이션들은 상이한 기능들을 수행할 수도 있다. 스테이션 각각은 목표된 바와 같이 특정한 기능/방법을 수행하도록 설계/구성될 수 있다.
도 8은 특정한 실시 예들에 따른 박막 증착 프로세스들을 수행하기에 적합한 프로세싱 시스템의 블록도이다. 시스템 (800) 은 이송 모듈 (803) 을 포함한다. 이송 모듈 (803) 은 프로세싱될 기판들이 다양한 반응기 모듈들 사이에서 이동될 때 기판들의 오염 위험을 최소화하도록 클린, 가압된 환경을 제공한다. 이송 모듈 (803) 상에 특정한 실시 예들에 따라 ALD 및/또는 CVD를 각각 수행할 수 있는, 2 개의 멀티-스테이션 반응기들 (809 및 810) 이 장착된다. 반응기들 (809 및 810) 은 개시된 실시 예들에 따라 순차적으로 또는 비순차적으로 동작들을 수행할 수도 있는 복수의 스테이션들 (811, 813, 815 및 817) 을 포함할 수도 있다. 스테이션들은 가열된 페데스탈 또는 기판 지지부, 하나 이상의 가스 유입구들 또는 샤워헤드 또는 확산 플레이트 (dispersion plate) 를 포함할 수도 있다.
또한, 플라즈마 또는 화학적 (비플라즈마) 사전-세정들, 또는 개시된 방법들과 관련하여 기술된 임의의 다른 프로세스들을 수행할 수 있는 하나 이상의 단일 스테이션 모듈들 또는 멀티-스테이션 모듈들 (807) 이 이송 모듈 (803) 상에 장착될 수도 있다. 모듈 (807) 은 일부 경우들에서, 예를 들어, 증착 프로세스를 위해 기판을 준비하도록 다양한 처리들을 위해 사용될 수도 있다. 모듈 (807) 은 또한 에칭 또는 폴리싱과 같은 다양한 다른 프로세스들을 수행하도록 설계/구성될 수도 있다. 시스템 (800) 은 또한 웨이퍼들이 프로세싱 전후에 저장되는, 하나 이상의 웨이퍼 소스 모듈들 (801) 을 포함한다. 대기 이송 챔버 (819) 의 대기 로봇 (미도시) 이 소스 모듈들 (801) 로부터 로드 록들 (821) 로 웨이퍼들을 먼저 제거할 수도 있다. 이송 모듈 (803) 의 웨이퍼 이송 디바이스 (일반적으로 로봇 암 (arm) 유닛) 가 로드 록들 (821) 로부터 이송 모듈 (803) 상에 장착된 모듈들로 그리고 모듈들 사이에서 웨이퍼들을 이동시킨다.
다양한 실시 예들에서, 시스템 제어기 (829) 가 증착 동안 프로세스 조건들을 제어하도록 채용된다. 제어기 (829) 는 통상적으로 하나 이상의 메모리 디바이스들 및 하나 이상의 프로세서들을 포함할 것이다. 프로세서는 CPU 또는 컴퓨터, 아날로그 입력/출력 연결부 및/또는 디지털 입력/출력 연결부, 스텝퍼 모터 제어기 보드들, 등을 포함할 수도 있다.
제어기 (829) 는 증착 장치의 모든 액티비티들을 제어할 수도 있다. 시스템 제어기 (829) 는 타이밍, 가스들의 혼합물, 챔버 압력, 챔버 온도, 웨이퍼 온도, RF 전력 레벨들, 웨이퍼 척 또는 페데스탈 위치 및 특정한 프로세스의 다른 파라미터들을 제어하기 위한 인스트럭션들의 세트들을 포함하는, 시스템 제어 소프트웨어를 실행한다. 일부 실시 예들에서 제어기 (829) 와 연관된 메모리 디바이스들 상에 저장된 다른 컴퓨터 프로그램들이 채용될 수도 있다.
통상적으로, 제어기 (829) 와 연관된 사용자 인터페이스가 있을 것이다. 사용자 인터페이스는 디스플레이 스크린, 장치 및/또는 프로세스 조건들의 그래픽 소프트웨어 디스플레이들 및 포인팅 디바이스들, 키보드들, 터치 스크린들, 마이크로폰들, 등과 같은 사용자 입력 디바이스들을 포함할 수도 있다.
시스템 제어 로직은 임의의 적합한 방식으로 구성될 수도 있다. 일반적으로, 로직은 하드웨어 및/또는 소프트웨어로 구성되거나 설계될 수 있다. 구동 회로를 제어하기 위한 인스트럭션들은 하드 코딩될 수도 있고 또는 소프트웨어로서 제공될 수도 있다. 인스트럭션들은 "프로그래밍"에 의해 제공될 수도 있다. 이러한 프로그래밍은 디지털 신호 프로세서들, 주문형 집적 회로들 (application-specific integrated circuits) 및 하드웨어로서 구현된 특정한 알고리즘들을 갖는 다른 디바이스들에 하드 코딩된 로직을 포함하는, 임의의 형태의 로직을 포함하는 것으로 이해된다. 프로그래밍은 또한 범용 프로세서 상에서 실행될 수도 있는 소프트웨어 또는 펌웨어 인스트럭션들을 포함하는 것으로 이해된다. 시스템 제어 소프트웨어는 임의의 적합한 컴퓨터 판독 가능 프로그래밍 언어로 코딩될 수도 있다.
프로세스 시퀀스의 게르마늄-함유 환원제 펄스들, 수소 플로우 및 텅스텐-함유 전구체 펄스들, 그리고 다른 프로세스들을 제어하기 위한 컴퓨터 프로그램 코드는 임의의 종래의 컴퓨터 판독 가능 프로그래밍 언어: 예를 들어, 어셈블리어, C, C++, Pascal, Fortran, 또는 다른 언어들로 작성될 수 있다. 컴파일링된 객체 코드 또는 스크립트가 프로그램에서 식별된 태스크들을 수행하도록 프로세서에 의해 실행된다. 또한 나타낸 바와 같이, 프로그램 코드는 하드 코딩될 수도 있다.
제어기 파라미터들은 예컨대, 예를 들어, 프로세스 가스 조성 및 플로우 레이트들, 온도, 압력, 냉각 가스 압력, 기판 온도 및 챔버 벽 온도와 같은, 프로세스 조건들에 관련된다. 이들 파라미터들은 사용자 인터페이스를 활용하여 입력될 수도 있고, 레시피의 형태로 사용자들에게 제공된다. 프로세스를 모니터링하기 위한 신호들은 시스템 제어기 (829) 의 아날로그 입력 연결부 및/또는 디지털 입력 연결부에 의해 제공될 수도 있다. 프로세스를 제어하기 위한 신호들은 증착 장치 (800) 의 아날로그 출력 연결부 및 디지털 출력 연결부 상에 출력된다.
시스템 소프트웨어는 많은 상이한 방식들로 설계될 수도 있거나 구성될 수도 있다. 예를 들어, 다양한 챔버 컴포넌트 서브루틴들 또는 제어 객체들은 개시된 실시 예들에 따른 증착 프로세스들 (및 일부 경우들에서, 다른 프로세스들) 을 수행하기 위해 필요한 챔버 컴포넌트들의 동작을 제어하도록 작성될 수도 있다. 이 목적을 위한 프로그램들 또는 프로그램들의 섹션들의 예들은 기판 포지셔닝 코드, 프로세스 가스 제어 코드, 압력 제어 코드 및 히터 제어 코드를 포함한다.
일부 구현 예들에서, 제어기 (829) 는 상기 기술된 예들의 일부일 수도 있는 시스템의 일부이다. 이러한 시스템들은, 프로세싱 툴 또는 툴들, 챔버 또는 챔버들, 프로세싱용 플랫폼 또는 플랫폼들 및/또는 특정 프로세싱 컴포넌트들 (웨이퍼 페데스탈, 가스 플로우 시스템, 등) 을 포함하는, 반도체 프로세싱 장비를 포함할 수 있다. 이들 시스템들은 반도체 웨이퍼 또는 기판의 프로세싱 이전에, 프로세싱 동안에, 그리고 프로세싱 이후에 그들의 동작을 제어하기 위한 전자장치들과 통합될 수도 있다. 전자장치들은 시스템 또는 시스템들의 다양한 컴포넌트들 또는 하위부분들을 제어할 수도 있는 "제어기"로서 지칭될 수도 있다. 제어기 (829) 는, 시스템의 프로세싱 요건들 및/또는 타입에 따라서, 프로세싱 가스들의 전달, 온도 설정사항들 (예를 들어, 가열 및/또는 냉각), 압력 설정사항들, 진공 설정사항들, 전력 설정사항들, 일부 시스템들에서 RF 생성기 설정사항들, RF 매칭 회로 설정사항들, 주파수 설정사항들, 플로우 레이트 설정사항들, 유체 전달 설정사항들, 위치 및 동작 설정사항들, 툴 및 다른 이송 툴들 및/또는 특정 시스템과 연결되거나 인터페이싱된 로드 록들 내외로의 웨이퍼 이송들을 포함하는, 본 명세서에 개시된 프로세스들 중 임의의 프로세스들을 제어하도록 프로그래밍될 수도 있다.
일반적으로 말하면, 제어기는 인스트럭션들을 수신하고, 인스트럭션들을 발행하고, 동작을 제어하고, 세정 동작들을 인에이블하고, 엔드포인트 측정들을 인에이블하는, 등을 하는 다양한 집적 회로들, 로직, 메모리 및/또는 소프트웨어를 갖는 전자장치들로서 규정될 수도 있다. 집적 회로들은 프로그램 인스트럭션들을 저장하는 펌웨어의 형태의 칩들, 디지털 신호 프로세서들 (DSPs), ASICs (Application Specific Integrated Circuits) 로서 규정되는 칩들 및/또는 프로그램 인스트럭션들 (예를 들어, 소프트웨어) 을 실행하는 하나 이상의 마이크로프로세서들, 또는 마이크로제어기들을 포함할 수도 있다. 프로그램 인스트럭션들은 반도체 웨이퍼 상에서 또는 반도체 웨이퍼에 대한 특정 프로세스를 수행하기 위한 동작 파라미터들을 규정하는, 다양한 개별 설정사항들 (또는 프로그램 파일들) 의 형태로 제어기와 통신하는 또는 시스템과 통신하는 인스트럭션들일 수도 있다. 일부 실시 예들에서, 동작 파라미터들은 하나 이상의 층들, 재료들, 금속들, 옥사이드들, 실리콘, 실리콘 다이옥사이드, 표면들, 회로들 및/또는 웨이퍼의 다이들 (dies) 의 제조 동안에 하나 이상의 프로세싱 단계들을 달성하도록 프로세스 엔지니어들에 의해서 규정된 레시피의 일부일 수도 있다.
제어기는, 일부 구현 예들에서, 시스템과 통합되거나, 시스템에 커플링되거나, 그렇지 않으면 시스템에 네트워킹되거나, 또는 이들의 조합인 컴퓨터에 커플링되거나 이의 일부일 수도 있다. 예를 들어, 제어기는 웨이퍼 프로세싱의 원격 액세스를 가능하게 할 수 있는 공장 (fab) 호스트 컴퓨터 시스템의 전부 또는 일부이거나 "클라우드" 내에 있을 수도 있다. 컴퓨터는 제조 동작들의 현 진행을 모니터링하거나, 과거 제조 동작들의 이력을 조사하거나, 복수의 제조 동작들로부터 경향들 또는 성능 계측치들을 조사하거나, 현 프로세싱의 파라미터들을 변경하거나, 현 프로세싱을 따르는 프로세싱 단계들을 설정하거나, 새로운 프로세스를 시작하기 위해서, 시스템으로의 원격 액세스를 인에이블할 수도 있다. 일부 예들에서, 원격 컴퓨터 (예를 들어, 서버) 가 로컬 네트워크 또는 인터넷을 포함할 수도 있는, 네트워크를 통해 프로세스 레시피들을 시스템에 제공할 수 있다. 원격 컴퓨터는 차후에 원격 컴퓨터로부터 시스템으로 전달될 파라미터들 및/또는 설정사항들의 입력 또는 프로그래밍을 인에이블하는 사용자 인터페이스를 포함할 수도 있다. 일부 예들에서, 제어기는 하나 이상의 동작들 동안 수행될 프로세싱 단계들 각각에 대한 파라미터들을 특정하는, 데이터의 형태의 인스트럭션들을 수신한다. 파라미터들은 제어기가 제어하거나 인터페이싱하도록 구성되는 툴의 타입 및 수행될 프로세스의 타입에 특정적일 수도 있다는 것이 이해되어야 한다. 따라서 상기 기술된 바와 같이, 제어기는 예컨대 본 명세서에 기술된 프로세스들 및 제어들과 같은, 공통 목적을 향해 함께 네트워킹되고 작동하는 하나 이상의 개별 제어기들을 포함함으로써 분산될 수도 있다. 이러한 목적들을 위한 분산형 제어기의 일 예는 챔버 상의 프로세스를 제어하도록 조합되는 원격으로 (예컨대 플랫폼 레벨에서 또는 원격 컴퓨터의 일부로서) 위치한 하나 이상의 집적 회로들과 통신하는 챔버 상의 하나 이상의 집적 회로들일 것이다.
비한정적으로, 예시적인 시스템들은 플라즈마 에칭 챔버 또는 모듈, 증착 챔버 또는 모듈, 스핀-린스 챔버 또는 모듈, 금속 도금 챔버 또는 모듈, 세정 챔버 또는 모듈, 베벨 에지 에칭 챔버 또는 모듈, 물리적 기상 증착 (Physical Vapor Deposition; PVD) 챔버 또는 모듈, CVD 챔버 또는 모듈, ALD 챔버 또는 모듈, 원자 층 에칭 (Atomic Layer Etch; ALE) 챔버 또는 모듈, 이온 주입 챔버 또는 모듈, 트랙 (track) 챔버 또는 모듈 및 반도체 웨이퍼들의 제조 및/또는 제작 시에 사용되거나 연관될 수도 있는 임의의 다른 반도체 프로세싱 시스템들을 포함할 수도 있다.
상술한 바와 같이, 툴에 의해서 수행될 프로세스 단계 또는 단계들에 따라서, 제어기는, 반도체 제작 공장 내의 툴 위치들 및/또는 로드 포트들로부터/로 웨이퍼들의 컨테이너들을 이동시키는 재료 이송 시에 사용되는, 다른 툴 회로들 또는 모듈들, 다른 툴 컴포넌트들, 클러스터 툴들, 다른 툴 인터페이스들, 인접 툴들, 이웃하는 툴들, 공장 도처에 위치한 툴들, 메인 컴퓨터, 또 다른 제어기, 또는 툴들 중 하나 이상과 통신할 수도 있다.

Claims (18)

  1. 갭 (gap) 을 갖는 기판을 프로세싱 챔버에 제공하는 단계로서, 상기 기판은 상단 표면으로부터 리세스된 (recessed) 상기 갭을 갖는 상기 상단 표면을 갖는, 상기 기판을 제공하는 단계;
    제 1 유전체 막 (dielectric film) 으로 상기 갭을 충진하도록 복수의 플라즈마 강화된 원자 층 증착 (plasma-enhanced atomic layer deposition; PEALD) 사이클들을 수행하는 단계로서, 상기 제 1 유전체 막은 상기 갭 위 및 상기 상단 표면의 평면 위에 제 1 인덴테이션 (indentation) 으로 특징화되는, 상기 복수의 PEALD 사이클들을 수행하는 단계; 및
    상기 프로세싱 챔버에서, 플라즈마 강화된 화학적 기상 증착 (plasma enhanced chemical vapor deposition; PECVD) 프로세스에 의해 상기 제 1 유전체 막 위에 제 2 유전체 막을 증착하는 단계를 포함하는, 방법.
  2. 제 1 항에 있어서,
    상기 제 2 유전체 막은 상기 제 1 인덴테이션을 충진하고 상기 제 1 인덴테이션보다 적어도 10 % 작은 제 2 인덴테이션을 포함하는, 방법.
  3. 제 1 항에 있어서,
    상기 제 2 유전체 막은 상기 제 1 인덴테이션을 충진하고 상기 제 1 인덴테이션보다 적어도 20 % 작은 제 2 인덴테이션을 포함하는, 방법.
  4. 제 1 항에 있어서,
    상기 제 2 유전체 막은 상기 제 1 인덴테이션을 충진하고 상기 제 1 인덴테이션보다 적어도 30 % 작은 제 2 인덴테이션을 포함하는, 방법.
  5. 제 1 항 내지 제 4 항 중 어느 한 항에 있어서,
    상기 제 2 유전체 막은 1 내지 3 ㎛ 두께인, 방법.
  6. 제 1 항 내지 제 5 항 중 어느 한 항에 있어서,
    상기 프로세싱 챔버는 멀티-스테이션 프로세싱 챔버인, 방법.
  7. 제 6 항에 있어서,
    상기 복수의 PEALD 사이클들은 상기 멀티-스테이션 프로세싱 챔버의 하나 이상의 제 1 스테이션들에서 수행되고, 그리고 상기 PECVD 프로세스는 상기 멀티-스테이션 프로세싱 챔버의 하나 이상의 제 2 스테이션들에서 수행되고, 상기 제 1 스테이션은 상기 제 2 스테이션들과 상이한 스테이션들인, 방법.
  8. 제 1 항 내지 제 7 항 중 어느 한 항에 있어서,
    상기 제 2 유전체 막의 증착 후, 상기 기판은 화학적-기계적 평탄화 (chemical-mechanical planarization; CMP) 를 위해 준비되는, 방법.
  9. 제 1 항 내지 제 8 항 중 어느 한 항에 있어서,
    상기 제 1 유전체 막 및 상기 제 2 유전체 막 중 하나 또는 모두를 포함하는 평탄화된 표면을 형성하도록 CMP 프로세스를 수행하는 단계를 더 포함하는, 방법.
  10. 갭을 갖는 기판을 프로세싱 챔버에 제공하는 단계로서, 상기 기판은 상단 표면으로부터 리세스된 상기 갭을 갖는 상기 상단 표면을 갖는, 상기 기판을 제공하는 단계;
    제 1 유전체 막으로 상기 갭을 충진하도록 복수의 원자 층 증착 (atomic layer deposition; ALD) 사이클들을 수행하는 단계로서, 상기 제 1 유전체 막은 상기 갭 위 및 상기 상단 표면의 평면 위에 제 1 인덴테이션으로 특징화되는, 상기 복수의 ALD 사이클들을 수행하는 단계; 및
    상기 프로세싱 챔버에서, 화학적 기상 증착 (chemical vapor deposition; CVD) 에 의해 상기 제 1 유전체 막 위에 제 2 유전체 막을 증착하는 단계를 포함하는, 방법.
  11. 제 10 항에 있어서,
    상기 제 2 유전체 막은 상기 제 1 인덴테이션을 충진하고 상기 제 1 인덴테이션보다 적어도 10 % 작은 제 2 인덴테이션을 포함하는, 방법.
  12. 제 10 항에 있어서,
    상기 제 2 유전체 막은 상기 제 1 인덴테이션을 충진하고 상기 제 1 인덴테이션보다 적어도 20 % 작은 제 2 인덴테이션을 포함하는, 방법.
  13. 제 10 항에 있어서,
    상기 제 2 유전체 막은 상기 제 1 인덴테이션을 충진하고 상기 제 1 인덴테이션보다 적어도 30 % 작은 제 2 인덴테이션을 포함하는, 방법.
  14. 제 10 항 내지 제 13 항 중 어느 한 항에 있어서,
    상기 제 2 유전체 막은 1 내지 3 ㎛ 두께인, 방법.
  15. 제 9 항 내지 제 14 항 중 어느 한 항에 있어서,
    상기 프로세싱 챔버는 멀티-스테이션 프로세싱 챔버인, 방법.
  16. 제 15 항에 있어서,
    상기 복수의 PEALD 사이클들은 상기 멀티-스테이션 프로세싱 챔버의 하나 이상의 제 1 스테이션들에서 수행되고, 그리고 상기 PECVD 프로세스는 상기 멀티-스테이션 프로세싱 챔버의 하나 이상의 제 2 스테이션들에서 수행되고, 상기 제 1 스테이션은 상기 제 2 스테이션들과 상이한 스테이션들인, 방법.
  17. 제 9 항 내지 제 16 항 중 어느 한 항에 있어서,
    상기 제 2 유전체 막의 증착 후, 상기 기판은 CMP를 위해 준비되는, 방법.
  18. 제 9 항 내지 제 17 항 중 어느 한 항에 있어서,
    상기 제 1 유전체 막 및 상기 제 2 유전체 막 중 하나 또는 모두를 포함하는 평탄화된 표면을 형성하도록 CMP 프로세스를 수행하는 단계를 더 포함하는, 방법.
KR1020227022116A 2019-12-02 2020-12-01 캡 층 (cap layer) 인-시츄 (in-situ) pecvd KR20220109432A (ko)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US201962942654P 2019-12-02 2019-12-02
US62/942,654 2019-12-02
PCT/US2020/062738 WO2021113257A1 (en) 2019-12-02 2020-12-01 In-situ pecvd cap layer

Publications (1)

Publication Number Publication Date
KR20220109432A true KR20220109432A (ko) 2022-08-04

Family

ID=76221780

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1020227022116A KR20220109432A (ko) 2019-12-02 2020-12-01 캡 층 (cap layer) 인-시츄 (in-situ) pecvd

Country Status (6)

Country Link
US (1) US20230002887A1 (ko)
JP (1) JP2023504257A (ko)
KR (1) KR20220109432A (ko)
CN (1) CN114761612A (ko)
TW (1) TW202135166A (ko)
WO (1) WO2021113257A1 (ko)

Families Citing this family (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20220020615A1 (en) * 2020-07-19 2022-01-20 Applied Materials, Inc. Multiple process semiconductor processing system

Family Cites Families (9)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6184158B1 (en) * 1996-12-23 2001-02-06 Lam Research Corporation Inductively coupled plasma CVD
US7056833B2 (en) * 2003-09-23 2006-06-06 Micron Technology, Inc. Methods of filling gaps and methods of depositing materials using high density plasma chemical vapor deposition
US7816278B2 (en) * 2008-03-28 2010-10-19 Tokyo Electron Limited In-situ hybrid deposition of high dielectric constant films using atomic layer deposition and chemical vapor deposition
US8133797B2 (en) * 2008-05-16 2012-03-13 Novellus Systems, Inc. Protective layer to enable damage free gap fill
US9257274B2 (en) * 2010-04-15 2016-02-09 Lam Research Corporation Gapfill of variable aspect ratio features with a composite PEALD and PECVD method
US8405135B2 (en) * 2010-10-05 2013-03-26 International Business Machines Corporation 3D via capacitor with a floating conductive plate for improved reliability
SG2013083241A (en) * 2012-11-08 2014-06-27 Novellus Systems Inc Conformal film deposition for gapfill
TWI649803B (zh) * 2013-09-30 2019-02-01 蘭姆研究公司 具有電漿輔助式原子層沉積及電漿輔助式化學氣相沉積合成法之深寬比可變的特徵物之間隙填充
US10361112B2 (en) * 2017-06-29 2019-07-23 Taiwan Semiconductor Manufacturing Co., Ltd. High aspect ratio gap fill

Also Published As

Publication number Publication date
TW202135166A (zh) 2021-09-16
JP2023504257A (ja) 2023-02-02
US20230002887A1 (en) 2023-01-05
CN114761612A (zh) 2022-07-15
WO2021113257A1 (en) 2021-06-10

Similar Documents

Publication Publication Date Title
US11049716B2 (en) Gap fill using carbon-based films
US10658172B2 (en) Dielectric gapfill of high aspect ratio features utilizing a sacrificial etch cap layer
KR102572854B1 (ko) 실리콘 나이트라이드의 선택적 성장
KR102407031B1 (ko) 고 계수 ald sio2 스페이서를 위한 방법
KR102439698B1 (ko) Peald 프로세스 및 열적 ald 프로세스에 의해 형성된 나이트라이드 막
KR102514839B1 (ko) Ald 갭충진 스페이서 마스크를 사용하는 자기-정렬된 다중 패터닝 프로세스 플로우
KR102474327B1 (ko) 반도체 패터닝 애플리케이션을 위한 고 건식 에칭 레이트 재료들
KR102621967B1 (ko) 센서티브 재료들 상에 할라이드 함유 ald 막을 집적하는 방법
KR20230039625A (ko) 저온 ald 막들을 위한 챔버 언더코팅 준비 방법
KR20200033978A (ko) 유전체 막의 기하학적으로 선택적인 증착
KR20210016063A (ko) 금속-함유 하드마스크 박막들의 선택적인 성장
JP2023519849A (ja) シーム軽減、およびギャップ充填用一体化ライナー
KR20230041972A (ko) 주석 옥사이드를 사용한 발전된 자가 정렬 멀티플 패터닝
US20230154754A1 (en) Loss prevention during atomic layer deposition
US20230002887A1 (en) In-situ pecvd cap layer
US20230307290A1 (en) Reducing intralevel capacitance in semiconductor devices
KR20240121323A (ko) 유전체 갭 충진 (gap fill) 동안 측벽 거칠기를 평활화하고 (smooth) 재진입 (reentrant) 구조체들을 유지하는 방법
KR20240093990A (ko) 원자 층 증착 심 감소
TW202405224A (zh) 半導體裝置中電容的降低
KR20240127378A (ko) 저온 전구체들에 대해 개선된 컨포멀성을 위한 원자 층 증착 펄스 시퀀스 엔지니어링

Legal Events

Date Code Title Description
A201 Request for examination