CN107667187A - 用于形成含硅和氧的薄膜的汽相沉积方法 - Google Patents

用于形成含硅和氧的薄膜的汽相沉积方法 Download PDF

Info

Publication number
CN107667187A
CN107667187A CN201680029312.2A CN201680029312A CN107667187A CN 107667187 A CN107667187 A CN 107667187A CN 201680029312 A CN201680029312 A CN 201680029312A CN 107667187 A CN107667187 A CN 107667187A
Authority
CN
China
Prior art keywords
sih
film
silicon
mono
composition
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
CN201680029312.2A
Other languages
English (en)
Other versions
CN107667187B (zh
Inventor
让-马克·吉拉尔
张鹏
安东尼奥·桑切斯
马尼什·坎德尔沃
根纳迪·伊多
里诺·佩萨雷西
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
LAir Liquide SA pour lEtude et lExploitation des Procedes Georges Claude
Original Assignee
LAir Liquide SA pour lEtude et lExploitation des Procedes Georges Claude
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by LAir Liquide SA pour lEtude et lExploitation des Procedes Georges Claude filed Critical LAir Liquide SA pour lEtude et lExploitation des Procedes Georges Claude
Publication of CN107667187A publication Critical patent/CN107667187A/zh
Application granted granted Critical
Publication of CN107667187B publication Critical patent/CN107667187B/zh
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/0228Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition deposition by cyclic CVD, e.g. ALD, ALE, pulsed CVD
    • CCHEMISTRY; METALLURGY
    • C01INORGANIC CHEMISTRY
    • C01BNON-METALLIC ELEMENTS; COMPOUNDS THEREOF; METALLOIDS OR COMPOUNDS THEREOF NOT COVERED BY SUBCLASS C01C
    • C01B21/00Nitrogen; Compounds thereof
    • C01B21/082Compounds containing nitrogen and non-metals and optionally metals
    • C01B21/087Compounds containing nitrogen and non-metals and optionally metals containing one or more hydrogen atoms
    • CCHEMISTRY; METALLURGY
    • C01INORGANIC CHEMISTRY
    • C01BNON-METALLIC ELEMENTS; COMPOUNDS THEREOF; METALLOIDS OR COMPOUNDS THEREOF NOT COVERED BY SUBCLASS C01C
    • C01B21/00Nitrogen; Compounds thereof
    • C01B21/082Compounds containing nitrogen and non-metals and optionally metals
    • C01B21/087Compounds containing nitrogen and non-metals and optionally metals containing one or more hydrogen atoms
    • C01B21/088Compounds containing nitrogen and non-metals and optionally metals containing one or more hydrogen atoms containing also one or more halogen atoms
    • CCHEMISTRY; METALLURGY
    • C07ORGANIC CHEMISTRY
    • C07FACYCLIC, CARBOCYCLIC OR HETEROCYCLIC COMPOUNDS CONTAINING ELEMENTS OTHER THAN CARBON, HYDROGEN, HALOGEN, OXYGEN, NITROGEN, SULFUR, SELENIUM OR TELLURIUM
    • C07F7/00Compounds containing elements of Groups 4 or 14 of the Periodic Table
    • C07F7/02Silicon compounds
    • C07F7/025Silicon compounds without C-silicon linkages
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/308Oxynitrides
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/34Nitrides
    • C23C16/345Silicon nitride
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/40Oxides
    • C23C16/401Oxides containing silicon
    • C23C16/402Silicon dioxide
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/4401Means for minimising impurities, e.g. dust, moisture or residual gas, in the reaction chamber
    • C23C16/4408Means for minimising impurities, e.g. dust, moisture or residual gas, in the reaction chamber by purging residual gases from the reaction chamber or gas lines
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45553Atomic layer deposition [ALD] characterized by the use of precursors specially adapted for ALD
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/50Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges
    • C23C16/515Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges using pulsed discharges
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02205Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition
    • H01L21/02208Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si
    • H01L21/02219Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si the compound comprising silicon and nitrogen
    • H01L21/02222Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si the compound comprising silicon and nitrogen the compound being a silazane
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/02164Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material being a silicon oxide, e.g. SiO2

Landscapes

  • Chemical & Material Sciences (AREA)
  • Organic Chemistry (AREA)
  • Engineering & Computer Science (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Metallurgy (AREA)
  • General Chemical & Material Sciences (AREA)
  • Materials Engineering (AREA)
  • Mechanical Engineering (AREA)
  • Inorganic Chemistry (AREA)
  • Physics & Mathematics (AREA)
  • Plasma & Fusion (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Chemical Vapour Deposition (AREA)
  • Formation Of Insulating Films (AREA)

Abstract

披露了用于使用经单取代的TSA前体形成含硅和氮的膜的ALD方法。这些经单取代的TSA前体具有式:(SiH3)2N‑SiH2‑X,其中X是卤素原子或氨基。

Description

用于形成含硅和氧的薄膜的汽相沉积方法
相关申请的交叉引用
本申请要求2016年3月30日提交的PCT申请号PCT/US2016/025010及2015年6月12日提交的美国申请号14/738,039号的权益,两者均以全文引用的方式通过引用结合在此用于所有目的。
技术领域
披露了用于使用经单取代的TSA前体形成含硅和氮的膜的ALD方法。这些经单取代的TSA前体具有式:(SiH3)2N-SiH2-X,其中X是卤素原子或氨基。
背景技术
已使用多种含硅前体、通过气相沉积法在各种基板上沉积含硅薄膜。选择适合硅前体及适当时选择共反应物通常根据以下来决定:目标膜组合物及特性,以及其上待沉积膜的基板所带来的限制。一些基板可能需要低温沉积方法。例如,在经有机膜涂布的塑料基板或硅基板上沉积可能需要沉积温度低于100℃(亦即20℃-100℃),同时维持工业上所关注的合理沉积速率。此类膜不仅可以作为空间定义的平版印刷应用于半导体制造,而且用于密封有机发光二极管(OLED)装置或在膜上产生水分扩散阻挡。对不同温度范围的类似限制显现于半导体制造的不同步骤中,诸如金属、栅极隔片等上的封盖层。
DNF有限公司的WO2015/190749披露了氨基-甲硅烷基胺化合物及使用原子层沉积法制造含有Si-N键的介电膜的方法。Sanchez及Girard的WO2015/047914披露了经胺取代的三甲硅烷基胺及三-二甲硅烷基胺化合物。DNF有限公司的US2014/0363985披露了氨基-甲硅烷基胺化合物、其制备方法及使用其制备含硅薄膜的方法。Cruse等人的US5413813披露了在反应器内表面上尤其使用R3Si-N(X)-SiR3的硅类陶瓷材料的CVD,其中每个R为H、C1-20烷基、卤素(优选地是Cl)或NR2且X为H、Li或SiR3。空气产品和化学品公司(Air Productsand Chemicals)的US2014/0158580A描述了烷氧基甲硅烷基胺化合物及其应用。同属空气产品和化学品公司的US 7,122,222披露了用于沉积含硅膜的前体及其方法。WO2013/058061中所披露的硅氮烷化合物N-(SiR1R2R3)mR4 3-m用作涂布气体。US 5,332,853中所披露的(RR1R2Ma)yA(R3)x作为催化化合物用于产生官能化烷基碱金属化合物。类似专利包括US5663398A、US 5332853A、US 5340507A、以及EP 525881 A1。
使用基于气相的沉积方法(诸如CVD或ALD)(就所有可能的意义而言,诸如LPCVD、SACVD、PECVD、PEALD等)的行业仍寻求在其应用中理想的前体,亦即在其工艺、基板及膜目标的局限范围内具有最高可能沉积速率的前体。
发明内容
披露了形成含硅膜的组合物,其包含具有式(SiH3)2NSiH2-X的经单取代的TSA前体,其中X为选自Cl、Br或I的卤素原子;异氰酸酯基[-NCO];氨基[-NR1R2];含N的C4-C10饱和或不饱和杂环;或烷氧基[-O-R];R1、R2及R独立地选自H、甲硅烷基[-SiR'3];或C1-C6直链或支链、饱和或不饱和烃基;其中每个R'独立地选自H;选自Cl、Br或I的卤素原子;C1-C4饱和或不饱和烃基;C1-C4饱和或不饱和烷氧基;或氨基[-NR3R4],其中每个R3及R4独立地选自H及C1-C6直链或支链、饱和或不饱和烃基,其前提是若R1=H,则R2≠H、Me或Et。所披露的形成含硅膜的组合物可包括一个或多个以下方面:
●经单取代的TSA前体,其中X为卤素原子;
●经单取代的TSA前体为(SiH3)2N-SiH2-Cl;
●经单取代的TSA前体为(SiH3)2N-SiH2-Br;
●经单取代的TSA前体为(SiH3)2N-SiH2-I;
●经单取代的TSA前体,其中X为异氰酸酯-NCO(亦即为(SiH3)2N-SiH2-NCO);
·经单取代的TSA前体,其中X为氨基[-NR1R2];
·经单取代的TSA前体为(SiH3)2N-SiH2-NMe2
·经单取代的TSA前体为(SiH3)2N-SiH2-NMeEt;
·经单取代的TSA前体为(SiH3)2N-SiH2-NEt2
·经单取代的TSA前体为(SiH3)2N-SiH2-NiPr2
·经单取代的TSA前体为(SiH3)2N-SiH2-NHiPr;
·经单取代的TSA前体为(SiH3)2N-SiH2-NMeiPr;
·经单取代的TSA前体为(SiH3)2N-SiH2-NEtiPr;
·经单取代的TSA前体为(SiH3)2N-SiH2-NHtBu;
●经单取代的TSA前体不为(SiH3)2-N-SiH2-N(SiH3)(SiH2(NHEt))(亦即当X=NR1R2且R1为SiH3且R2为NHEt时);
●经单取代的TSA前体,其中X为-N(SiR3)2,其中每个R独立地选自卤素、H或C1-C4烷基;
●经单取代的TSA前体为(SiH3)2N-SiH2-N(SiCl3)2
●经单取代的TSA前体为(SiH3)2N-SiH2-N(SiBr3)2
●经单取代的TSA前体为(SiH3)2N-SiH2-N(SiI3)2
●经单取代的TSA前体为(SiH3)2N-SiH2-N(SiH3)2
●经单取代的TSA前体为(SiH3)2-N-SiH2-N(SiH3)(SiH2Cl);
●经单取代的TSA前体为(SiH3)2-N-SiH2-N(SiH3)(SiH2(NEt2);
●经单取代的TSA前体为(SiH3)2-N-SiH2-N(SiH3)(SiH2(NiPr2);
●经单取代的TSA前体为(SiH3)2-N-SiH2-N(SiH3)(SiH2(NHtBu);
●经单取代的TSA前体为(SiH3)2-N-SiH2-N(SiH3)(SiH2OEt);
●经单取代的TSA前体为(SiH3)2-N-SiH2-N(SiH3)(SiH2OiPr);
●经单取代的TSA前体为(SiH3)2N-SiH2-N(SiMe3)2
●经单取代的TSA前体为(SiH3)2N-SiH2-NH(SiMe3);
●经单取代的TSA前体为(SiH3)2N-SiH2-N(SiEt3)2
●经单取代的TSA前体为(SiH3)2-N-SiH2-N(SiMe2Et)2
●经单取代的TSA前体为(SiH3)2-N-SiH2-N(SiMe2iPr)2
●经单取代的TSA前体为(SiH3)2-N-SiH2-N(SiMe2nPr)2
●经单取代的TSA前体,其中X为含N的C4-C10杂环;
●经单取代的TSA前体,其中含N的C4-C10杂环是选自吡咯烷、吡咯及哌啶;
●经单取代的TSA前体为(SiH3)2N-SiH2-(吡咯烷);
●经单取代的TSA前体为(SiH3)2N-SiH2-(吡咯);
●经单取代的TSA前体为(SiH3)2N-SiH2-(哌啶);
●经单取代的TSA前体,其中X为烷氧基[-O-R];
●经单取代的TSA前体为(SiH3)2N-SiH2-(OH);
●经单取代的TSA前体为(SiH3)2N-SiH2-(OMe);
●经单取代的TSA前体为(SiH3)2N-SiH2-(OEt);
●经单取代的TSA前体为(SiH3)2N-SiH2-(OiPr);
●经单取代的TSA前体为(SiH3)2N-SiH2-(OnPr);
●经单取代的TSA前体为(SiH3)2N-SiH2-(OtBu);
●经单取代的TSA前体,其中X为-O-SiR3且每个R独立地选自H、卤素或C1-C4烃基;
●经单取代的TSA前体为(SiH3)2N-SiH2-(OSiH3);
●经单取代的TSA前体为(SiH3)2N-SiH2-(OSiCl3);
●经单取代的TSA前体为(SiH3)2N-SiH2-(OSiBr3);
●经单取代的TSA前体为(SiH3)2N-SiH2-(OSiI3);
●经单取代的TSA前体为(SiH3)2N-SiH2-(OSiMe3);
●形成含硅膜的组合物包含在约95%w/w与约100%w/w之间的前体;
●形成含硅膜的组合物包含在约5%w/w与约50%w/w之间的前体;
●形成含硅膜的组合物包含在约0ppbw与约500ppbw之间的Al;
●形成含硅膜的组合物包含在约0ppbw与约500ppbw之间的As;
●形成含硅膜的组合物包含在约0ppbw与约500ppbw之间的Ba;
●形成含硅膜的组合物包含在约0ppbw与约500ppbw之间的Be;
●形成含硅膜的组合物包含在约0ppbw与约500ppbw之间的Bi;
●形成含硅膜的组合物包含在约0ppbw与约500ppbw之间的Cd;
●形成含硅膜的组合物包含在约0ppbw与约500ppbw之间的Ca;
·形成含硅膜的组合物包含在约0ppbw与约500ppbw之间的Cr;
●形成含硅膜的组合物包含在约0ppbw与约500ppbw之间的Co;
●形成含硅膜的组合物包含在约0ppbw与约500ppbw之间的Cu;
●形成含硅膜的组合物包含在约0ppbw与约500ppbw之间的Ga;
●形成含硅膜的组合物包含在约0ppbw与约500ppbw之间的Ge;
●形成含硅膜的组合物包含在约0ppbw与约500ppbw之间的Hf;
●形成含硅膜的组合物包含在约0ppbw与约500ppbw之间的Zr;
●形成含硅膜的组合物包含在约0ppbw与约500ppbw之间的In;
●形成含硅膜的组合物包含在约0ppbw与约500ppbw之间的Fe;
●形成含硅膜的组合物包含在约0ppbw与约500ppbw之间的Pb;
●形成含硅膜的组合物包含在约0ppbw与约500ppbw之间的Li;
●形成含硅膜的组合物包含在约0ppbw与约500ppbw之间的Mg;
●形成含硅膜的组合物包含在约0ppbw与约500ppbw之间的Mn;
●形成含硅膜的组合物包含在约0ppbw与约500ppbw之间的W;
●形成含硅膜的组合物包含在约0ppbw与约500ppbw之间的Ni;
●形成含硅膜的组合物包含在约0ppbw与约500ppbw之间的K;
●形成含硅膜的组合物包含在约0ppbw与约500ppbw之间的Na;
●形成含硅膜的组合物包含在约0ppbw与约500ppbw之间的Sr;
●形成含硅膜的组合物包含在约0ppbw与约500ppbw之间的Th;
●形成含硅膜的组合物包含在约0ppbw与约500ppbw之间的Sn;
●形成含硅膜的组合物包含在约0ppbw与约500ppbw之间的Ti;
●形成含硅膜的组合物包含在约0ppbw与约500ppbw之间的U;
●形成含硅膜的组合物包含在约0ppbw与约500ppbw之间的V;
●形成含硅膜的组合物包含在约0ppbw与约500ppbw之间的Zn;
●形成含硅膜的有机硅烷组合物包含约0ppmw与约500ppmw之间的Cl;
●形成含硅膜的组合物包含在约0ppmw与约500ppmw之间的Br;
●形成含硅膜的组合物包含在约0ppmw与约500ppmw之间的I;
●形成含硅膜的组合物包含在约0.0%w/w与0.1%w/w之间的TSA;
●形成含硅膜的组合物包含在约0.0%w/w与0.1%w/w之间的(SiH3)2-N-SiH2X,其中X为Cl、Br或I;
●形成含硅膜的组合物包含在约0.0%w/w与0.1%w/w之间的(SiH3)2-N-SiHX2,其中X为Cl、Br或I;
●形成含硅膜的组合物包含在约0.0%w/w与0.1%w/w之间的SiH4
●形成含硅膜的组合物包含在约0.0%w/w与0.1%w/w之间的SiH3X,其中X为Cl、Br或I;
●形成含硅膜的组合物包含在约0.0%w/w与0.1%w/w之间的SiH2X2,其中X为Cl、Br或I;
●形成含硅膜的组合物包含在约0.0%w/w与0.1%w/w之间的SnX2,其中X为Cl、Br或I;
●形成含硅膜的组合物包含在约0.0%w/w与0.1%w/w之间的SnX4,其中X为Cl、Br或I;
●形成含硅膜的组合物包含在约0.0%w/w与0.1%w/w之间的HX,其中X为Cl、Br或I;
●形成含硅膜的组合物包含在约0.0%w/w与0.1%w/w之间的NH3
●形成含硅膜的组合物包含在约0.0%w/w与0.1%w/w之间的NH4X,其中X为Cl、Br或I;
●形成含硅膜的组合物包含在约0.0%w/w与0.1%w/w之间的ROH,其中R为C1-C4烷基;
●形成含硅膜的组合物包含在约0.0%w/w与0.1%w/w之间的NH2R,其中R为C1-C4烷基;
●形成含硅膜的组合物包含在约0.0%w/w与0.1%w/w之间的NR2H,其中R为C1-C4烷基;
●形成含硅膜的组合物包含在约0.0%w/w与0.1%w/w之间的HN=R,其中R为C1-C4烷基;
●形成含硅膜的组合物包含在约0.0%w/w与0.1%w/w之间的四氢呋喃(THF);
●形成含硅膜的组合物包含在约0.0%w/w与0.1%w/w之间的乙醚;
●形成含硅膜的组合物包含在约0.0%w/w与0.1%w/w之间的戊烷;
●形成含硅膜的组合物包含在约0.0%w/w与0.1%w/w之间的环己烷;
●形成含硅膜的组合物包含在约0.0%w/w与0.1%w/w之间的庚烷;或
●形成含硅膜的组合物包含在约0.0%w/w与0.1%w/w之间的甲苯。
还披露了形成含硅膜的组合物递送装置,其包含具有入口管及出口管的罐且含有上文所披露的形成含硅膜的组合物中的任一个。所披露的装置可以包括以下方面中的一项或多项:
●形成含硅膜的组合物具有小于10ppmw的总金属污染物浓度;
●入口管端的一端位于形成含硅膜的组合物表面上且出口管的一端位于形成含硅膜的组合物表面下;
●入口管端的一端位于形成含硅膜的组合物表面下且出口管的一端位于形成含硅膜的组合物表面上;
●另外包含位于入口及出口的隔膜阀;
●形成含硅膜的组合物为(H3Si)2N-SiH2(NEt2);
●形成含硅膜的组合物为(H3Si)2N-SiH2(NiPr2);
●形成含硅膜的组合物为(H3Si)2N-SiH2Cl;并且
●形成含硅膜的组合物为(H3Si)2N-SiH2(N(SiMe3)2
还披露了在基板上沉积含硅层的方法。将上文披露的组合物引入其中安置有基板的反应器中。使用气相沉积法使经单取代的TSA前体的至少一部分在基板上沉积以形成含硅层。所披露的方法可具有一个或多个以下方面:
●向反应器中引入包含第二前体的蒸气;
●第二前体的元素选自下组,该组由以下各项组成:第2族、第13族、第14族、过渡金属、镧系元素及其组合;
●第二前体的元素选自As、B、P、Si、Ge、Al、Zr、Hf、Ti、Nb、Ta或镧系元素;
●将反应物引入该反应器中;
●反应物选自下组,该组由以下各项组成:O2、O3、H2O、H2O2、NO、NO2、羧酸、醇、二醇、其自由基(radical),及其组合;
●反应物为经等离子体处理的氧;
●含硅层为含氧化硅层;
●反应物选自下组,该组由以下各项组成:N2、H2、NH3、肼(诸如N2H4、MeHNNH2、MeHNNHMe)、有机胺(诸如NMeH2、NEtH2、NMe2H、NEt2H、NMe3、NEt3、(SiMe3)2NH)、吡唑啉、吡啶、二胺(诸如乙二胺)、其自由基物质,及其混合物;
●气相沉积法为化学气相沉积法;
●气相沉积法为ALD方法;
●气相沉积法为空间ALD方法;
●气相沉积方法为流动CVD方法;
●含硅层为Si;
●含硅层为SiO2
●含硅层为SiN;
●含硅层为SiON;
●含硅层为SiOC;
●含硅层为SiOCN;
●含硅层为SiCN;
●对含硅层进行热退火;
●在反应氛围下对含硅层进行热退火;
●对含硅层进行UV固化;并且
●对含硅层进行电子束固化。
还披露了通过如下方法形成的氮掺杂的氧化硅膜:将包括经单取代的TSA前体的蒸气引入含有基板的反应器中以在基板上形成含硅层;通过将氧化剂引入反应器中来使该氧化剂与该含硅层发生反应以形成氧化含硅层;通过将经单取代的TSA前体引入反应器中来使经单取代的TSA前体与该氧化含硅层发生反应以形成富硅的氧化含硅层;及通过将含氮反应物引入反应器中来使该含氮反应物与该含硅层发生反应以形成氮掺杂的氧化硅膜。经单取代的TSA前体具有式(SiH3)2N-SiH2-X,其中X是选自从Cl、Br或I中选择的卤素原子,异氰酸酯基[-NCO],氨基[-NR1R2],含N的C4-C10饱和或不饱和杂环或烷氧基[-O-R];R1、R2和R各自选自H,C1-C6直链或支链、饱和或不饱和烃基,或甲硅烷基SiR'3,其中每个R'独立地选自H,选自Cl、Br或I的卤素原子,C1-C4饱和或不饱和烃基、C1-C4饱和或不饱和烷氧基或氨基-NR3R4,其中每个R3及R4选自H或C1-C6直链或支链、饱和或不饱和烃基,其前提是若R1=H,则R2≠H或Me。制造所披露的氮掺杂的氧化硅膜的方法可包括一个或多个以下方面:
●在各引入步骤之间,用惰性气体吹扫反应器;
●经单取代的TSA前体,其中X为卤素原子;
●经单取代的TSA前体为(SiH3)2N-SiH2-Cl;
●经单取代的TSA前体为(SiH3)2N-SiH2-Br;
●经单取代的TSA前体为(SiH3)2N-SiH2-I;
●经单取代的TSA前体,其中X为异氰酸酯-NCO(亦即为(SiH3)2N-SiH2-NCO);
●经单取代的TSA前体,其中X为氨基[-NR1R2];
●经单取代的TSA前体为(SiH3)2N-SiH2-NiPr2
●经单取代的TSA前体为(SiH3)2N-SiH2-NHiPr;
●经单取代的TSA前体为(SiH3)2N-SiH2-NHtBu;
●经单取代的TSA前体不为(SiH3)2-N-SiH2-N(SiH3)(SiH2(NHEt))(亦即当X=NR1R2且R1为SiH3且R2为NHEt时);
●经单取代的TSA前体为(SiH3)2N-SiH2-NEt2
●经单取代的TSA前体为(SiH3)2N-SiH2NEtMe;
●经单取代的TSA前体为(SiH3)2N-SiH2NMe2
●经单取代的TSA前体为(SiH3)2N-SiH2NMeiPr;
●经单取代的TSA前体为(SiH3)2N-SiH2NEtiPr;
●经单取代的TSA前体,其中X为-N(SiR3)2,其中每个R独立地选自卤素、H或C1-C4烷基;
●经单取代的TSA前体为(SiH3)2N-SiH2-N(SiCl3)2
●经单取代的TSA前体为(SiH3)2N-SiH2-N(SiBr3)2
●经单取代的TSA前体为(SiH3)2N-SiH2-N(SiI3)2
●经单取代的TSA前体为(SiH3)2N-SiH2-N(SiH3)2
●经单取代的TSA前体为(SiH3)2-N-SiH2-N(SiH3)(SiH2Cl);
●经单取代的TSA前体为(SiH3)2-N-SiH2-N(SiH3)(SiH2(NEt2);
●经单取代的TSA前体为(SiH3)2-N-SiH2-N(SiH3)(SiH2(NiPr2);
●经单取代的TSA前体为(SiH3)2-N-SiH2-N(SiH3)(SiH2(NHtBu);
●经单取代的TSA前体为(SiH3)2-N-SiH2-N(SiH3)(SiH2OEt);
●经单取代的TSA前体为(SiH3)2-N-SiH2-N(SiH3)(SiH2OiPr);
●经单取代的TSA前体为(SiH3)2N-SiH2-N(SiMe3)2
●经单取代的TSA前体为(SiH3)2N-SiH2-NH(SiMe3);
●经单取代的TSA前体为(SiH3)2N-SiH2-N(SiEt3)2
●经单取代的TSA前体为(SiH3)2-N-SiH2-N(SiMe2Et)2
●经单取代的TSA前体为(SiH3)2-N-SiH2-N(SiMe2iPr)2
●经单取代的TSA前体为(SiH3)2-N-SiH2-N(SiMe2nPr)2
●经单取代的TSA前体,其中X为含N的C4-C10杂环;
●经单取代的TSA前体,其中含N的C4-C10杂环是选自吡咯烷、吡咯及哌啶;
●经单取代的TSA前体为(SiH3)2N-SiH2-(吡咯烷);
●经单取代的TSA前体为(SiH3)2N-SiH2-(吡咯);
●经单取代的TSA前体为(SiH3)2N-SiH2-(哌啶);
●经单取代的TSA前体,其中X为烷氧基[-O-R];
●经单取代的TSA前体为(SiH3)2N-SiH2-(OH);
●经单取代的TSA前体为(SiH3)2N-SiH2-(OMe);
●经单取代的TSA前体为(SiH3)2N-SiH2-(OEt);
●经单取代的TSA前体为(SiH3)2N-SiH2-(OiPr);
●经单取代的TSA前体为(SiH3)2N-SiH2-(OnPr);
●经单取代的TSA前体为(SiH3)2N-SiH2-(OtBu);
●经单取代的TSA前体,其中X为-O-SiR3且每个R独立地选自H、卤素或C1-C4烃基;
●经单取代的TSA前体为(SiH3)2N-SiH2-(OSiH3);
●经单取代的TSA前体为(SiH3)2N-SiH2-(OSiCl3);
●经单取代的TSA前体为(SiH3)2N-SiH2-(OSiBr3);
●经单取代的TSA前体为(SiH3)2N-SiH2-(OSiI3);
●经单取代的TSA前体为(SiH3)2N-SiH2-(OSiMe3);
●反应物选自下组,该组由以下各项组成:O2、O3、H2O、H2O2、NO、NO2、羧酸、醇、二醇、其自由基(radical),及其组合;并且
●反应物选自下组,该组由以下各项组成:N2、H2、NH3、肼(诸如N2H4、MeHNNH2、MeHNNHMe)、有机胺(诸如NMeH2、NEtH2、NMe2H、NEt2H、NMe3、NEt3、(SiMe3)2NH)、吡唑啉、吡啶、二胺(诸如乙二胺)、其自由基物质,及其混合物。
还披露了含硅和氮的膜的形成方法。通过将任何以上披露的经单取代的TSA前体的蒸气和含氮反应物引入含有基板的反应器中将含硅和氮的膜沉积在该基板上。所披露的方法可包括一个或多个以下方面:
●经单取代的TSA前体为(SiH3)2N-SiH2-Cl;
●经单取代的TSA前体为(SiH3)2N-SiH2-Br;
●经单取代的TSA前体为(SiH3)2N-SiH2-I;
●经单取代的TSA前体为(SiH3)2N-SiH2-NMe2
●经单取代的TSA前体为(SiH3)2N-SiH2-NMeEt;
●经单取代的TSA前体为(SiH3)2N-SiH2-NEt2
●经单取代的TSA前体为(SiH3)2N-SiH2-NiPr2
●经单取代的TSA前体为(SiH3)2N-SiH2-NHiPr;
●经单取代的TSA前体为(SiH3)2N-SiH2-NMeiPr;
●经单取代的TSA前体为(SiH3)2N-SiH2-NEtiPr;
●经单取代的TSA前体为(SiH3)2N-SiH2-NHtBu;
●经单取代的TSA前体为(SiH3)2N-SiH2-N(SiCl3)2
●经单取代的TSA前体为(SiH3)2N-SiH2-N(SiBr3)2
●经单取代的TSA前体为(SiH3)2N-SiH2-N(SiI3)2
●经单取代的TSA前体为(SiH3)2N-SiH2-N(SiH3)2
●经单取代的TSA前体为(SiH3)2-N-SiH2-N(SiH3)(SiH2Cl);
●经单取代的TSA前体为(SiH3)2-N-SiH2-N(SiH3)(SiH2(NEt2);
●经单取代的TSA前体为(SiH3)2-N-SiH2-N(SiH3)(SiH2(NiPr2);
●经单取代的TSA前体为(SiH3)2-N-SiH2-N(SiH3)(SiH2(NHtBu);
●经单取代的TSA前体为(SiH3)2-N-SiH2-N(SiH3)(SiH2OEt);
●经单取代的TSA前体为(SiH3)2-N-SiH2-N(SiH3)(SiH2OiPr);
●经单取代的TSA前体为(SiH3)2N-SiH2-N(SiMe3)2
●经单取代的TSA前体为(SiH3)2N-SiH2-NH(SiMe3);
●经单取代的TSA前体为(SiH3)2N-SiH2-N(SiEt3)2
●经单取代的TSA前体为(SiH3)2-N-SiH2-N(SiMe2Et)2
●经单取代的TSA前体为(SiH3)2-N-SiH2-N(SiMe2iPr)2
●经单取代的TSA前体为(SiH3)2-N-SiH2-N(SiMe2nPr)2
●该含氮反应物选自下组,该组由以下各项组成:氨、N2、N原子、N自由基、N离子、饱和或不饱和肼、胺、二胺、乙醇胺、以及其组合;
●该含氮反应物是氨;
●该含氮反应物是等离子体N2;并且
●该含硅和氮的膜是氮化硅。
还披露了氮化硅膜的ALD形成方法。通过将经单取代的TSA前体的蒸气和含氮反应物依次引入含有基板的反应器中将氮化硅膜沉积在该基板上,该氮化硅膜具有在从大约0.7至大约3.5范围内的归一化为热生长氧化硅的从0.1%w/w HF的蚀刻速率,该经单取代的TSA前体具有式(SiH3)2N-SiH2-X,其中X是卤素原子。所披露的方法可包括一个或多个以下方面:
●经单取代的TSA前体为(SiH3)2N-SiH2-Cl;
●经单取代的TSA前体为(SiH3)2N-SiH2-Br;
●经单取代的TSA前体为(SiH3)2N-SiH2-I;
●该含氮反应物选自下组,该组由以下各项组成:氨、N2、N原子、N自由基、N离子、饱和或不饱和肼、胺、二胺、乙醇胺、以及其组合;
●该含氮反应物是氨;并且
●该含氮反应物是等离子体N2
标记和命名
某些缩写、符号以及术语贯穿以下说明书和权利要求书使用,并且包括:
如本文所用,不定冠词“一个/种(a/an)”意指一个或多个。
如在此所使用,术语“大约(approximately)”或“约(about)”意指所陈述的值的±10%。
如在此所使用,当被用于描述R基团的上下文中时,术语“独立地”应理解为表示对象R基团不仅相对于带有相同或不同下标或上标的其他R基团独立地选择,而且相对于前述那个R基团的任何附加物种独立地选择。例如,在式MR1 x(NR2R3)(4-x)中,其中x是2或3,两个或三个R1基团可以但是不必是彼此或与R2或与R3相同的。进一步地,应理解,除非另外确切地指明,当用于不同式中时,R基团的值彼此独立。
如在此所使用,术语“烃基”意指仅含有氢和碳原子的官能团。该官能团可以是饱和的(仅含有单键)或不饱和的(含有双键或三键)。
如在此所使用,术语“烷基”是指仅仅含有碳和氢原子的饱和烃基官能团。进一步地,术语“烷基”是指直链、支链、或环状烷基。直链烷基的实例包括(但不限于)甲基、乙基、正丙基、正丁基等。支链烷基的实例包括(但不限于)异丙基、叔丁基。环烷基的实例包括但不限于,环丙基、环戊基、环己基等。
如在此所使用,术语“芳基”是指其中一个氢原子已经从环上去除的芳环化合物。如本文所用,术语“杂环”指环状化合物,其具有至少两种不同元素的原子作为其环的成员。
如在此所使用,缩写“Me”是指甲基;缩写“Et”是指乙基;缩写“Pr”是指任何丙基(即,正丙基或异丙基);缩写“iPr”是指异丙基;缩写“Bu”是指任何丁基(正丁基、异丁基、叔丁基、仲丁基);缩写“tBu”是指叔丁基;缩写“sBu”是指仲丁基;缩写“iBu”是指异丁基;缩写“Ph”是指苯基;缩写“Am”是指任何戊基(异戊基、仲戊基、叔戊基);缩写“Cy”是指环烷基(环丁基、环戊基、环己基等);并且缩写“Ramd”是指R-N-C(Me)-N-R脒化物配体,其中R为烷基(例如,iPramd为iPr-N-C(Me)-N-iPr)。
如在此所使用,首字母缩略词“SRO”代表锶钌氧化物膜;首字母缩略词“HCDS”代表六氯二硅烷;首字母缩略词“PCDS”代表五氯二硅烷;首字母缩略词“OCTS”代表正辛基三甲氧基硅烷;首字母缩略词“TSA”代表三甲硅烷基胺或N(SiH3)3;首字母缩略词“DSA”代表二甲硅烷基胺或HN(SiH3)2;并且首字母缩略词“PTFE”代表聚四氟乙烯。
如在此所使用,前缀语“LCD-TFT”表示液晶显示器-薄膜晶体管;前缀语“MIM”表示金属-绝缘体-金属;前缀语“DRAM”表示动态随机存取内存;前缀语“FeRAM”表示铁电体随机存取内存;前缀语“OLED”表示有机发光二极管;前缀语“sccm”表示标准立方厘米;且前缀语“GCMS”表示气相层析-质谱。
如在此所使用,术语“依次的”或“依次地”意指连续的或一个接一个。关于所要求保护的方法,术语“依次地”是指使前体与基板反复反应形成反应的层,然后使反应物与该反应的层反应的原子层沉积方法。这可以通过单独和依次地注入前体随后是反应物,或者通过旋转下方的基板或喷头上方的基板使得基板的部分依次地与前体接触然后(依次地)反应物来完成。
在此使用来自元素周期表的元素的标准缩写。应理解,可通过这些缩写提及元素(例如,Si是指硅,N是指氮,O是指氧,C是指碳等)。
请注意,所沉积的膜或层(诸如氧化硅)在整个说明书及权利要求书中是在不提及其适当化学计量学(亦即SiO2)的情况下列举。这些层可包括纯(Si)层、硅化物(MoSip)层、碳化物(SioCp)层、氮化物(SikNl)层、氧化物(SinOm)层或其混合物;其中M为元素且k、l、m、n、o和p的包括性范围为1至6。举例而言,硅化钴为CokSil,其中k及l各自在0.5至5的范围内。类似地,所提及的任何层亦可包括氧化硅层SinOm,其中n在从0.5至1.5的范围内且m在从1.5至3.5的范围内。更优选地,氧化硅层是SiO2或SiO3。该氧化硅层可为基于氧化硅的介电材料,诸如基于有机物或基于氧化硅的低k介电材料,诸如应用材料公司(Applied Materials、Inc.)的Black Diamond II或III材料。可替代地,任何参考的含硅层可以是纯硅。任何含硅层还可包括掺杂剂,诸如B、C、P、As和/或Ge。
本文中所述的任何及所有范围包括其端点(亦即,x=1至4包括x=1、x=4及x=其间的任何数目),不论是否使用术语“包括性”。
附图简要说明
为了进一步理解本发明的本质和目的,应结合附图来参考以下详细说明,其中:
图1为形成含硅膜的组合物的递送装置1的实施例的侧视图;
图2为形成含硅膜的组合物的递送装置1的第二实施例的侧视图。
图3为实例4至6中执行沉积所用的Picosun R200PEALD 8”沉积工具的图;
图4为氧化硅膜的ALD生长速率作为前体脉冲次数的函数的图(使用前体(SiH3)2N-SiH2-NiPr2(TSA-NiPr2)。
图5为氧化硅薄膜的ALD生长速率作为温度的函数的图(使用前体TSA-NiPr2);
图6为氧化硅膜的ALD生长速率作为前体脉冲次数及温度的函数的图(使用前体(SiH3)2N-SiH2-N(SiH3)2);
图7为氧化硅膜的ALD生长速率作为前体脉冲次数的函数的图(使用前体(SiH3)2N-SiH2-NEt2(TSA-NEt2);
图8为氧化硅膜的ALD生长速率作为基板温度的函数的图(使用前体(SiH3)2N-SiH2-Cl(TSA-Cl));
图9为X射线光电子光谱(XPS)图,其显示使用TSA-Cl及NH3以及1:20的前体与NH3比率、在1托(torr)、550℃下、通过热低蒸气压CVD所沉积的膜的膜组成。Cl低于分析仪的检测极限(<约1%)。沉积速率为10埃(Ang)/分钟(min)并且所得膜的折射率为2.1。为了比较,相同条件下使用TSA所得的膜具有2.2的RI(富硅)及类似沉积速率;
图10为展示针对热生长氧化硅(Th-Ox)归一化的使用25W等离子体和100W等离子体沉积的氧化硅膜的比较湿式刻蚀速率的图;
图11a及b为扫描电子显微镜(SEM)图像,其显示使用TSA-Cl/N2等离子体在250℃下通过PE-ALD所得的SiN膜,表明5:1高纵横比结构中存在高步阶覆盖。对沟槽结构的顶部及中部/底部拍摄的图片;
图12a及b为SEM图像,其显示使用TSA-NiPr2/O2等离子体、在60个循环/分钟、100℃下通过空间ALD所得的氧化硅膜,表明22:1高纵横比结构中存在完美的步阶覆盖。添加透明的Ta2O5下层用于对比增强。对沟槽结构的顶部及底部拍摄的图片;并且
图13a和b为显示分别通过热筛选单元所得的TSA-NiPr2及TSA-NEt2[(H3Si)2-N-SiH2-NEt2]的热稳定性的图。在此类量测中,在24小时期间连续量测密封样品在设定温度(在此为65℃)下的压力。缺乏显著压力变化表明产物稳定性。所观测的P振荡在此并不显著且与微小的T变异体相关。
具体实施方式
披露了形成含硅膜的组合物,其包含具有Si-C键自由主链及能够达到的高表面反应性的单一化学官能化位点的经单取代的TSA前体。硅原子数目高于1且优选高于2、无直接Si-C键且为极性分子的经单取代的TSA前体可对基板表面具有增强的反应性以能够达到快速沉积速率。经单取代的TSA前体具有通式:
(SiH3)2N-SiH2-X
其中X是选自从Cl、Br或I中选择的卤素原子,异氰酸酯基[-NCO],氨基[-NR1R2],含N的C4-C10饱和或不饱和杂环,或烷氧基-O-R;每个R1、R2和R选自H,甲硅烷基(SiR'3),或C1-C6直链或分支链、饱和或不饱和烃基;其中每个R'独立地选自H,从Cl、Br或I中选择的卤素原子,C1-C4饱和或不饱和烃基,C1-C4饱和或不饱和烷氧基,或氨基[-NR3R4],其中每个R3及R4独立地选自H或C1-C6直链或分支链、饱和或不饱和烃基;其前提是若R1=H,则R2≠H、Me或Et。C1-C6直链或分支链、饱和或不饱和烃基可含有胺或醚。作为替代方案,R1及R2可独立地选自Me、Et、iPr、nPr、tBu、nBu及secBu。
诸位申请人相信所披露的形成含硅膜的组合物尤其适合于流动CVD方法,原因为经单取代的TSA前体含有很少的碳至无碳。另外,经单取代的TSA前体具有低于TSA的蒸气压且因此可更容易冷凝且提供更高沉积速率。最后,经单取代的TSA结构近似于在流动工艺期间形成的低聚物。
所披露的经单取代的TSA前体含有两个或三个直接键结至各硅原子的氢原子。这些Si-H键有助于提高前体挥发性,这对于气相沉积方法而言具有重要作用。
当X为卤化物时,例示性形成含硅膜的组合物包括(SiH3)2-N-SiH2Cl、(SiH3)2-N-SiH2Br或(SiH3)2-N-SiH2I。已知卤化物具有高反应性。诸位申请人相信,相较于非卤化类似物,所披露的经单取代的TSA前体上的卤化物可有助于改良挥发性和沉积速率。这些组合物可根据如下反应合成:SnX4+N(SiH3)3→N(SiH3)2(SiH2X)+SnX2↓+HX,其中X为Cl、Br或I(参见J.Chem.Soc.Dalton Trans.[英国化学会道尔顿会刊]1975,p.1624)。作为替代方案,二卤基硅烷[SiH2X2,其中X为Cl、Br或I]和单卤基硅烷[SiH3X,其中X为Cl、Br或I]可在室温下、以1/20至1/4比率、与400sccm的NH3一起以气相连续引入如Miller的美国专利第US8,669,387号所述的流过式管式反应器中。NH3与2当量的单卤基硅烷发生反应,主要产生二甲硅烷基胺(DSA)。DSA接着与二卤基硅烷发生反应以形成(SiH3)2-N-SiH2X及HX,其中X为Cl、Br或I。本领域技术人员将认识到,反应可以一或两个步骤发生(首先自单卤基硅烷和NH3形成DSA且其次添加二卤基硅烷)或以一个步骤发生(在一个步骤中将单卤基硅烷、二氯硅烷和NH3合并)。
当X时为异氰酸酯基[-NCO]时,例示性形成含硅膜的组合物包括(SiH3)2-N-SiH2(NCO)。此组合物可使用脱氢偶联反应、根据以下文献中所披露的方法合成:Taniguchi等人,Angewandte Communications,Angew.Chem.Int.Ed.[德国应用化学]2013,52,1-5,该文献的传授内容以引用的方式并入本文中。更具体地说,(SiH3)3N可与脲(NH2CONH2)在负载于氧化铝上的金纳米颗粒存在下反应而形成(SiH3)2-N-SiH2(NCO)+H2
当X为氨基[-NR1R2]时,例示性形成含硅膜的组合物包括(SiH3)2-N-SiH2(NMe2)、(SiH3)2-N-SiH2(NMeEt)、(SiH3)2-N-SiH2(NEt2)、(SiH3)2-N-SiH2(NiPr2)、(SiH3)2-N-SiH2(NHiPr)、(SiH3)2-N-SiH2(NMeiPr)、(SiH3)2-N-SiH2(NEtiPr)、(SiH3)2-N-SiH2(NHtBu)、(SiH3)2-N-SiH2[N(SiH3)2]、(SiH3)2-N-SiH2[N(SiH3)(SiH2Cl)]、(SiH3)2-N-SiH2[N(SiH3)(SiH2(NEt2))]、(SiH3)2-N-SiH2[N(SiH3)(SiH2(NiPr2))]、(SiH3)2-N-SiH2[N(SiH3)(SiH2(NHtBu))]、(SiH3)2-N-SiH2[N(SiH3)(SiH2OEt)]、(SiH3)2-N-SiH2[N(SiH3)(SiH2OiPr)]、(SiH3)2-N-SiH2[N(SiMe3)2]、(SiH3)2-N-SiH2[NH(SiMe3)]、(SiH3)2-N-SiH2[N(SiEt3)2)、(SiH3)2-N-SiH2[N(SiMe2Et)2)、(SiH3)2-N-SiH2[N(SiMe2iPr)2)、(SiH3)2-N-SiH2[N(tBu)(SiH3))、(SiH3)2-N-SiH2[N(SiMe2nPr)2)、(SiH3)2N-SiH2NEtMe、(SiH3)2N-SiH2NMe2、(SiH3)2N-SiH2NMeiPr或(SiH3)2N-SiH2NetiPr。氨基还可有助于提高热稳定性。氨基还可有助于将N及C原子并入所得膜中,这可使得所得层更耐受任何随后的蚀刻工艺。
当R1与R2形成环状含氮杂环时,诸位申请人相信所得杂环形成可容易自经单取代的TSA前体分离的离去基,从而使得所得膜的碳污染小于非环状二烷基氨基。
本领域技术人员将认识到,由Si-H键所提供的挥发性与由氨基所提供的热稳定性达成平衡。诸位申请人相信,至少(H3Si)2-N-SiH2-NEt2、(H3Si)2-N-SiH2-SiH2-NiPr2及(H3Si)2-N-SiH2-N(SiH3)2成功地使那些竞争特征达成平衡以产生例外的气相沉积前体。如以下实例中所示,所得含硅膜的质量相对于先前技术中所披露的那些发生改良。
经氨基取代的形成含硅膜的组合物可类似于上文披露的经卤基取代的形成含硅膜的组合物合成。更具体地说,200sccm单卤基硅烷及50sccm二卤基硅烷可在室温下与400sccm NH3一起以气相连续引入如US8,669,387中所述的流通管式反应器中,形成由各种甲硅烷基胺及卤化铵组成的物流,可通过一般本领域技术人员容易获得的方法(诸如分馏方法)自其中分离出(SiH3)2-N-SiH2[N(SiH3)2]。
更具体地说,(SiH3)2-N-SiH2[N(SiMe3)2]可由SiMe3-NH-SiMe3与tBuLi-->(Me3Si)2NLi的反应及(Me3Si)2NLi与(SiH3)2-N-SiH2-Cl-->(SiH3)2-N-SiH2-N(SiMe3)2+LiCl)的反应合成。
类似地,(SiH3)2-N-SiH2-NH(SiMe3)可由SiMe3-NH-SiMe3+(SiH3)2-N-SiH2-Cl-->(SiH3)2-N-SiH2-NH-SiMe3+Me3SiCl的反应合成。
(SiH3)2-N-SiH2-N(SiH3)(SiH2X)可由(SiH3)2-N-SiH2-N(SiH3)2与SnX3的反应合成,其中X为Cl、Br或I(参见J.Chem.Soc.Dalton Trans.[英国化学会道尔顿会刊]1975,第1624页)。(SiH3)2-N-SiH2-N(SiH3)2的进一步取代可通过延长反应时间和/或调节化学计算量来实现。
(SiH3)2-N-SiH2-N(SiH3)(SiH2(NEt2))可由(SiH3)2-N-SiH2-N(SiH3)(SiH2X)与HNEt2的反应合成。(SiH3)2-N-SiH2-N(SiH3)(SiH2(NEt2))的进一步取代可通过延长反应时间和/或调节化学计算量来实现。
(SiH3)2-N-SiH2-N(SiH3)(SiH2(NiPr2))可由(SiH3)2-N-SiH2-N(SiH3)(SiH2X)与HNiPr2的反应合成。(SiH3)2-N-SiH2-N(SiH3)(SiH2(NiPr2))的进一步取代可通过延长反应时间和/或调节化学计算量来实现。
(SiH3)2-N-SiH2-N(SiH3)(SiH2(NHtBu))可由(SiH3)2-N-SiH2-N(SiH3)(SiH2X)与H2NtBu的反应合成。请注意,使用H2NEt的类似反应可产生低产量的(SiH3)2-N-SiH2-N(SiH3)(SiH2(NHEt))。
(SiH3)2-N-SiH2-N(SiH3)(SiH2(OEt))可由(SiH3)2-N-SiH2-N(SiH3)(SiH2X)与乙醇(EtOH)在HCl清除剂(如NEt3或吡啶)存在下的反应合成。
(SiH3)2-N-SiH2-N(SiH3)(SiH2(OiPr))可由(SiH3)2-N-SiH2-N(SiH3)(SiH2X)与异丙醇(iPrOH)在HCl清除剂(如NEt3或吡啶)存在下的反应合成。
当X为含N的C4-C10饱和或不饱和杂环时,例示性形成含硅膜的组合物包括(SiH3)2-N-SiH2吡咯啶、(SiH3)2-N-SiH2-吡咯或(SiH3)2-N-SiH2-哌啶。作为替代方案,含N的C4-C10饱和或不饱和杂环还可含有杂元素,诸如P、B、As、Ge和/或Si。
当X为烷氧基时,例示性形成含硅膜的组合物包括(SiH3)2-N-SiH2(OEt)、(SiH3)2-N-SiH2(OiPr)、(SiH3)2N-SiH2-OSiMe3、(SiH3)2-N-SiH2-OSiMe2OEt或(SiH3)2-N-SiH2-OSiHMe2
N(SiH3)2(SiH2OEt)还可由(SiH3)2-N-SiH2Cl与EtOH在酸清除剂(诸如Et3N或吡啶)存在下合成。
N(SiH3)3+EtOH→N(SiH3)2(SiH2OEt)。
优选地,所披露的形成含硅膜的组合物具有适于气相沉积方法的特性,诸如高蒸气压、低熔点(优选在室温下呈液体形式)、低升华点和/或高热稳定性。
为了确保方法可靠性,所披露的形成含硅膜的组合物在使用的前可通过连续或分批式分馏法纯化至范围为从约95%w/w至约100%w/w,优选范围为从98%w/w至约100%w/w的纯度。本领域普通技术人员将认识到该纯度可以通过H NMR或气相色谱或液相色谱法与质谱法确定。形成含硅膜的组合物可含有任一种以下杂质:卤化物(X2)、三甲硅烷基胺、单卤基三甲硅烷基胺、二卤基三甲硅烷基胺、SiH4、SiH3X、SnX2、SnX4、HX、NH3、NH3X、单氯硅烷、二氯硅烷、醇、烷基胺、二烷基胺、烷基亚胺、THF、乙醚、戊烷、环己烷、庚烷或甲苯,其中X为Cl、Br或I。优选地,这些杂质的总量是低于0.1%w/w。经纯化的组合物可通过再结晶、升华、蒸馏和/或将气体或液体传递通过适合吸附剂(诸如4A分子筛或基于碳的吸附剂(例如活性碳))来制得。
各溶剂(诸如THF、醚、戊烷、环己烷、庚烷和/或甲苯)在经纯化的经单取代的TSA前体的组合物中的浓度可在从约0%w/w至约5%w/w范围内,优选在从约0%w/w至约0.1%w/w范围内。溶剂可用于前体组合物的合成。若两者具有类似沸点,则可能难以自前体组合物中分离出溶剂。冷却该混合物可以在液体溶剂中产生固体前体,其可以通过过滤分离。还可使用真空蒸馏,前提为该前体组合物不加热至高于其大约分解点。
所披露的形成含硅膜的组合物含有小于5%v/v、优选小于1%v/v、更优选小于0.1%v/v并且甚至更优选小于0.01%v/v的其单取代类似物、双取代类似物或三取代类似物或其他反应产物中的任一种。此实施例可提供更好的工艺可重复性。此实施例可通过该含Si膜形成组合物的蒸馏产生。
纯化所披露的形成含硅膜的组合物亦可使得痕量金属及类金属的浓度在从约0ppbw至约500ppbw范围内,且更优选在从约0ppbw至约100ppbw范围内。这些金属或非金属杂质包含(但不限于)铝(Al)、砷(As)、钡(Ba)、铍(Be)、铋(Bi)、镉(Cd)、钙(Ca)、铬(Cr)、钴(Co)、铜(Cu)、镓(Ga)、锗(Ge)、铪(Hf)、锆(Zr)、铟(In)、铁(Fe)、铅(Pb)、锂(Li)、镁(Mg)、锰(Mn)、钨(W)、镍(Ni)、钾(K)、钠(Na)、锶(Sr)、钍(Th)、锡(Sn)、钛(Ti)、铀(U)、钒(V)及锌(Zn)。经纯化的经单取代的TSA前体的组合物中的X(其中X=Cl、Br、I)的浓度可在约0ppmw与约100ppmw之间的范围内且更优选在约0ppmw至约10ppmw之间的范围内。
所披露的形成含硅膜的组合物可通过所披露的形成含硅膜的组合物的递送装置递送至半导体加工工具中。图1及图2展示所披露的递送装置1的两个实施例。
图1为形成含硅膜的组合物递送装置1的一个实施例的侧视图;在图1中,所披露的形成含硅膜的组合物10包含于具有两根管(入口管30及出口管40)的容器20内。在前体领域的普通技术人员将认识到,容器20、入口管30及出口管40制造为防止形成含硅膜的组合物10的气态形式逸出,即使在高温和高压下。
适合的阀包括弹簧负载型或连接束缚隔膜阀。阀可进一步包含限流孔(RFO)。递送装置应连接至气体歧管并且在罩壳中。气体歧管应允许当置换递送装置时可能暴露于空气的管道被安全抽真空并且吹扫,使得任何残余量的发火性材料不发生反应。罩壳应装备有传感器且火控能力以在释放发火性材料(诸如SiH4)的情况下控制火。气体歧管还应装备有分离阀、真空产生器且允许引入最少的吹扫气体。
递送装置必须防泄漏且装备有不允许即使微小量的物质逸出的阀。递送装置经由阀35及45流体连通至半导体加工工具的其他部件,诸如上文所披露的气柜。优选地,递送装置20、入口管30、阀35、出口管40及阀45由316L EP或304不锈钢制成。然而,本领域技术人员将认识到,本文传授内容中还可使用其他非反应性材料,且形成含硅膜的任何腐蚀性组合物10可能需要使用更耐腐蚀的材料,诸如赫史特合金(Hastelloy)或英高镍(Inconel)。
在图1中,入口管30的端部31位于形成含硅膜的组合物10的表面之上,而出口管40的端部41位于形成含硅膜的组合物10的表面之下。在此实例中,形成含硅膜的组合物10优选呈液体形式。包括但不限于氮气、氩气、氦气及其混合物的惰性气体可被引入入口导管30中。惰性气体使递送装置20加压以迫使形成含硅膜的液体组合物10通过出口管40且进入半导体加工工具的部件(未显示)中。半导体加工工具可包括气化器,其使用或不使用载气(诸如氦气、氩气、氮气或其混合物)将形成含硅膜的液体组合物10转变成蒸气,以便将蒸气递送至其中定位有待修复晶片且处理以气相发生的腔室中。作为替代方案,形成含硅膜的液体组合物10可以喷射流或气溶胶形式直接递送至晶片表面上。
图2为形成含硅膜的组合物递送装置1的第二实施例的侧视图。在图2中,入口管30的端部31位于形成含硅膜的组合物10的表面之下,而出口管40的端部41位于形成含硅膜的组合物10的表面之上。图2还包括任选的加热元件25,其可提高形成含硅膜的组合物10的温度。形成含硅膜的组合物10可呈固体或液体形式。包括但不限于氮气、氩气、氦气及其混合物的惰性气体被引入入口导管30中。惰性气体流经形成含硅膜的组合物10并且将惰性气体与形成含硅膜的气化组合物10的混合物携载至出口管40和半导体加工工具中的部件。
图1与图2均包括阀35及45。本领域普通技术人员将认识到,阀35和45可以被置于打开或关闭位置,以分别允许流过导管30和40。若形成含硅膜的组合物10呈气相形式或若固相/液相之上存在足够蒸气压,则可使用图1或图2中的递送装置1,或具有端接于所存在的任何固体或液体表面之上的单一管道的较简单递送装置。在此情况下,通过分别打开图1中的阀35或图2中的阀45,使形成含硅膜的组合物10以气相形式、经由管30或40递送。递送装置1可维持于适合温度以向待以气相形式递送的形成含硅膜的组合物10提供足够蒸气压,例如通过使用任选的加热元件25来维持。
尽管图1及图2披露了形成含硅膜的组合物递送装置1的两个实施例,但本领域技术人员将认识到,入口管30与出口管40可均位于形成含硅膜的组合物10的表面上或表面下而不背离本文中的披露内容。此外,入口导管30可以是填充端口。最后,本领域技术人员将认识到,可使用其他递送装置(诸如Jurcik等人的WO 2006/059187中所披露的安瓿)将所披露的形成含硅膜的组合物递送至半导体加工工具中而不背离本文中的传授内容。
所披露的形成含硅膜的组合物可适于通过各种ALD或CVD方法进行含硅膜的沉积且可具有以下优点:
●在室温下为液体或具有低于50℃的熔点;
●热稳定性,以能够实现适当分布(气相或直接液体注射)而不产生颗粒;和/或
●相对于基板的适合反应性,以允许存在较广的自限制ALD窗,从而允许沉积多种含硅膜。
含有氮化硅及氧化硅的膜(称为SiOxNy)可通过CVD或ALD、使用选自下组的反应物的一种或组合来沉积,该组由以下各项组成:N2、H2、NH3、O2、H2O、H2O2、O3、NO、NO2、N2O、羧酸、醇、二醇、肼(诸如N2H4、MeHNNH2、MeHNNHMe)、有机胺(诸如NMeH2、NEtH2、NMe2H、NEt2H、NMe3、NEt3、(SiMe3)2NH)、吡唑啉、吡啶、二胺(诸如乙二胺),其组合,及其等离子体产物。
三元或四元膜可使用形成含硅膜的组合物(其中一种或若干种其他前体含有选自As、B、P、Ga、Ge、Sn、Sb、Al、In的元素或过渡金属前体)以及可能存在的一种或多种以上列出的反应物沉积。可连同所披露的形成含硅膜的组合物一起使用的典型前体选自以下家族:
●金属卤化物(例如TiCl4、TiI4、TaCl5、HfCl4、ZrCl4、AlCl3、NbF5等);
●烷化物(Al、Ge、Ga、In、Sb、Sn、Zn),诸如三甲基铝、二乙基锌、三乙基镓;
●氢化物(GeH4、铝烷等);
●烷基酰胺(第IV及V族过渡金属的金属);
●酰亚氨基(第V及VI族的金属);
●烷氧化物(第IV、V族的金属);
●环戊二烯基物(Ru、Co、Fe、第IV族过渡金属、镧系元素等);
●羰基物(例如Ru、Co、Fe、Ni);
●脒基物和胍基物(例如:Co、Mn、Ni、Cu、Sc等);
●β-二酮酸酯(例如Sc、Cu、镧系元素);
●β-二酮亚胺(Cu、Ni、Co等);
●双-三烷基甲硅烷基酰胺(Ni、Co、Fe等);
●氧代(oxo)基(RuO4、WOCl4、PO(OEt)3、AsO(OEt)3等);
●或具有以上配体的组合的杂配位分子。
所披露的形成含硅膜的组合物还可与另一种硅源结合使用,诸如卤代硅烷(可选自SiH3Cl、SiH2Cl2、SiHCl3、SiCl4、SiBr4、SiI4、SiHI3、SiH2I2、SiH3I、SiF4)、聚硅烷SiHxH2x+2或环状聚硅烷SiHxH2x、卤代聚硅烷(SixCl2x+2、SixHyCl2x+2-y,诸如HCDS、OCTS、PCDS、单氯二硅烷(MCDS或Si2H5Cl)、二氯二硅烷(DCDS或Si2H4Cl2)),或具有Si-(CH2)n-Si主链(其中n=1或2)的碳硅烷。
还披露了使用所披露的形成含硅膜的组合物用于气相沉积法(包括各种CVD和ALD方法)的方法。所披露的方法提供了所披露的形成含硅膜的组合物沉积含硅膜,优选为氮化硅(SiN)膜、氧化硅(SiO)膜及氮掺杂氧化硅膜的用途。所披露的方法可适用于制造半导体、光伏材料、LCD-TFT、平板型装置、耐火材料或航空材料。
用于在基板上形成含硅层的所披露方法包括:将基板置放于反应器中,将包括形成含硅膜的组合物的蒸气递送至反应器中,并且使蒸气与基板接触(并且典型地将蒸气引导至基板)以在基板表面上形成含硅层。作为替代方案,将基板移至含有前体蒸气的腔室中(空间ALD)并且接着移至含有反应物的另一个区域中。在暴露于前体与反应物之间可进行其他物理处理步骤,诸如快速退火、UV固化等。
方法可包括使用气相沉积法在基板上形成含双金属层并且更具体地,沉积SiMOx膜,其中x为4并且M为Ti、Hf、Zr、Ta、Nb、V、Al、Sr、Y、Ba、Ca、As、B、P、Sb、Bi、Sn、镧系元素(诸如Er)或其组合。所披露方法可适用于制造半导体、光伏材料、LCD-TFT或平板型装置。反应器中还可引入氧源,诸如O3、O2、H2O、NO、H2O2、乙酸、福尔马林、多聚甲醛、醇、二醇、其氧自由基,及其组合,但优选为O3或经等离子体处理的O2
可使用本领域技术人员已知的任何沉积法、使用所披露的形成含硅膜的组合物沉积含硅膜。适合沉积方法的实例包括化学气相沉积(CVD)或原子层沉积(ALD)。例示性CVD方法包括热CVD、脉冲CVD(PCVD)、低压CVD(LPCVD)、次大气压CVD(SACVD)或大气压CVD(APCVD)、热丝CVD(HWCVD,亦称为cat-CVD,其中热丝充当沉积法的能量来源)、自由基结合型CVD、等离子体增强型CVD(PECVD)(包括(但不限于)流动CVD(FCVD)),及其组合。示例性ALD方法包括热ALD、等离子体增强ALD(PEALD)、空间隔离ALD、热丝ALD(HWALD)、自由基结合的ALD、以及其组合。还可以使用超临界流体沉积。沉积方法优选为FCVD、ALD、PE-ALD或空间ALD,以便提供适合的阶梯式覆盖和膜厚度控制。
通过常规手段(诸如管道和/或流量计)将形成含硅膜的组合物以气相形式递送至反应器中。可经由常规气化步骤(诸如直接气化、蒸馏、鼓泡)、通过使纯净的或共混的组合物溶液气化来产生气相形式的组合物。组合物可以液态进料到气化器中,在那里使其气化,随后将其引入反应器中。气化之前,任选地可以将组合物与一或多种溶剂混合。溶剂可选自下组,该组由以下各项组成:甲苯、乙苯、二甲苯、均三甲苯、癸烷、十二烷、辛烷、己烷、戊烷或其他。所得浓度可在从约0.05M至约2M范围内。
作为替代方案,形成含硅膜的组合物可通过将载气传递至含有前体的容器中或通过使载气在前体中鼓泡来气化。组合物可任选地与一或多种溶剂混合于容器中。溶剂可选自下组,该组由以下各项组成:甲苯、乙苯、二甲苯、均三甲苯、癸烷、十二烷、辛烷、己烷、戊烷或其他。所得浓度可在从约0.05M至约2M范围内。载气可包括(但不限于)Ar、He或N2及其混合物。用载气鼓泡还可移除该纯净的或共混的组合物中存在的任何溶解氧。该载气和组合物然后作为蒸气被引入反应器内。
必要时,容器可加热至允许形成含硅膜的组合物呈液相且具有足够蒸气压的温度。容器可维持在例如0℃至150℃范围内的温度。本领域技术人员认识到可以按已知方式调整该容器的温度以控制蒸发的组合物的量。典型地调节温度以达到0.1托至100托、优选约1托至20托的蒸气压。
产生形成含硅膜的组合物的蒸气,并且然后引入含有基板的反应室中。反应室内的温度及压力以及基板的温度保持在适于将经单取代的TSA前体的至少一部分气相沉积于基板上的条件下。换言之,在将气化组合物引入反应室中之后,调节反应室内的条件,使得气化前体的至少一部分沉积于基板上以形成含硅层。本领域技术人员将认识到,“使气化化合物的至少一部分沉积”意谓一些或全部化合物与基板反应或粘附至基板。本文中,还可使用有助于形成含硅层的反应物。此外,含硅层可通过UV和电子束固化。
该反应室可以是其中进行沉积方法的装置的任何封闭空间或室,如但不限于:平行板型反应器、冷壁型反应器、热壁型反应器、单晶片反应器、多晶片反应器、或其他此类类型的沉积系统。所有这些例示性反应室均能够充当ALD或CVD反应室。对于所有ALD及次大气压CVD,反应室可维持在约0.5毫托至约20托范围内的压力下。次大气压CVD及大气压CVD压力的范围可达760托(大气压)。反应室内的温度可在从约0℃至约800℃的范围内。本领域普通技术人员将认识到,可通过纯粹的实验优化温度以实现所希望的结果。
该反应器的温度可通过控制该基板固持器的温度或控制该反应器壁的温度来进行控制。现有技术中已知用于加热该基板的装置。该反应器壁被加热至足够温度以在足够增长率下以及所希望的物理状态和组成获得所希望的膜。非限制性示例性温度范围(可以将该反应器壁保持该温度范围)包括从大约20℃至大约800℃。当利用等离子体沉积工艺时,该沉积温度范围可以是从大约0℃至大约550℃。可替代地,当进行热工艺时,该沉积温度范围可以是从大约200℃至大约800℃。
可替代地,该基板可以被加热至足够温度以在足够增长率下获得所希望的含硅膜且具有所希望的物理状态和组成。非限制性示例性温度范围(可以将该基板加热到该温度范围)包括从50℃至600℃。优选地,该基板的温度保持小于或等于500℃。
作为替代方案,可在设定为低于前体的自分解的基板温度下进行ALD方法。本领域技术人员会认识到如何确定前体的自分解温度。
反应器含有一个或多个上面沉积有膜的基板。基板一般定义为在其上进行工艺的材料。基板可为用于半导体、光伏、平板或LCD-TFT装置制造中的任何合适的基板。适合基板的实例包括晶片,诸如硅、二氧化硅、玻璃、塑料或GaAs晶片。从先前的制造步骤,该晶片可具有在其上沉积的一个或多个不同材料层。例如,晶片可包括硅层(晶体、非晶型、多孔等)、氧化硅层、氮化硅层、氧氮化硅层、碳掺杂的氧化硅(SiCOH)层、光致抗蚀剂层、抗反射层,或其组合。另外,晶片可包括铜层或贵金属层(例如铂、钯、铑或金)。这些层可包括在MIM、DRAM、STT RAM、PC-RAM或FeRam技术中用作介电材料的氧化物(例如基于ZrO2的材料、基于HfO2的材料、基于TiO2的材料、基于稀土氧化物的材料、基于三元氧化物的材料,诸如氧化锶钌(SRO)等)或用作来自铜与低k层之间的氧阻挡的基于氮化物的膜(例如TaN)。晶片可包括阻挡层,诸如锰、氧化锰等。还可使用塑料层,诸如聚(3,4-亚乙二氧基噻吩)聚(苯乙烯磺酸酯)(PEDOT:PSS)。这些层可以是平面的或图案化的。在一些实例中,层可为由氢化碳(例如CHx,其中x大于零)制成的图案化光致抗蚀剂膜。所披露的工艺可直接在晶片上或直接在晶片顶部的一个或多于一个(当图案化层形成该基板时)层上沉积该含硅层。此外,本领域普通技术人员将认识到,在此所使用的术语“膜”或“层”指的是放置或铺展在表面上的一些材料的厚度且该表面可为沟槽或线条。贯穿本说明书和权利要求书,晶片和其上的任何结合层称为基板。尽管在许多情况下,所用优选基板可选自铜、氧化硅、光致抗蚀剂、氢化碳、TiN、SRO、Ru以及Si类型基板,诸如多晶硅或晶体硅基板。举例而言,氮化硅膜可沉积于硅层上。在随后的加工中,可在氮化硅层上交替沉积氧化硅层和氮化硅层,从而形成3D NAND门中所用的多个SiO2/SiN层的堆叠。此外,基板可用经图案化或未图案化有机或无机膜涂布。
除所披露的形成含硅膜的组合物之外,还可将反应物引入反应器中。反应物可为氧化剂,诸如O2、O3、H2O、H2O2之一;含氧自由基,诸如O或OH、NO、NO2;羧酸,诸如甲酸、乙酸、丙酸;NO、NO2或羧酸的自由基种类;多聚甲醛;及其混合物。优选地,氧化剂选自下组,该组由以下各项组成:O2、O3、H2O、H2O2、其含氧自由基(诸如O或OH)及其混合物。优选地,当执行ALD法时,反应物为经等离子体处理的氧、臭氧或其组合。当使用氧化剂时,所得含硅膜还将含有氧。
作为替代方案,反应物可为含氮反应物,诸如以下之一:N2、NH3、肼(例如N2H4、MeHNNH2、MeHNNHMe)、有机胺(例如N(CH3)H2、N(C2H5)H2、N(CH3)2H、N(C2H5)2H、N(CH3)3、N(C2H5)3、(SiMe3)2NH)、吡唑啉、吡啶、二胺(诸如乙二胺))、其自由基,或其混合物。当使用含N源制剂时,所得含硅膜还将含有氮。
当使用还原剂(诸如H2、H自由基而且还有其他含氢气体和前体,诸如金属氢化物和类金属氢化物)时,所得含硅膜可为纯硅。
该反应物可以通过等离子体处理,以便将该反应物分解成其自由基形式。当用等离子体处理时,还可使用N2。例如,可以用范围是从约50W至约2000W、优选地从约100W至约500W的功率产生等离子体。等离子体可产生或存在于反应器本身内。可替代地,例如在远程定位的等离子体系统中,等离子体总体上可处于远离该反应器的位置处。本领域技术人员将认识到适用于此种等离子体处理的方法及装置。
形成含硅膜的组合物还可与卤基硅烷或聚卤基硅烷(诸如六氯二硅烷、五氯二硅烷或四氯二硅烷)以及一种或多种反应物一起使用以形成Si、SiCN或SiCOH膜。PCT公开号WO2011/123792号披露了SiN层(非硅层或SiCOH层),并且该公开物的完整内容以其全文并入本文中。
当所希望的含硅膜还含有另一种元素(诸如并且不限于Ti、Hf、Zr、Ta、Nb、V、Al、Sr、Y、Ba、Ca、As、B、P、Sb、Bi、Sn、Ge镧系元素(诸如Er)或其组合)时,另一种前体可包括选自(但不限于)以下的含金属前体:
●金属卤化物(例如TiCl4、TiI4、TaCl5、HfCl4、ZrCl4、AlCl3、NbF5等);
●烷基物(Al、Ge、Ga、In、Sb、Sn、Zn),诸如三甲基铝、二乙基锌、三乙基镓;
●氢化物(GeH4、铝烷等);
·烷基酰胺(第IV及V族过渡金属的金属);
·酰亚氨基(第V及VI族的金属);
·烷氧化物(第IV、V族的金属);
●环戊二烯基物(Ru、Co、Fe、第IV族过渡金属、镧系元素等);
●羰基物(例如Ru、Co、Fe、Ni);
●脒基物和胍基物(例如:Co、Mn、Ni、Cu、Sc等);
●β-二酮酸酯(例如:Sc、Cu、镧系元素);
●β-二酮亚胺(Cu、Ni、Co等);
●双-三烷基甲硅烷基酰胺(Ni、Co、Fe等);
●氧代基(RuO4、WOCl4、PO(OEt)3、AsO(OEt)3等);
●具有一组选自上述家族的混合配体的杂配位分子。
形成含硅膜的组合物和一种或多种反应物可同时(例如CVD)、依次(例如ALD)或以其他组合引入反应室中。例如,形成含硅膜的组合物可以一个脉冲引入并且两种其他金属源可以分开的脉冲一起引入(例如经修改的ALD)。作为替代方案,反应室在引入形成含硅膜的组合物之前可已含有反应物。可传递反应物通过定位于反应室中的等离子体系统或远离反应室的等离子体系统,并且分解成自由基。作为替代方案,形成含硅膜的组合物可连续引入反应室中,同时通过脉冲(例如脉冲CVD)引入其他金属源。在各实例中,在脉冲之后可以后跟随吹扫或排空步骤,以去除引入的过量组分。在各实例中,脉冲可持续从约0.01s至约20s,作为替代方案从约0.3s至约3s,作为替代方案从约0.5s至约2s范围内的时间段。在另一替代方案中,形成含硅膜的组合物和一种或多种反应物可自簇射头同时喷涂,在固持若干个晶片的基座依据其进行旋转(例如空间ALD)。
在非限制性例示性ALD型方法中,将呈气相的形成含硅膜的组合物引入反应室中,在反应室中使其与适合基板接触并且在基板上形成含硅层。然后可通过吹扫和/或排空该反应室从该反应室中去除过量的组合物。将氧源引入反应室中,在该反应室中其与含硅层以自限制方式反应。通过吹扫和/或排空该反应室从该反应室中去除任何过量的氧源。如果所希望的膜是氧化硅膜,则此两步工艺可提供所希望的膜厚度或可被重复直至获得了具有必要厚度的膜。
作为替代方案,若所希望的膜为硅金属氧化物膜(即SiMOx,其中x可为4并且M为Ti、Hf、Zr、Ta、Nb、V、Al、Sr、Y、Ba、Ca、As、B、P、Sb、Bi、Sn、Ge、镧系元素(诸如Er)或其组合),则上述两步方法之后可将含金属前体的第二蒸气引入反应室中。含金属前体将基于所沉积的硅金属氧化物膜的性质来选择。引入反应室中之后,使含金属前体与基板上的氧化硅层接触。通过对反应室进行吹扫和/或抽真空而自反应室中移除任何过量的含金属前体。再次,可将氧源引入反应室中以与含金属前体反应。通过吹扫和/或排空该反应室从该反应室中去除过量的氧源。如果已经实现了所希望的膜厚度,则可终止该工艺。然而,如果较厚的膜是所希望的,则可重复整个四步骤工艺。通过交替提供形成含硅膜的组合物、含金属前体及氧源,可沉积具有所希望组成和厚度的膜。
附加地,通过变化脉冲的数目,可获得具有所希望的化学计量的M:Si比的膜。例如,通过进行一次经单取代的TSA前体的脉冲及一次含金属前体的脉冲,其中各脉冲之后进行氧源的脉冲可获得SiMO2膜。然而,本领域普通技术人员将认识到,获得所希望的膜要求的脉冲的数目可以不与所得膜的化学计量比相同。
在非限制性例示性PE-ALD型方法中,将呈气相的形成含硅膜的组合物引入反应室中,在反应室中使其与适当的基板接触,同时使低反应性氧源(诸如O2)连续流至腔室中。然后可通过吹扫和/或排空该反应室从该反应室中去除过量的组合物。接着点燃等离子体以活化氧源,从而使其与所吸收的经单取代的TSA前体以自限制方式发生反应。接着关断等离子体并且随后可立即使形成含硅膜的组合物进行流动。此两步方法可提供所希望的膜厚度或可重复进行直至已获得具有所希望厚度的氧化硅膜。氧化硅膜可含有一些C杂质,典型地在0.005%与2%之间的C杂质。本领域技术人员可选择氧气源及基板温度以便在关闭等离子体时,防止氧源与经单取代的TSA之间发生反应。经二烷基氨基取代的TSA尤其适于此类方法,并且优选为(SiH3)2N-SiH2-NEt2、(SiH3)2N-SiH2-NiPr2或(SiH3)2N-SiH2-NHR,R为-tBu或-SiMe3
在另一种非限制性例示性PE-ALD型方法中,将呈气相的形成含硅膜的组合物引入反应室中,在反应室中使其与适当的基板接触,同时使低反应性氮源(诸如N2)连续流至腔室中。然后可通过吹扫和/或排空该反应室从该反应室中去除过量的组合物。接着点燃等离子体以活化氮源,从而使其与所吸收的经单取代的TSA前体以自限制方式发生反应。接着关断等离子体并且可随后立即使形成含硅膜的组合物进行流动。此两步方法可提供所希望的膜厚度或可重复进行直至已获得具有所需厚度的氮化硅膜。氮化硅膜可含有一些C杂质,典型地在0.5%与10%之间。本领域技术人员可选择氮气源和基板温度以便在关闭等离子体时,防止氮源与经单取代的TSA之间发生反应。经氨基取代的TSA及单卤基TSA尤其适于此类方法,并且优选为(SiH3)2N-SiH2-Cl、(SiH3)2N-SiH2-NEt2、(SiH3)2N-SiH2-NiPr2、(SiH3)2N-SiH2-NHR(R为-tBu或-SiMe3),或(SiH3)2N-SiH2-N(SiH3)2
在非限制性例示性LPCVD型方法中,将呈气相的形成含硅膜的组合物(优选含有经单卤基取代的TSA前体)引入装有基板的反应室中并且典型地保持在0.1托与10托之间且更优选在0.3托与3托之间的压力下及250℃与800℃之间、优选在350℃与600℃之间的温度下,其中其与反应物(典型地为NH3)混合。从而可在一个或多个基板上沉积保形SiN薄膜。本领域技术人员将认识到,膜中的Si/N比率可通过调节经单取代的TSA前体和氮源流速来调节。
在另一个替代方案中,致密型SiN膜可使用ALD方法、使用六氯二硅烷(HCDS)、五氯二硅烷(PCDS)、单氯二硅烷(MCDS)、二氯二硅烷(DCDS)或单氯硅烷(MCS)、形成所披露的含硅膜的组合物和氨反应物沉积。反应室可以用55sccm Ar连续流动控制在5托、550℃。将所披露的形成含硅膜的组合物的约10秒长脉冲以约1sccm的流速引入反应室中。使用约55sccm Ar流动来吹扫反应室中的组合物持续约30秒。将约10秒的HCDS脉冲以约1sccm的流速引入反应室中。使用约55sccm Ar流动来吹扫反应室中的HCDS持续约30秒。将约10秒长的NH3脉冲以约50sccm的流速引入反应室中。使用约55sccm的Ar流动来吹扫反应室中的NH3持续约10秒。这些6个步骤重复进行直至所沉积层达到适合厚度。本领域普通技术人员将认识到,当使用空间ALD装置时,引导脉冲可以是同时的。如PCT公开号WO2011/123792中所述,可改变前体引入顺序并且可在NH3反应物存在或不存在下执行沉积,以便调节SiCN膜中的碳和氮的量。本领域技术人员会进一步认识到,流速和脉冲时间可因不同沉积室而不同并且能够对于各装置来确定所需参数。
在非限制例示性方法中,将呈气相的所披露的形成含硅膜的组合物(优选含有经单卤基取代的TSA)引入装有具有多孔低k膜的基板的反应室中。孔隙密封膜可在US2015/0004806中所述的条件下沉积(即将所披露的形成含硅膜的组合物、氧化剂(诸如臭氧、过氧化氢、氧气、水、甲醇、乙醇、异丙醇、氧化氮、二氧化氮、一氧化氮、一氧化碳或二氧化碳)及无卤素催化剂化合物(诸如硝酸、磷酸、硫酸、乙二胺四乙酸、苦味酸或乙酸)引入反应室中并且在基板上形成可流动冷凝膜的条件下使基板暴露于工艺气体)。
在又一替代方案中,可通过美国专利申请公开号2014/0051264中所披露的流动PECVD方法,使用所披露的组合物和含有自由基氮或氧的反应物来沉积含硅膜。在远程等离子体系统中产生含有自由基氮或氧的反应物(分别诸如NH3或H2O)。将自由基反应物和呈气相的所披露前体引入反应室中,在反应室中它们发生反应并且在基板上首先沉积可流动膜。诸位申请人相信,(SiH3)2N-(SiH2-X)结构中的氮原子有助于进一步改良所沉积膜的流动性,从而产生具有更少空隙的膜,尤其当X为氨基时,并且更具体地说,当X为二甲硅烷基氨基(如-N(SiH3)2)时。
以上讨论的方法所得到的含硅膜可包括SiO2、氮掺杂氧化硅、SiN、SiON、SiCN、SiCOH或MSiNyOx,其中M为诸如Ti、Hf、Zr、Ta、Nb、V、Al、Sr、Y、Ba、Ca、As、B、P、Sb、Bi、Sn、Ge的元素,且x、y可为0-4且y+x=4,这当然取决于M的氧化态。本领域技术人员将认识到,通过慎重选择适当的经单取代的TSA前体和反应物,可获得所希望的膜组成。
一经获得所希望的膜厚度,可以使该膜经受另外的加工,如热退火、炉退火、快速热退火、UV或电子束固化、和/或等离子体气体暴露。本领域技术人员认识到用于进行这些附加的加工步骤的系统和方法。例如,可以使该含硅膜暴露于在惰性气氛、含H气氛、含N气氛、含O气氛、或其组合下范围是从大约200℃与大约1000℃的温度持续范围是从大约0.1秒至大约7200秒的时间。最优选地,在含反应性H的气氛下,温度为600℃持续小于3600秒。所得膜可含有较少的杂质,并且因此可具有改进的性能特征。退火步骤可以在进行该沉积工艺的同一反应室中进行。当沉积方法为FCVD时,固化步骤优选为氧气固化步骤,其在低于600℃的温度下进行。含氧气氛可含有H2O或O3。作为替代方案,可自反应室移除基板,其中退火/快速退火方法是在分开的设备中进行的。
实例
提供以下非限制性实例以进一步说明本发明的实施例。然而,这些实例并不旨在包括所有情况并且并不旨在限制在此所描述的发明的范围。
本文所述的实例为基于TSA的前体,即经单取代的TSA。
实例1:合成(SiH3)2N-SiH2-NiPr2及(SiH3)2N-SiH2-NEt2
将300g二异丙胺(3.0mol)装入作为反应器的1升过滤瓶中,该过滤瓶装备有顶置式机械搅拌器、氮气鼓泡器、冷却器和氢化物洗涤器。将60g(0.4mol)氯三甲硅烷基胺装入滴液漏斗中。将滴液漏斗附接至反应器。向滴液漏斗中添加氮气吹扫气体以防止在漏斗尖口形成盐。冷却器设定为18℃并且在1.5时间段内经由滴液漏斗添加氯三甲硅烷基胺。在添加期间,反应器温度设定为22-23℃。添加完成之后,使反应器搅拌0.5小时。
接着过滤胺盐酸盐。滤饼用两个50ml二异丙胺等分试样冲洗。蒸馏出大部分二异丙胺,得到72g粗产物。将粗产物与以类似方式进行的(SiH3)2N-SiH2-NiPr2的若干次较小规模制备所得的其他粗产物合并。接着在-28英寸汞柱的真空下,在86℃蒸馏(SiH3)2N-SiH2-NiPr2并且收集79g的>99%纯产物。总体产率为56%。表1显示根据蒸馏和TSU数据所估算的(SiH3)2N-SiH2-NiPr2蒸气压数据。
表1.(SiH3)2N-SiH2-NiPr2的蒸气压数据
温度(℃) 压力(托)
86 38
100 72
150 140
(SiH3)2N-SiH2-NEt2的合成类似地利用相同莫耳比进行,但用二乙胺代替二异丙胺。
实例2:合成(SiH3)2N-SiH2-NHiPr
将300g异丙胺(3.0mol)装入作为反应器的1升过滤瓶中,该过滤瓶装备有顶置式机械搅拌器、氮气鼓泡器、冷却器和氢化物洗涤器。将60g(0.4mol)氯三甲硅烷基胺装入滴液漏斗中。将滴液漏斗附接至反应器。向滴液漏斗中添加氮气吹扫气体以防止在漏斗尖口形成盐。冷却器设定为18℃并且在1.5时间段内经由滴液漏斗添加氯三甲硅烷基胺。在添加期间,反应器温度设定为22-23℃。添加完成之后,使反应器搅拌0.5小时。接着过滤胺盐酸盐。滤饼用两个50ml异丙胺等分试样冲洗。蒸馏出大部分异丙胺,得到72g粗产物。将粗产物与以类似方式进行的(SiH3)2N-SiH2-NHiPr的若干次较小规模制备所得的其他粗产物合并。接着在-28英寸汞柱的真空下,在86℃蒸馏(SiH3)2N-SiH2-NHiPr并且收集79g的>99%纯产物。
实例3:合成(SiH3)2N-SiH2-Br及(SiH3)2N-SiH2-N(SiH3)2
(SiH3)2N-SiH2-Br及(SiH3)2N-SiH2-N(SiH3)2可通过SnBr4与TSA反应而获得:SnBr4+H3SiN(SiH3)2=BrH2SiN(SiH3)2+(SiH3)2N-SiH2-N(SiH3)2+SnBr2+HBr。上述反应的副产物HBr接着可通过与起始物质TSA反应而移除,即N(SiH3)3+4HBr=NH4Br+3BrSiH3。合成方法如下。
将化学计算量过量的TSA装入具有经PTFE涂布的磁性蛋型搅拌子的圆底烧瓶中。必要时,可向烧瓶中添加溶剂(例如十二烷)和HBr清除剂(例如三丁胺),随后添加TSA。烧瓶装配有冷指冷凝器或蒸馏头。液体添加漏斗附接至烧瓶且装入SnBr4于溶剂(诸如苯甲醚或十二烷)中的溶液。接着可将烧瓶冷却且向烧瓶中逐滴添加SnBr4溶液。烧瓶的顶部空间可在氮气大气压下或在减压下保持以便当HBr形成时将其移除。
添加完成之后,可通过对一个或多个捕集器抽真空来收集挥发性产物。接着可通过GCMS分析收集到的挥发性产物。发现在用SnBr4处理TSA后,形成(SiH3)2N(SiH2Br)及(SiH3)2N(SiH2N(SiH3)2)。还鉴别出以下副产物:硅烷、溴硅烷、二溴三硅烷胺。还发现溶剂和未反应的SnBr4(在一些情况下)。
所得(SiH3)2N-SiH2-N(SiH3)2在室温(约22℃)下为液体,其熔点为约-106℃且沸点为约131℃。蒸气压在27℃下经计算为约8hPa。
对比实例1
在DNF有限公司的WO2015/190749中,实例1披露通过使正戊烷中的氯二甲基硅烷[Me2HSiCl]与NH3发生反应以形成四甲基二硅氮烷[(Me2SiH)2NH]来合成二甲基氨基二甲基甲硅烷基双二甲基甲硅烷基胺[(Me2SiH)2NSiMe2(NMe2)]。接着使四甲基硅氮烷与正己烷溶剂中的n-BuLi反应以形成(Me2SiH)2N-Li。向混合物中添加氯二甲基二甲基氨基硅烷[ClSiMe2(NMe2)],接着形成(Me2SiH)2NSiMe2(NMe2)产物。
本领域技术人员将认识到,实例1中所述的合成方法不适于产生含有(SiH3)2N-部分的本文所披露的分子。DNF提出的合成方法需要合成并分离胺(SiH3)2NH,随后用液相强碱tBuLi处理。文献中已熟知(SiH3)2NH胺可以其气相制备且处置,但在液相中不稳定且分解,即使在0℃。参见,例如,“Silicon-nitrogen compounds.VI.Preparation and propertiesof disilazane[硅氮化合物.VI.二硅硅氮烷的制备和特性]”;Aylett,Bernard J.;Hakim,M.J.;Journal of the Chemical Society[Section]A[化学学会会刊A部分]:Inorganic,Physical,Theoretical[无机物理理论],1969,4,639-642。更具体地说,(SiH3)2NH在弱碱(诸如液体NH3)存在下快速歧化(同上)。因此,本领域技术人员将认识到碱性强得多的碱(诸如tBuLi)将引起甚至更快速歧化。因此,预期本领域技术人员无法成功地合成所需(SiH3)2NLi中间盐,其为下一步合成所必须的。
WO2015/190749的实例1中所述的用于制备具有式(SiHR2)2NH的胺的方法意指使氯硅烷SiHR2Cl与NH3以化学计算量发生反应。Stock等人报导,在SiH3X(X=Cl、Br、I)的情况下,此方法不可能分离出气态(SiH3)2NH,原因在于该反应不能终止于经双取代的产物并且形成经参取代的胺(SiH3)3N。参见例如Stock,A.及Somieski,C.;Chem.Ber.[化学学报],1921,54B,740。所有前述论点,加之完全缺乏描述形成其中每个硅原子上具有超过一个氢离子的甲硅烷基胺化锂的任何参考文献,证明不可能通过WO2015/190749所述的合成途径制备含有(SiH3)2N-或(SiH2R)2N-的氨基硅烷。
相比的下,如实例1至实例3所示,诸位申请人已通过使用(SiH3)2N-SiH2Cl作为起始物质来成功地合成所披露的含有(SiH3)2N的化合物。
实例4
以下PEALD测试是使用Picosun R200 PEALD 8”沉积工具、使用4”晶片来进行。将呈气相的经单取代的TSA前体递送至如图3所示的Picosun工具中。
使用(SiH3)2N-SiH2-NiPr2作为形成含硅膜的组合物10来进行ALD测试,该组合物置放于加热至70℃且以O2等离子体作为氧化反应物的安瓿中。使用典型的ALD条件,其中反应器50压力固定于约9hPa(1hPa=100Pa=1mbar)。使用3向气动阀51,经由在安瓿中过压力而将两次0.1秒脉冲的前体蒸气引入沉积室中。通过0.5秒暂停来分隔0.1秒脉冲。4秒N2吹扫将任何过量的前体移除。16秒等离子体O2脉冲之后为3秒N2吹扫。重复执行该方法直至获得300埃的最小厚度。使用加热至70℃、150℃及300℃的基板进行沉积。通过在指定循环内增加前体脉冲次数的实际自限制ALD生长行为已得到验证,如图4所示。
还使用先前技术SiH2(NEt2)2前体作为形成含硅膜的组合物10来进行ALD测试,将该组合物置放于加热至60℃且以O2等离子体作为氧化反应物的安瓿中。诸位申请人相信在若干中商业方法中,现使用SiH2(NEt2)2沉积SiO2。使用典型的ALD条件,其中反应器压力固定于约9hPa(1hPa=100Pa=1mbar)。使用3向气动阀,经由在安瓿中的过压力而将两次0.1秒脉冲的前体蒸气引入沉积室中。通过0.5秒暂停来分隔0.1秒脉冲。4秒N2吹扫将任何过量的前体移除。16秒等离子体O2脉冲之后为3秒N2吹扫。重复执行该方法直至达到300埃的最小厚度。在70℃、150℃、200℃和300℃下进行沉积。如图5所示,每个循环的生长量随着温度升高而减少。
表1概述结果:
SiH2(NEt2)2 (SiH3)2N-SiH2-NiPr2
生长速率,70℃1 1.42埃/循环 3.10埃/循环
生长速率,300℃1 0.98埃/循环 2.05埃/循环
湿式蚀刻速率,70℃2 9.4埃/秒 8.8埃/秒
湿式蚀刻速率,150℃2 7.2埃/秒 6.7埃/秒
湿式蚀刻速率,300℃2 6.6埃/秒 6.7埃/秒
折射率,70℃3 1.432 1.460
碳原子%,70℃4 0.05% TBD
碳原子%,150℃4 0.045% 0.015-0.03%
氢原子%,150℃4 约10% 约10%
氮原子%,150℃4 0.015% 0,1%
在晶片非均一性范围内5 2.84% 2.90%
1在所述温度下沉积的膜的生长速率
2在所述温度下沉积的膜的使用0.1%v/v HF(通过椭圆测量术测定的)湿式蚀刻速率
3在70℃沉积的膜的折射率
4在所述温度下沉积的膜的原子百分比,如二次离子质谱(SIMS)所测定)。当通过SIMS量测时,氢含量易存在不确定性,如本领域技术人员所认识的。
5在200℃所沉积的膜的晶片非均一性范围内,如通过椭偏仪在6英寸硅晶片上所测定。此参数未经优化并且预期从工业工具会产生较好均一性。
如可以看出,由(SiH3)2N-SiH2-NiPr2生产的膜的生长速率在70℃和300℃二者下比SiH2(NEt2)2的生长速率好得多。在70℃下,(SiH3)2N-SiH2-NiPr2具有比SiH2(NEt2)2好得多的湿式蚀刻速率和折射率,这两者都表明形成了好得多的、更致密的氧化物膜。
实例5
使用(SiH3)2N-SiH2-NiPr2进行沉积氮掺杂氧化硅的ALD测试,将(SiH3)2N-SiH2-NiPr2置放于加热至70℃的安瓿中,其中以O2等离子体作为氧化反应物并且以NH3等离子体作为另一种反应物。使用典型的ALD条件,其中反应器压力固定于约9hPa。使用3向气动阀,经由在安瓿中的过压力而将两次0.1秒脉冲的前体蒸气引入沉积室中。通过0.5秒暂停来分隔0.1秒脉冲。4秒N2吹扫将任何过量的前体移除。16秒等离子体O2脉冲之后为3秒N2吹扫。使用3向气动阀,经由在安瓿中的过压力而将两次0.1秒脉冲的前体蒸气引入沉积室中。通过0.5秒暂停来分隔0.1秒脉冲。4秒N2吹扫将任何过量的前体移除。11秒等离子体NH3脉冲之后为3秒吹扫。重复执行完整方法(前体-等离子体O2-前体-等离子体NH3)直至厚度达到至少300埃。在150℃进行沉积。
所得SiO2膜具有使用0.1%v/v HF(通过椭圆测量术测定的)3.2埃/秒的湿式蚀刻速率以及约1%的氮浓度。发现此类低蚀刻速率有益于基于间隔的双重图案化,以便在使用ALD沉积的氧化硅膜作为掩膜时,能够使转移层具有较低的边缘粗糙度。本领域技术人员会认识到,所得膜中的氧与氮含量比可通过调节含O反应物和含N反应物脉冲的次数、顺序或/和持续时间来调节。申请人认为SiO2膜中的约0.5原子%至约5原子%的氮浓度有益于根据间隔定义的图案化应用。
实例6
ALD测试均使用(SiH3)2N-SiH2-N(SiH3)2进行,将(SiH3)2N-SiH2-N(SiH3)2置放于加热至26℃且以O2等离子体作为氧化反应物的安瓿中。使用典型的ALD条件,其中反应器压力固定于约9hPa。使用3向气动阀,经由在安瓿中的过压力而将三次0.1秒脉冲的前体蒸气引入沉积室中。通过0.5秒暂停来分隔0.1秒脉冲。4秒N2吹扫将任何过量的前体移除。16秒等离子体O2脉冲之后为3秒N2吹扫。重复执行完整方法(前体-等离子体O2-)直至厚度达到至少300埃。如图6所示,每个循环的生长量随着沉积温度自150℃升高至300℃而增加。图6还显示五次0.1秒脉冲相对于三次0.1秒脉冲的比较性每个循环生长量结果。两者均为约0.6埃/循环,表明真实的ALD饱和,原因在于经由5次脉冲引入的较大量的前体未使得生长速率比3次脉冲所制得的膜高。
在150℃,生长速率为约0.58埃/循环并且产生具有1.45折射率的膜。为了比较,试图使用纯TSA、在类似条件下通过ALD生长SiO2膜尚未产生任何膜,从而证明化学官能化有益于增强与表面羟基的反应性。
实例7
使用(SiH3)2N-SiH2-NEt2作为形成含硅膜的组合物10来进行ALD测试,该组合物置放于加热至70℃且以O2等离子体作为氧化反应物的安瓿中。使用典型的ALD条件,其中反应器50压力固定于约9hPa(1hPa=100Pa=1mbar)。使用3向气动阀51,经由在安瓿中过压力而将两次0.1秒脉冲的前体蒸气引入沉积室中。通过0.5秒暂停来分隔脉冲。4秒N2吹扫将任何过量的前体移除。16秒等离子体O2脉冲之后为3秒N2吹扫。重复执行该方法直至获得300埃的最小厚度。使用加热至150℃的基板进行沉积。通过增加前体脉冲次数以达到每个循环高于0.4秒的累积断开时间发现自限制ALD生长行为,如图7所示。
如在实例4中,在150℃下由(SiH3)2N-SiH2-NEt2生产的膜的约3.0埃/循环生长速率比分别在70℃和300℃下由SiH2(NEt2)2生产的1.42埃/循环和0.98埃/循环好得多。
实例8
使用(SiH3)2N-SiH2-Cl作为形成含硅膜的组合物10来进行ALD测试,该组合物置放于加热至30℃且以O2等离子体作为氧化反应物的安瓿中。(SiH3)2N-SiH2-Cl气体,分子量为141.78,在室温和标准压力下为液体,并且具有在标准压力下在约83℃与约85℃之间的沸点。
使用典型的ALD条件,其中反应器50压力固定于约9hPa(1hPa=100Pa=1mbar)。使用3向气动阀51,经由在安瓿中过压力而将两次0.1秒脉冲的前体蒸气引入沉积室中。通过0.5秒暂停来分隔0.1秒脉冲。4秒N2吹扫将任何过量的前体移除。16秒等离子体O2脉冲之后为3秒N2吹扫。重复执行该方法直至获得300埃的最小厚度。使用加热至100℃、150℃、200℃、250℃及300℃的基板进行沉积。如图8所示,每个循环的生长量随着沉积温度自100℃升高至300℃而保持稳定(即,约2埃/循环)。
在多个温度下以高沉积速率(约2埃/循环)沉积所得到的氧化硅膜。由于反应器中的温度梯度,当温度均匀性跨越基板(即,对于大的基板)或从一个基板到另一个基板难以维持时,这些结果是有益的。
膜的平均厚度跨越晶片也是均匀的。表2总结了所获得的膜均匀性。这些结果展示出非常好的膜均匀性。
1%不均匀性=[(最大厚度-最小厚度)/(2x平均值)]x 100
2σ=标准偏差
实例9
使用(SiH3)2N-SiH2-Cl和NH3在1托,550℃下,以及1:20的前体与NH3比率进行热低压CVD试验。图9是显示所得膜组成的X射线光电子能谱(XPS)图。Cl低于分析仪的检测极限(<约1原子%)。沉积速率为10埃/分钟并且所得膜的折射率(RI)为2.1。为了比较,相同条件下使用TSA所得的膜具有2.2的RI(富硅)及类似沉积速率。所得膜具有非常低的蚀刻速率(<20埃/分钟),这在半导体集成方案中是有益的。
实例10
在250℃下使用150mm晶片在与实例4-8中进行的ALD测试不同的ALD工具(即,CN1原子高级汽相沉积装置)上进行PE-ALD测试。(SiH3)2N-SiH2-Cl(TSA-Cl)和在25W和100W下的等离子体N2用于沉积SiN膜。
如图10所示,与BDEAS(双(二乙基氨基)硅烷)、TSA和参比热氧化物(Th-ox)相比,所得膜显示出非常低的湿式蚀刻速率。相对于热生长二氧化硅(热氧化物或Th-ox)的标准湿式蚀刻来描述蚀刻速率。
使用25W由TSA和TSA-Cl生产的SiN膜具有比参比材料Th-Ox更低的蚀刻速率。更低的蚀刻速率意味着从由TSA和TSA-Cl比Th-Ox生产的SiN膜去除更少的材料厚度(在相同的条件和参数下)。在100W下,由TSA-Cl生产的SiN膜的蚀刻速率产生与Th-Ox最接近的结果。
图11a和b是扫描电子显微镜(SEM)图像,其显示沉积在位于两个具有5:1纵横比的通孔之间的结构上的SiN膜的良好的步阶覆盖和共形性。
实例11
图12a及b为SEM图像,其显示使用TSA-NiPr2/O2等离子体、在60个循环/分钟、100℃下通过空间ALD所得的氧化硅膜,表明22:1高纵横比结构中存在完美的步阶覆盖。添加透明的Ta2O5下层用于对比增强。对沟槽结构的顶部(图12a)及底部(图12b)拍摄的图片。
实例12
图13a和b为显示分别通过热筛选单元所得的TSA-NiPr2和TSA-NEt2[(H3Si)2-N-SiH2-NiPr2和(H3Si)2-N-SiH2-NEt2]的热稳定性的图。在此类量测中,在24小时期间连续量测密封样品在设定温度(在此为65℃)下的压力。缺乏显著压力变化表明产物稳定性。所观测的P振荡在此并不显著且与微小的T变异体相关。
尽管已示出且描述了本发明的实施例,但本领域技术人员可在不脱离本发明的精神或传授内容的情况下对其进行修改。在此描述的实施例只是示例性的且是非限制性的。组成和方法的许多变化和修改是可能的且在本发明的范围内。因此,保护范围不限于在此所描述的实施例,而仅受随后的权利要求所限定,其范围应包括这些权利要求的主题的所有等效物。

Claims (16)

1.一种含硅和氮的膜的形成方法,该方法包括以下步骤:
通过将经单取代的TSA前体的蒸气和含氮反应物引入含有基板的反应器中将含硅和氮的膜沉积在该基板上,该经单取代的TSA前体具有式(SiH3)2N-SiH2-X,其中X是卤素原子或氨基[-NR2]并且每个R独立地选自下组,该组由以下各项组成:H;C1-C6烃基;或甲硅烷基[SiR’3],其中每个R'独立地选自H或C1-C6烃基。
2.如权利要求1所述的含硅和氮的膜的形成方法,其中X是Cl、Br或I。
3.如权利要求2所述的含硅和氮的膜的形成方法,其中X是Cl。
4.如权利要求1所述的含硅和氮的膜的形成方法,其中X是NiPr2
5.如权利要求1所述的含硅和氮的膜的形成方法,其中X是NEt2
6.如权利要求1所述的含硅和氮的膜的形成方法,其中X是N(SiH3)2
7.如权利要求1至6中任一项所述的含硅和氮的膜的形成方法,其中该含氮反应物选自下组,该组由以下各项组成:氨、N2、N原子、N自由基、N离子、饱和或不饱和肼、胺、二胺、乙醇胺、以及其组合。
8.如权利要求7所述的含硅和氮的膜的形成方法,其中该含氮反应物是NH3
9.如权利要求8所述的含硅和氮的膜的形成方法,其中将该经单取代的TSA前体和该含氮反应物同时引入该反应器中。
10.如权利要求7所述的含硅和氮的膜的形成方法,其中该含氮反应物是等离子体N2
11.如权利要求10所述的含硅和氮的膜的形成方法,其中将该经单取代的TSA前体和该含氮反应物依次地引入该反应器中。
12.一种氮化硅膜的ALD形成方法,该方法包括以下步骤:
通过将经单取代的TSA前体的蒸气和含氮反应物依次引入含有基板的反应器中将氮化硅膜沉积在该基板上,该氮化硅膜具有在从大约0.7至大约3.5范围内的归一化为热生长二氧化硅的从0.1%w/w HF的蚀刻速率,该经单取代的TSA前体具有式(SiH3)2N-SiH2-X,其中X是卤素原子或氨基[-NR2]并且每个R独立地选自下组,该组由以下各项组成:H或C1-C6烃基。
13.如权利要求12所述的氮化硅膜的ALD形成方法,其中该含氮反应物选自下组,该组由以下各项组成:氨、N2、N原子、N自由基、N离子、饱和或不饱和肼、胺、二胺、乙醇胺、以及其组合。
14.如权利要求13所述的氮化硅膜的ALD形成方法,其中该含氮反应物是等离子体N2
15.如权利要求12至14中任一项所述的氧化硅膜的ALD形成方法,其中X是Cl、Br或I。
16.如权利要求12至14中任一项所述的氧化硅膜的ALD形成方法,其中X是Cl。
CN201680029312.2A 2015-03-30 2016-06-10 用于形成含硅和氧的薄膜的汽相沉积方法 Active CN107667187B (zh)

Applications Claiming Priority (6)

Application Number Priority Date Filing Date Title
US201562140248P 2015-03-30 2015-03-30
US14/738,039 2015-06-12
US14/738,039 US9777025B2 (en) 2015-03-30 2015-06-12 Si-containing film forming precursors and methods of using the same
USPCT/US2016/025010 2016-03-30
PCT/US2016/025010 WO2016160990A1 (en) 2015-03-30 2016-03-30 Si-containing film forming compositions and methods of using the same
PCT/US2016/037013 WO2016201320A1 (en) 2015-03-30 2016-06-10 Vapor deposition processes for forming silicon- and nitrogen-containing thin films

Publications (2)

Publication Number Publication Date
CN107667187A true CN107667187A (zh) 2018-02-06
CN107667187B CN107667187B (zh) 2019-07-02

Family

ID=54929776

Family Applications (4)

Application Number Title Priority Date Filing Date
CN201680016301.0A Active CN107429389B (zh) 2015-03-30 2016-03-30 形成含硅膜的组合物及其使用方法
CN201911392214.7A Active CN111041456B (zh) 2015-03-30 2016-06-10 用于形成含硅和氧的薄膜的汽相沉积方法
CN201680029525.5A Active CN107636198B (zh) 2015-03-30 2016-06-10 用于形成含硅和氧的薄膜的汽相沉积方法
CN201680029312.2A Active CN107667187B (zh) 2015-03-30 2016-06-10 用于形成含硅和氧的薄膜的汽相沉积方法

Family Applications Before (3)

Application Number Title Priority Date Filing Date
CN201680016301.0A Active CN107429389B (zh) 2015-03-30 2016-03-30 形成含硅膜的组合物及其使用方法
CN201911392214.7A Active CN111041456B (zh) 2015-03-30 2016-06-10 用于形成含硅和氧的薄膜的汽相沉积方法
CN201680029525.5A Active CN107636198B (zh) 2015-03-30 2016-06-10 用于形成含硅和氧的薄膜的汽相沉积方法

Country Status (8)

Country Link
US (4) US9777025B2 (zh)
EP (1) EP3277698B1 (zh)
JP (5) JP6441498B2 (zh)
KR (4) KR102113412B1 (zh)
CN (4) CN107429389B (zh)
SG (2) SG11201707756WA (zh)
TW (3) TWI757922B (zh)
WO (3) WO2016160990A1 (zh)

Cited By (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN110872699A (zh) * 2018-08-29 2020-03-10 Asm Ip私人控股有限公司 成膜方法
CN112469846A (zh) * 2018-07-24 2021-03-09 朗姆研究公司 使用异质前体相互作用的硅碳化物膜的保形沉积
US11680315B2 (en) 2013-05-31 2023-06-20 Novellus Systems, Inc. Films of desired composition and film properties
US11848199B2 (en) 2018-10-19 2023-12-19 Lam Research Corporation Doped or undoped silicon carbide deposition and remote hydrogen plasma exposure for gapfill
US11894227B2 (en) 2012-06-12 2024-02-06 Novellus Systems, Inc. Conformal deposition of silicon carbide films

Families Citing this family (403)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US10378106B2 (en) 2008-11-14 2019-08-13 Asm Ip Holding B.V. Method of forming insulation film by modified PEALD
US9394608B2 (en) 2009-04-06 2016-07-19 Asm America, Inc. Semiconductor processing reactor and components thereof
US8802201B2 (en) 2009-08-14 2014-08-12 Asm America, Inc. Systems and methods for thin-film deposition of metal oxides using excited nitrogen-oxygen species
TWI509695B (zh) 2010-06-10 2015-11-21 Asm Int 使膜選擇性沈積於基板上的方法
US9312155B2 (en) 2011-06-06 2016-04-12 Asm Japan K.K. High-throughput semiconductor-processing apparatus equipped with multiple dual-chamber modules
US10364496B2 (en) 2011-06-27 2019-07-30 Asm Ip Holding B.V. Dual section module having shared and unshared mass flow controllers
US10854498B2 (en) 2011-07-15 2020-12-01 Asm Ip Holding B.V. Wafer-supporting device and method for producing same
US20130023129A1 (en) 2011-07-20 2013-01-24 Asm America, Inc. Pressure transmitter for a semiconductor processing environment
US9017481B1 (en) 2011-10-28 2015-04-28 Asm America, Inc. Process feed management for semiconductor substrate processing
US9112003B2 (en) 2011-12-09 2015-08-18 Asm International N.V. Selective formation of metallic films on metallic surfaces
US9558931B2 (en) 2012-07-27 2017-01-31 Asm Ip Holding B.V. System and method for gas-phase sulfur passivation of a semiconductor surface
US9659799B2 (en) 2012-08-28 2017-05-23 Asm Ip Holding B.V. Systems and methods for dynamic semiconductor process scheduling
US9021985B2 (en) 2012-09-12 2015-05-05 Asm Ip Holdings B.V. Process gas management for an inductively-coupled plasma deposition reactor
US10714315B2 (en) 2012-10-12 2020-07-14 Asm Ip Holdings B.V. Semiconductor reaction chamber showerhead
US20160376700A1 (en) 2013-02-01 2016-12-29 Asm Ip Holding B.V. System for treatment of deposition reactor
US9484191B2 (en) 2013-03-08 2016-11-01 Asm Ip Holding B.V. Pulsed remote plasma method and system
US9589770B2 (en) 2013-03-08 2017-03-07 Asm Ip Holding B.V. Method and systems for in-situ formation of intermediate reactive species
US8993054B2 (en) 2013-07-12 2015-03-31 Asm Ip Holding B.V. Method and system to reduce outgassing in a reaction chamber
CN105849221B (zh) 2013-09-27 2019-06-18 乔治洛德方法研究和开发液化空气有限公司 胺取代的三甲硅烷基胺和三-二甲硅烷基胺化合物
US9240412B2 (en) 2013-09-27 2016-01-19 Asm Ip Holding B.V. Semiconductor structure and device and methods of forming same using selective epitaxial process
US9605343B2 (en) 2013-11-13 2017-03-28 Asm Ip Holding B.V. Method for forming conformal carbon films, structures conformal carbon film, and system of forming same
US10804094B2 (en) 2016-05-06 2020-10-13 Applied Materials, Inc. Methods of depositing SiCON with C, O and N compositional control
US11549181B2 (en) 2013-11-22 2023-01-10 Applied Materials, Inc. Methods for atomic layer deposition of SiCO(N) using halogenated silylamides
TWI686499B (zh) 2014-02-04 2020-03-01 荷蘭商Asm Ip控股公司 金屬、金屬氧化物與介電質的選擇性沉積
US10683571B2 (en) 2014-02-25 2020-06-16 Asm Ip Holding B.V. Gas supply manifold and method of supplying gases to chamber using same
US10167557B2 (en) 2014-03-18 2019-01-01 Asm Ip Holding B.V. Gas distribution system, reactor including the system, and methods of using the same
US11015245B2 (en) 2014-03-19 2021-05-25 Asm Ip Holding B.V. Gas-phase reactor and system having exhaust plenum and components thereof
US10047435B2 (en) 2014-04-16 2018-08-14 Asm Ip Holding B.V. Dual selective deposition
US10858737B2 (en) 2014-07-28 2020-12-08 Asm Ip Holding B.V. Showerhead assembly and components thereof
US9890456B2 (en) 2014-08-21 2018-02-13 Asm Ip Holding B.V. Method and system for in situ formation of gas-phase compounds
US10941490B2 (en) 2014-10-07 2021-03-09 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
US9657845B2 (en) 2014-10-07 2017-05-23 Asm Ip Holding B.V. Variable conductance gas distribution apparatus and method
KR102332415B1 (ko) 2014-10-24 2021-12-01 버슘머트리얼즈 유에스, 엘엘씨 실리콘-함유 막을 증착시키기 위한 조성물 및 이를 사용하는 방법
KR102300403B1 (ko) 2014-11-19 2021-09-09 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
KR102263121B1 (ko) 2014-12-22 2021-06-09 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 및 그 제조 방법
US9490145B2 (en) 2015-02-23 2016-11-08 Asm Ip Holding B.V. Removal of surface passivation
US10529542B2 (en) 2015-03-11 2020-01-07 Asm Ip Holdings B.V. Cross-flow reactor and method
US10276355B2 (en) 2015-03-12 2019-04-30 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
US11124876B2 (en) * 2015-03-30 2021-09-21 L'Air Liquide, Société Anonyme pour l'Etude et l'Exploitation des Procédés Georges Claude Si-containing film forming precursors and methods of using the same
US9777025B2 (en) * 2015-03-30 2017-10-03 L'Air Liquide, Société pour l'Etude et l'Exploitation des Procédés Georges Claude Si-containing film forming precursors and methods of using the same
WO2016205196A2 (en) * 2015-06-16 2016-12-22 Air Products And Chemicals, Inc. Halidosilane compounds and compositions and processes for depositing silicon-containing films using same
US10458018B2 (en) 2015-06-26 2019-10-29 Asm Ip Holding B.V. Structures including metal carbide material, devices including the structures, and methods of forming same
US10600673B2 (en) 2015-07-07 2020-03-24 Asm Ip Holding B.V. Magnetic susceptor to baseplate seal
US10043661B2 (en) 2015-07-13 2018-08-07 Asm Ip Holding B.V. Method for protecting layer by forming hydrocarbon-based extremely thin film
US10083836B2 (en) 2015-07-24 2018-09-25 Asm Ip Holding B.V. Formation of boron-doped titanium metal films with high work function
US10428421B2 (en) 2015-08-03 2019-10-01 Asm Ip Holding B.V. Selective deposition on metal or metallic surfaces relative to dielectric surfaces
US10087525B2 (en) 2015-08-04 2018-10-02 Asm Ip Holding B.V. Variable gap hard stop design
US10566185B2 (en) 2015-08-05 2020-02-18 Asm Ip Holding B.V. Selective deposition of aluminum and nitrogen containing material
US10121699B2 (en) 2015-08-05 2018-11-06 Asm Ip Holding B.V. Selective deposition of aluminum and nitrogen containing material
US9647114B2 (en) 2015-08-14 2017-05-09 Asm Ip Holding B.V. Methods of forming highly p-type doped germanium tin films and structures and devices including the films
US20170051405A1 (en) * 2015-08-18 2017-02-23 Asm Ip Holding B.V. Method for forming sin or sicn film in trenches by peald
US9960072B2 (en) 2015-09-29 2018-05-01 Asm Ip Holding B.V. Variable adjustment for precise matching of multiple chamber cavity housings
US10343186B2 (en) 2015-10-09 2019-07-09 Asm Ip Holding B.V. Vapor phase deposition of organic films
US10814349B2 (en) 2015-10-09 2020-10-27 Asm Ip Holding B.V. Vapor phase deposition of organic films
US10695794B2 (en) 2015-10-09 2020-06-30 Asm Ip Holding B.V. Vapor phase deposition of organic films
US10211308B2 (en) 2015-10-21 2019-02-19 Asm Ip Holding B.V. NbMC layers
US10322384B2 (en) 2015-11-09 2019-06-18 Asm Ip Holding B.V. Counter flow mixer for process chamber
US9786492B2 (en) * 2015-11-12 2017-10-10 Asm Ip Holding B.V. Formation of SiOCN thin films
US9786491B2 (en) 2015-11-12 2017-10-10 Asm Ip Holding B.V. Formation of SiOCN thin films
JP7010825B2 (ja) * 2015-12-18 2022-01-26 ナタ セミコンダクター マテリアルズ カンパニー リミテッド オルガノアミノシランの製造方法、そのオルガノアミノシランからのシリルアミンの製造方法
US9627221B1 (en) 2015-12-28 2017-04-18 Asm Ip Holding B.V. Continuous process incorporating atomic layer etching
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
US10529554B2 (en) 2016-02-19 2020-01-07 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US10468251B2 (en) 2016-02-19 2019-11-05 Asm Ip Holding B.V. Method for forming spacers using silicon nitride film for spacer-defined multiple patterning
SG11201807211XA (en) * 2016-02-26 2018-09-27 Versum Materials Us Llc Compositions and methods using same for deposition of silicon-containing film
US9981286B2 (en) 2016-03-08 2018-05-29 Asm Ip Holding B.V. Selective formation of metal silicides
US10501866B2 (en) 2016-03-09 2019-12-10 Asm Ip Holding B.V. Gas distribution apparatus for improved film uniformity in an epitaxial system
US10343920B2 (en) 2016-03-18 2019-07-09 Asm Ip Holding B.V. Aligned carbon nanotubes
JP6478330B2 (ja) * 2016-03-18 2019-03-06 株式会社Kokusai Electric 半導体装置の製造方法、基板処理装置およびプログラム
US9892913B2 (en) 2016-03-24 2018-02-13 Asm Ip Holding B.V. Radial and thickness control via biased multi-port injection settings
US20170298503A1 (en) * 2016-04-18 2017-10-19 Asm Ip Holding B.V. Combined anneal and selective deposition systems
US10204782B2 (en) 2016-04-18 2019-02-12 Imec Vzw Combined anneal and selective deposition process
CN109314045B (zh) 2016-04-18 2023-08-04 Asm Ip 控股有限公司 于基底上形成定向自组装层的方法
US10087522B2 (en) 2016-04-21 2018-10-02 Asm Ip Holding B.V. Deposition of metal borides
US10865475B2 (en) 2016-04-21 2020-12-15 Asm Ip Holding B.V. Deposition of metal borides and silicides
US10190213B2 (en) 2016-04-21 2019-01-29 Asm Ip Holding B.V. Deposition of metal borides
US10032628B2 (en) 2016-05-02 2018-07-24 Asm Ip Holding B.V. Source/drain performance through conformal solid state doping
US10367080B2 (en) 2016-05-02 2019-07-30 Asm Ip Holding B.V. Method of forming a germanium oxynitride film
US11081342B2 (en) 2016-05-05 2021-08-03 Asm Ip Holding B.V. Selective deposition using hydrophobic precursors
KR102378021B1 (ko) * 2016-05-06 2022-03-23 에이에스엠 아이피 홀딩 비.브이. SiOC 박막의 형성
KR102592471B1 (ko) 2016-05-17 2023-10-20 에이에스엠 아이피 홀딩 비.브이. 금속 배선 형성 방법 및 이를 이용한 반도체 장치의 제조 방법
US10384944B2 (en) * 2016-05-19 2019-08-20 L'Air Liquide, Société Anonyme pour l'Etude et l'Exploitation des Procédés Georges Claude Preparation of Si—H containing iodosilanes via halide exchange reaction
US11453943B2 (en) 2016-05-25 2022-09-27 Asm Ip Holding B.V. Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor
US10453701B2 (en) 2016-06-01 2019-10-22 Asm Ip Holding B.V. Deposition of organic films
US10373820B2 (en) 2016-06-01 2019-08-06 Asm Ip Holding B.V. Deposition of organic films
US10014212B2 (en) 2016-06-08 2018-07-03 Asm Ip Holding B.V. Selective deposition of metallic films
US9803277B1 (en) 2016-06-08 2017-10-31 Asm Ip Holding B.V. Reaction chamber passivation and selective deposition of metallic films
US10388509B2 (en) 2016-06-28 2019-08-20 Asm Ip Holding B.V. Formation of epitaxial layers via dislocation filtering
US10612137B2 (en) 2016-07-08 2020-04-07 Asm Ip Holdings B.V. Organic reactants for atomic layer deposition
US9859151B1 (en) 2016-07-08 2018-01-02 Asm Ip Holding B.V. Selective film deposition method to form air gaps
US9793135B1 (en) 2016-07-14 2017-10-17 ASM IP Holding B.V Method of cyclic dry etching using etchant film
US10714385B2 (en) 2016-07-19 2020-07-14 Asm Ip Holding B.V. Selective deposition of tungsten
KR102354490B1 (ko) 2016-07-27 2022-01-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
US9887082B1 (en) 2016-07-28 2018-02-06 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10395919B2 (en) 2016-07-28 2019-08-27 Asm Ip Holding B.V. Method and apparatus for filling a gap
US9812320B1 (en) 2016-07-28 2017-11-07 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10177025B2 (en) 2016-07-28 2019-01-08 Asm Ip Holding B.V. Method and apparatus for filling a gap
KR102532607B1 (ko) 2016-07-28 2023-05-15 에이에스엠 아이피 홀딩 비.브이. 기판 가공 장치 및 그 동작 방법
US10090316B2 (en) 2016-09-01 2018-10-02 Asm Ip Holding B.V. 3D stacked multilayer semiconductor memory using doped select transistor channel
US10410943B2 (en) 2016-10-13 2019-09-10 Asm Ip Holding B.V. Method for passivating a surface of a semiconductor and related systems
US10464953B2 (en) 2016-10-14 2019-11-05 Versum Materials Us, Llc Carbon bridged aminosilane compounds for high growth rate silicon-containing films
US10643826B2 (en) 2016-10-26 2020-05-05 Asm Ip Holdings B.V. Methods for thermally calibrating reaction chambers
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
US10435790B2 (en) 2016-11-01 2019-10-08 Asm Ip Holding B.V. Method of subatmospheric plasma-enhanced ALD using capacitively coupled electrodes with narrow gap
US10714350B2 (en) 2016-11-01 2020-07-14 ASM IP Holdings, B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10229833B2 (en) 2016-11-01 2019-03-12 Asm Ip Holding B.V. Methods for forming a transition metal nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10643904B2 (en) 2016-11-01 2020-05-05 Asm Ip Holdings B.V. Methods for forming a semiconductor device and related semiconductor device structures
US11735413B2 (en) * 2016-11-01 2023-08-22 Versum Materials Us, Llc Precursors and flowable CVD methods for making low-k films to fill surface features
US10134757B2 (en) 2016-11-07 2018-11-20 Asm Ip Holding B.V. Method of processing a substrate and a device manufactured by using the method
KR102546317B1 (ko) 2016-11-15 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기체 공급 유닛 및 이를 포함하는 기판 처리 장치
US10340135B2 (en) 2016-11-28 2019-07-02 Asm Ip Holding B.V. Method of topologically restricted plasma-enhanced cyclic deposition of silicon or metal nitride
US11430656B2 (en) 2016-11-29 2022-08-30 Asm Ip Holding B.V. Deposition of oxide thin films
US10186420B2 (en) 2016-11-29 2019-01-22 Asm Ip Holding B.V. Formation of silicon-containing thin films
US10647578B2 (en) 2016-12-11 2020-05-12 L'Air Liquide, Société Anonyme pour l'Etude et l'Exploitation des Procédés Georges Claude N—H free and SI-rich per-hydridopolysilzane compositions, their synthesis, and applications
US10192734B2 (en) * 2016-12-11 2019-01-29 L'Air Liquide, Société Anonyme pour l'Etude et l'Exploration des Procédés Georges Claude Short inorganic trisilylamine-based polysilazanes for thin film deposition
KR20180068582A (ko) 2016-12-14 2018-06-22 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11581186B2 (en) 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
US9916980B1 (en) 2016-12-15 2018-03-13 Asm Ip Holding B.V. Method of forming a structure on a substrate
US11447861B2 (en) 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
KR20180070971A (ko) 2016-12-19 2018-06-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10269558B2 (en) 2016-12-22 2019-04-23 Asm Ip Holding B.V. Method of forming a structure on a substrate
US10867788B2 (en) 2016-12-28 2020-12-15 Asm Ip Holding B.V. Method of forming a structure on a substrate
US11390950B2 (en) 2017-01-10 2022-07-19 Asm Ip Holding B.V. Reactor system and method to reduce residue buildup during a film deposition process
TWI700750B (zh) * 2017-01-24 2020-08-01 美商應用材料股份有限公司 用於介電薄膜的選擇性沉積之方法及設備
US10049882B1 (en) 2017-01-25 2018-08-14 Samsung Electronics Co., Ltd. Method for fabricating semiconductor device including forming a dielectric layer on a structure having a height difference using ALD
JP6857503B2 (ja) * 2017-02-01 2021-04-14 株式会社Kokusai Electric 半導体装置の製造方法、基板処理装置およびプログラム
US10822458B2 (en) 2017-02-08 2020-11-03 Versum Materials Us, Llc Organoamino-functionalized linear and cyclic oligosiloxanes for deposition of silicon-containing films
US11591692B2 (en) 2017-02-08 2023-02-28 Versum Materials Us, Llc Organoamino-polysiloxanes for deposition of silicon-containing films
US10655221B2 (en) 2017-02-09 2020-05-19 Asm Ip Holding B.V. Method for depositing oxide film by thermal ALD and PEALD
JP7169072B2 (ja) 2017-02-14 2022-11-10 エーエスエム アイピー ホールディング ビー.ブイ. 選択的パッシベーションおよび選択的堆積
US10468261B2 (en) 2017-02-15 2019-11-05 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
US10283353B2 (en) 2017-03-29 2019-05-07 Asm Ip Holding B.V. Method of reforming insulating film deposited on substrate with recess pattern
US10529563B2 (en) 2017-03-29 2020-01-07 Asm Ip Holdings B.V. Method for forming doped metal oxide films on a substrate by cyclical deposition and related semiconductor device structures
US10103040B1 (en) 2017-03-31 2018-10-16 Asm Ip Holding B.V. Apparatus and method for manufacturing a semiconductor device
USD830981S1 (en) 2017-04-07 2018-10-16 Asm Ip Holding B.V. Susceptor for semiconductor substrate processing apparatus
US10847529B2 (en) 2017-04-13 2020-11-24 Asm Ip Holding B.V. Substrate processing method and device manufactured by the same
KR102548405B1 (ko) * 2017-04-20 2023-06-28 (주)디엔에프 다이실릴아민 화합물을 포함하는 실리콘 함유 박막증착용 조성물 및 이를 이용하는 실리콘 함유 박막의 제조방법
KR102457289B1 (ko) 2017-04-25 2022-10-21 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10504901B2 (en) 2017-04-26 2019-12-10 Asm Ip Holding B.V. Substrate processing method and device manufactured using the same
US11158500B2 (en) 2017-05-05 2021-10-26 Asm Ip Holding B.V. Plasma enhanced deposition processes for controlled formation of oxygen containing thin films
US11501965B2 (en) 2017-05-05 2022-11-15 Asm Ip Holding B.V. Plasma enhanced deposition processes for controlled formation of metal oxide thin films
US10770286B2 (en) 2017-05-08 2020-09-08 Asm Ip Holdings B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
US10892156B2 (en) 2017-05-08 2021-01-12 Asm Ip Holding B.V. Methods for forming a silicon nitride film on a substrate and related semiconductor device structures
US10446393B2 (en) 2017-05-08 2019-10-15 Asm Ip Holding B.V. Methods for forming silicon-containing epitaxial layers and related semiconductor device structures
WO2018213018A1 (en) 2017-05-16 2018-11-22 Asm Ip Holding B.V. Selective peald of oxide on dielectric
US11177127B2 (en) 2017-05-24 2021-11-16 Versum Materials Us, Llc Functionalized cyclosilazanes as precursors for high growth rate silicon-containing films
US10504742B2 (en) 2017-05-31 2019-12-10 Asm Ip Holding B.V. Method of atomic layer etching using hydrogen plasma
US10886123B2 (en) 2017-06-02 2021-01-05 Asm Ip Holding B.V. Methods for forming low temperature semiconductor layers and related semiconductor device structures
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
US10685834B2 (en) 2017-07-05 2020-06-16 Asm Ip Holdings B.V. Methods for forming a silicon germanium tin layer and related semiconductor device structures
KR102334832B1 (ko) * 2017-07-13 2021-12-06 가부시키가이샤 코쿠사이 엘렉트릭 반도체 장치의 제조 방법, 기판 처리 장치 및 프로그램
US10900120B2 (en) 2017-07-14 2021-01-26 Asm Ip Holding B.V. Passivation against vapor deposition
KR20190009245A (ko) 2017-07-18 2019-01-28 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 구조물 형성 방법 및 관련된 반도체 소자 구조물
US11018002B2 (en) 2017-07-19 2021-05-25 Asm Ip Holding B.V. Method for selectively depositing a Group IV semiconductor and related semiconductor device structures
US10541333B2 (en) 2017-07-19 2020-01-21 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US11374112B2 (en) 2017-07-19 2022-06-28 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US10605530B2 (en) 2017-07-26 2020-03-31 Asm Ip Holding B.V. Assembly of a liner and a flange for a vertical furnace as well as the liner and the vertical furnace
US10590535B2 (en) 2017-07-26 2020-03-17 Asm Ip Holdings B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US10312055B2 (en) 2017-07-26 2019-06-04 Asm Ip Holding B.V. Method of depositing film by PEALD using negative bias
US10770336B2 (en) 2017-08-08 2020-09-08 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US10692741B2 (en) 2017-08-08 2020-06-23 Asm Ip Holdings B.V. Radiation shield
US10249524B2 (en) 2017-08-09 2019-04-02 Asm Ip Holding B.V. Cassette holder assembly for a substrate cassette and holding member for use in such assembly
US11139191B2 (en) 2017-08-09 2021-10-05 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US10236177B1 (en) 2017-08-22 2019-03-19 ASM IP Holding B.V.. Methods for depositing a doped germanium tin semiconductor and related semiconductor device structures
USD900036S1 (en) 2017-08-24 2020-10-27 Asm Ip Holding B.V. Heater electrical connector and adapter
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
US11056344B2 (en) 2017-08-30 2021-07-06 Asm Ip Holding B.V. Layer forming method
KR102491945B1 (ko) 2017-08-30 2023-01-26 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR102401446B1 (ko) 2017-08-31 2022-05-24 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10607895B2 (en) 2017-09-18 2020-03-31 Asm Ip Holdings B.V. Method for forming a semiconductor device structure comprising a gate fill metal
US11049714B2 (en) * 2017-09-19 2021-06-29 Versum Materials Us, Llc Silyl substituted organoamines as precursors for high growth rate silicon-containing films
KR102630301B1 (ko) 2017-09-21 2024-01-29 에이에스엠 아이피 홀딩 비.브이. 침투성 재료의 순차 침투 합성 방법 처리 및 이를 이용하여 형성된 구조물 및 장치
US10844484B2 (en) 2017-09-22 2020-11-24 Asm Ip Holding B.V. Apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US10658205B2 (en) 2017-09-28 2020-05-19 Asm Ip Holdings B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
US10403504B2 (en) 2017-10-05 2019-09-03 Asm Ip Holding B.V. Method for selectively depositing a metallic film on a substrate
US10319588B2 (en) 2017-10-10 2019-06-11 Asm Ip Holding B.V. Method for depositing a metal chalcogenide on a substrate by cyclical deposition
US10923344B2 (en) 2017-10-30 2021-02-16 Asm Ip Holding B.V. Methods for forming a semiconductor structure and related semiconductor structures
KR102443047B1 (ko) 2017-11-16 2022-09-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 방법 및 그에 의해 제조된 장치
US10910262B2 (en) 2017-11-16 2021-02-02 Asm Ip Holding B.V. Method of selectively depositing a capping layer structure on a semiconductor device structure
US20190157213A1 (en) * 2017-11-20 2019-05-23 Globalfoundries Inc. Semiconductor structure with substantially straight contact profile
US11022879B2 (en) 2017-11-24 2021-06-01 Asm Ip Holding B.V. Method of forming an enhanced unexposed photoresist layer
JP7206265B2 (ja) 2017-11-27 2023-01-17 エーエスエム アイピー ホールディング ビー.ブイ. クリーン・ミニエンバイロメントを備える装置
TWI779134B (zh) 2017-11-27 2022-10-01 荷蘭商Asm智慧財產控股私人有限公司 用於儲存晶圓匣的儲存裝置及批爐總成
US10991573B2 (en) 2017-12-04 2021-04-27 Asm Ip Holding B.V. Uniform deposition of SiOC on dielectric and metal surfaces
US10290508B1 (en) 2017-12-05 2019-05-14 Asm Ip Holding B.V. Method for forming vertical spacers for spacer-defined patterning
US10872771B2 (en) 2018-01-16 2020-12-22 Asm Ip Holding B. V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
CN111630203A (zh) 2018-01-19 2020-09-04 Asm Ip私人控股有限公司 通过等离子体辅助沉积来沉积间隙填充层的方法
TWI799494B (zh) 2018-01-19 2023-04-21 荷蘭商Asm 智慧財產控股公司 沈積方法
USD903477S1 (en) 2018-01-24 2020-12-01 Asm Ip Holdings B.V. Metal clamp
US11018047B2 (en) 2018-01-25 2021-05-25 Asm Ip Holding B.V. Hybrid lift pin
US10535516B2 (en) 2018-02-01 2020-01-14 Asm Ip Holdings B.V. Method for depositing a semiconductor structure on a surface of a substrate and related semiconductor structures
USD880437S1 (en) 2018-02-01 2020-04-07 Asm Ip Holding B.V. Gas supply plate for semiconductor manufacturing apparatus
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
US10896820B2 (en) 2018-02-14 2021-01-19 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
KR102657269B1 (ko) 2018-02-14 2024-04-16 에이에스엠 아이피 홀딩 비.브이. 주기적 증착 공정에 의해 기판 상에 루테늄-함유 막을 증착하는 방법
US10731249B2 (en) 2018-02-15 2020-08-04 Asm Ip Holding B.V. Method of forming a transition metal containing film on a substrate by a cyclical deposition process, a method for supplying a transition metal halide compound to a reaction chamber, and related vapor deposition apparatus
KR102636427B1 (ko) 2018-02-20 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 장치
US10658181B2 (en) 2018-02-20 2020-05-19 Asm Ip Holding B.V. Method of spacer-defined direct patterning in semiconductor fabrication
TWI793262B (zh) * 2018-02-21 2023-02-21 法商液態空氣喬治斯克勞帝方法研究開發股份有限公司 全氫聚矽氮烷組成物和用於使用其形成氮化物膜之方法
SG11202007789UA (en) * 2018-02-21 2020-09-29 Air Liquide Perhydropolysilazane compositions and methods for forming oxide films using same
US10975470B2 (en) 2018-02-23 2021-04-13 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
US11629406B2 (en) 2018-03-09 2023-04-18 Asm Ip Holding B.V. Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate
US11114283B2 (en) 2018-03-16 2021-09-07 Asm Ip Holding B.V. Reactor, system including the reactor, and methods of manufacturing and using same
KR102646467B1 (ko) 2018-03-27 2024-03-11 에이에스엠 아이피 홀딩 비.브이. 기판 상에 전극을 형성하는 방법 및 전극을 포함하는 반도체 소자 구조
US11230766B2 (en) 2018-03-29 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
US11088002B2 (en) 2018-03-29 2021-08-10 Asm Ip Holding B.V. Substrate rack and a substrate processing system and method
US10510536B2 (en) 2018-03-29 2019-12-17 Asm Ip Holding B.V. Method of depositing a co-doped polysilicon film on a surface of a substrate within a reaction chamber
KR102501472B1 (ko) 2018-03-30 2023-02-20 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
SG10201903201XA (en) 2018-04-11 2019-11-28 Versum Materials Us Llc Organoamino-functionalized cyclic oligosiloxanes for deposition of silicon-containing films
JP7146690B2 (ja) 2018-05-02 2022-10-04 エーエスエム アイピー ホールディング ビー.ブイ. 堆積および除去を使用した選択的層形成
KR20190128558A (ko) 2018-05-08 2019-11-18 에이에스엠 아이피 홀딩 비.브이. 기판 상에 산화물 막을 주기적 증착 공정에 의해 증착하기 위한 방법 및 관련 소자 구조
US12025484B2 (en) 2018-05-08 2024-07-02 Asm Ip Holding B.V. Thin film forming method
KR20190129718A (ko) 2018-05-11 2019-11-20 에이에스엠 아이피 홀딩 비.브이. 기판 상에 피도핑 금속 탄화물 막을 형성하는 방법 및 관련 반도체 소자 구조
KR102596988B1 (ko) 2018-05-28 2023-10-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 그에 의해 제조된 장치
US11270899B2 (en) 2018-06-04 2022-03-08 Asm Ip Holding B.V. Wafer handling chamber with moisture reduction
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
US11286562B2 (en) 2018-06-08 2022-03-29 Asm Ip Holding B.V. Gas-phase chemical reactor and method of using same
US10797133B2 (en) 2018-06-21 2020-10-06 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
KR102568797B1 (ko) 2018-06-21 2023-08-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 시스템
TWI819010B (zh) 2018-06-27 2023-10-21 荷蘭商Asm Ip私人控股有限公司 用於形成含金屬材料及包含含金屬材料的膜及結構之循環沉積方法
WO2020003000A1 (en) 2018-06-27 2020-01-02 Asm Ip Holding B.V. Cyclic deposition methods for forming metal-containing material and films and structures including the metal-containing material
US10612136B2 (en) 2018-06-29 2020-04-07 ASM IP Holding, B.V. Temperature-controlled flange and reactor system including same
TWI751420B (zh) 2018-06-29 2022-01-01 荷蘭商Asm知識產權私人控股有限公司 薄膜沉積方法
US10755922B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10388513B1 (en) 2018-07-03 2019-08-20 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10767789B2 (en) 2018-07-16 2020-09-08 Asm Ip Holding B.V. Diaphragm valves, valve components, and methods for forming valve components
US10483099B1 (en) 2018-07-26 2019-11-19 Asm Ip Holding B.V. Method for forming thermally stable organosilicon polymer film
US11053591B2 (en) 2018-08-06 2021-07-06 Asm Ip Holding B.V. Multi-port gas injection system and reactor system including same
US10883175B2 (en) 2018-08-09 2021-01-05 Asm Ip Holding B.V. Vertical furnace for processing substrates and a liner for use therein
US10829852B2 (en) 2018-08-16 2020-11-10 Asm Ip Holding B.V. Gas distribution device for a wafer processing apparatus
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
KR20200030162A (ko) 2018-09-11 2020-03-20 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
US11024523B2 (en) 2018-09-11 2021-06-01 Asm Ip Holding B.V. Substrate processing apparatus and method
US11049751B2 (en) 2018-09-14 2021-06-29 Asm Ip Holding B.V. Cassette supply system to store and handle cassettes and processing apparatus equipped therewith
CN110970344A (zh) 2018-10-01 2020-04-07 Asm Ip控股有限公司 衬底保持设备、包含所述设备的系统及其使用方法
JP2020056104A (ja) 2018-10-02 2020-04-09 エーエスエム アイピー ホールディング ビー.ブイ. 選択的パッシベーションおよび選択的堆積
US11232963B2 (en) 2018-10-03 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102592699B1 (ko) 2018-10-08 2023-10-23 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 박막 증착 장치와 기판 처리 장치
US10847365B2 (en) 2018-10-11 2020-11-24 Asm Ip Holding B.V. Method of forming conformal silicon carbide film by cyclic CVD
US10811256B2 (en) 2018-10-16 2020-10-20 Asm Ip Holding B.V. Method for etching a carbon-containing feature
KR102546322B1 (ko) 2018-10-19 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
KR102605121B1 (ko) 2018-10-19 2023-11-23 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
USD948463S1 (en) 2018-10-24 2022-04-12 Asm Ip Holding B.V. Susceptor for semiconductor substrate supporting apparatus
US10381219B1 (en) 2018-10-25 2019-08-13 Asm Ip Holding B.V. Methods for forming a silicon nitride film
US11087997B2 (en) 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
KR20200051105A (ko) 2018-11-02 2020-05-13 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 기판 처리 장치
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US11031242B2 (en) 2018-11-07 2021-06-08 Asm Ip Holding B.V. Methods for depositing a boron doped silicon germanium film
US10818758B2 (en) 2018-11-16 2020-10-27 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US10847366B2 (en) 2018-11-16 2020-11-24 Asm Ip Holding B.V. Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process
US10559458B1 (en) 2018-11-26 2020-02-11 Asm Ip Holding B.V. Method of forming oxynitride film
CN109487233A (zh) * 2018-11-27 2019-03-19 合肥安德科铭半导体科技有限公司 一种氧化硅薄膜的低温制备方法
US11217444B2 (en) 2018-11-30 2022-01-04 Asm Ip Holding B.V. Method for forming an ultraviolet radiation responsive metal oxide-containing film
KR102636428B1 (ko) 2018-12-04 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치를 세정하는 방법
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
JP7504584B2 (ja) 2018-12-14 2024-06-24 エーエスエム・アイピー・ホールディング・ベー・フェー 窒化ガリウムの選択的堆積を用いてデバイス構造体を形成する方法及びそのためのシステム
WO2020131635A1 (en) * 2018-12-21 2020-06-25 K.K. Air Liquide Laboratories PRECURSORS AND PROCESSES FOR DEPOSITION OF SI-CONTAINING FILMS USING ALD AT TEMPERATURE OF 550ºC OR HIGHER
TWI819180B (zh) 2019-01-17 2023-10-21 荷蘭商Asm 智慧財產控股公司 藉由循環沈積製程於基板上形成含過渡金屬膜之方法
KR20200091543A (ko) 2019-01-22 2020-07-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
CN111524788B (zh) 2019-02-01 2023-11-24 Asm Ip私人控股有限公司 氧化硅的拓扑选择性膜形成的方法
WO2020163359A1 (en) 2019-02-05 2020-08-13 Versum Materials Us, Llc Deposition of carbon doped silicon oxide
JP2020136678A (ja) 2019-02-20 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材表面内に形成された凹部を充填するための方法および装置
KR102626263B1 (ko) 2019-02-20 2024-01-16 에이에스엠 아이피 홀딩 비.브이. 처리 단계를 포함하는 주기적 증착 방법 및 이를 위한 장치
JP7509548B2 (ja) 2019-02-20 2024-07-02 エーエスエム・アイピー・ホールディング・ベー・フェー 基材表面内に形成された凹部を充填するための周期的堆積方法および装置
US11482533B2 (en) 2019-02-20 2022-10-25 Asm Ip Holding B.V. Apparatus and methods for plug fill deposition in 3-D NAND applications
JP2020133004A (ja) 2019-02-22 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材を処理するための基材処理装置および方法
KR20200108242A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. 실리콘 질화물 층을 선택적으로 증착하는 방법, 및 선택적으로 증착된 실리콘 질화물 층을 포함하는 구조체
KR20200108248A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOCN 층을 포함한 구조체 및 이의 형성 방법
KR20200108243A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOC 층을 포함한 구조체 및 이의 형성 방법
JP2020167398A (ja) 2019-03-28 2020-10-08 エーエスエム・アイピー・ホールディング・ベー・フェー ドアオープナーおよびドアオープナーが提供される基材処理装置
KR20200116855A (ko) 2019-04-01 2020-10-13 에이에스엠 아이피 홀딩 비.브이. 반도체 소자를 제조하는 방법
US20210017198A1 (en) 2019-04-05 2021-01-21 Versum Materials Us, Llc Organoamino-Functionalized Cyclic Oligosiloxanes For Deposition Of Silicon-Containing Films
US11965238B2 (en) 2019-04-12 2024-04-23 Asm Ip Holding B.V. Selective deposition of metal oxides on metal surfaces
KR20200123380A (ko) 2019-04-19 2020-10-29 에이에스엠 아이피 홀딩 비.브이. 층 형성 방법 및 장치
KR20200125453A (ko) 2019-04-24 2020-11-04 에이에스엠 아이피 홀딩 비.브이. 기상 반응기 시스템 및 이를 사용하는 방법
KR20200130121A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 딥 튜브가 있는 화학물질 공급원 용기
KR20200130118A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 비정질 탄소 중합체 막을 개질하는 방법
KR20200130652A (ko) 2019-05-10 2020-11-19 에이에스엠 아이피 홀딩 비.브이. 표면 상에 재료를 증착하는 방법 및 본 방법에 따라 형성된 구조
JP2020188254A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
JP2020188255A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
USD935572S1 (en) 2019-05-24 2021-11-09 Asm Ip Holding B.V. Gas channel plate
USD922229S1 (en) 2019-06-05 2021-06-15 Asm Ip Holding B.V. Device for controlling a temperature of a gas supply unit
KR20200141002A (ko) 2019-06-06 2020-12-17 에이에스엠 아이피 홀딩 비.브이. 배기 가스 분석을 포함한 기상 반응기 시스템을 사용하는 방법
KR20200143254A (ko) 2019-06-11 2020-12-23 에이에스엠 아이피 홀딩 비.브이. 개질 가스를 사용하여 전자 구조를 형성하는 방법, 상기 방법을 수행하기 위한 시스템, 및 상기 방법을 사용하여 형성되는 구조
USD944946S1 (en) 2019-06-14 2022-03-01 Asm Ip Holding B.V. Shower plate
USD931978S1 (en) 2019-06-27 2021-09-28 Asm Ip Holding B.V. Showerhead vacuum transport
KR20210005515A (ko) 2019-07-03 2021-01-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치용 온도 제어 조립체 및 이를 사용하는 방법
JP7499079B2 (ja) 2019-07-09 2024-06-13 エーエスエム・アイピー・ホールディング・ベー・フェー 同軸導波管を用いたプラズマ装置、基板処理方法
CN112216646A (zh) 2019-07-10 2021-01-12 Asm Ip私人控股有限公司 基板支撑组件及包括其的基板处理装置
KR20210010307A (ko) 2019-07-16 2021-01-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210010820A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 실리콘 게르마늄 구조를 형성하는 방법
KR20210010816A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 라디칼 보조 점화 플라즈마 시스템 및 방법
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
TWI839544B (zh) 2019-07-19 2024-04-21 荷蘭商Asm Ip私人控股有限公司 形成形貌受控的非晶碳聚合物膜之方法
TW202113936A (zh) 2019-07-29 2021-04-01 荷蘭商Asm Ip私人控股有限公司 用於利用n型摻雜物及/或替代摻雜物選擇性沉積以達成高摻雜物併入之方法
CN112309899A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
CN112309900A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
KR20210018759A (ko) 2019-08-05 2021-02-18 에이에스엠 아이피 홀딩 비.브이. 화학물질 공급원 용기를 위한 액체 레벨 센서
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
JP2021031769A (ja) 2019-08-21 2021-03-01 エーエスエム アイピー ホールディング ビー.ブイ. 成膜原料混合ガス生成装置及び成膜装置
USD930782S1 (en) 2019-08-22 2021-09-14 Asm Ip Holding B.V. Gas distributor
USD940837S1 (en) 2019-08-22 2022-01-11 Asm Ip Holding B.V. Electrode
USD949319S1 (en) 2019-08-22 2022-04-19 Asm Ip Holding B.V. Exhaust duct
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
KR20210024423A (ko) 2019-08-22 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 홀을 구비한 구조체를 형성하기 위한 방법
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
KR20210024420A (ko) 2019-08-23 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 비스(디에틸아미노)실란을 사용하여 peald에 의해 개선된 품질을 갖는 실리콘 산화물 막을 증착하기 위한 방법
US11186909B2 (en) * 2019-08-26 2021-11-30 Applied Materials, Inc. Methods of depositing low-K films
KR102138149B1 (ko) * 2019-08-29 2020-07-27 솔브레인 주식회사 박막 형성용 성장 억제제, 이를 이용한 박막 형성 방법 및 이로부터 제조된 반도체 기판
KR20210029090A (ko) 2019-09-04 2021-03-15 에이에스엠 아이피 홀딩 비.브이. 희생 캡핑 층을 이용한 선택적 증착 방법
KR20210029663A (ko) 2019-09-05 2021-03-16 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
US11164776B2 (en) 2019-09-30 2021-11-02 International Business Machines Corporation Metallic interconnect structure
CN112593212B (zh) 2019-10-02 2023-12-22 Asm Ip私人控股有限公司 通过循环等离子体增强沉积工艺形成拓扑选择性氧化硅膜的方法
CN112635282A (zh) 2019-10-08 2021-04-09 Asm Ip私人控股有限公司 具有连接板的基板处理装置、基板处理方法
KR20210042810A (ko) 2019-10-08 2021-04-20 에이에스엠 아이피 홀딩 비.브이. 활성 종을 이용하기 위한 가스 분배 어셈블리를 포함한 반응기 시스템 및 이를 사용하는 방법
KR20210043460A (ko) 2019-10-10 2021-04-21 에이에스엠 아이피 홀딩 비.브이. 포토레지스트 하부층을 형성하기 위한 방법 및 이를 포함한 구조체
US12009241B2 (en) 2019-10-14 2024-06-11 Asm Ip Holding B.V. Vertical batch furnace assembly with detector to detect cassette
TWI834919B (zh) 2019-10-16 2024-03-11 荷蘭商Asm Ip私人控股有限公司 氧化矽之拓撲選擇性膜形成之方法
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
KR20210047808A (ko) 2019-10-21 2021-04-30 에이에스엠 아이피 홀딩 비.브이. 막을 선택적으로 에칭하기 위한 장치 및 방법
KR20210050453A (ko) 2019-10-25 2021-05-07 에이에스엠 아이피 홀딩 비.브이. 기판 표면 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
US11139163B2 (en) 2019-10-31 2021-10-05 Asm Ip Holding B.V. Selective deposition of SiOC thin films
KR20210054983A (ko) 2019-11-05 2021-05-14 에이에스엠 아이피 홀딩 비.브이. 도핑된 반도체 층을 갖는 구조체 및 이를 형성하기 위한 방법 및 시스템
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
KR20210062561A (ko) 2019-11-20 2021-05-31 에이에스엠 아이피 홀딩 비.브이. 기판의 표면 상에 탄소 함유 물질을 증착하는 방법, 상기 방법을 사용하여 형성된 구조물, 및 상기 구조물을 형성하기 위한 시스템
US11450529B2 (en) 2019-11-26 2022-09-20 Asm Ip Holding B.V. Methods for selectively forming a target film on a substrate comprising a first dielectric surface and a second metallic surface
CN112951697A (zh) 2019-11-26 2021-06-11 Asm Ip私人控股有限公司 基板处理设备
CN112885692A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
CN112885693A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
JP2021090042A (ja) 2019-12-02 2021-06-10 エーエスエム アイピー ホールディング ビー.ブイ. 基板処理装置、基板処理方法
KR20210070898A (ko) 2019-12-04 2021-06-15 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
CN112992667A (zh) 2019-12-17 2021-06-18 Asm Ip私人控股有限公司 形成氮化钒层的方法和包括氮化钒层的结构
US11527403B2 (en) 2019-12-19 2022-12-13 Asm Ip Holding B.V. Methods for filling a gap feature on a substrate surface and related semiconductor structures
TW202140135A (zh) 2020-01-06 2021-11-01 荷蘭商Asm Ip私人控股有限公司 氣體供應總成以及閥板總成
US11993847B2 (en) 2020-01-08 2024-05-28 Asm Ip Holding B.V. Injector
US20210225634A1 (en) * 2020-01-17 2021-07-22 Asm Ip Holding B.V. FORMATION OF SiCN THIN FILMS
US20210225633A1 (en) * 2020-01-17 2021-07-22 Asm Ip Holding B.V. FORMATION OF SiOCN THIN FILMS
KR102675856B1 (ko) 2020-01-20 2024-06-17 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법 및 박막 표면 개질 방법
WO2021153986A1 (ko) * 2020-01-31 2021-08-05 주식회사 유피케미칼 실리콘 전구체 화합물, 이를 포함하는 실리콘-함유 막 형성용 조성물 및 실리콘-함유 막 형성 방법
TW202130846A (zh) 2020-02-03 2021-08-16 荷蘭商Asm Ip私人控股有限公司 形成包括釩或銦層的結構之方法
KR20210100010A (ko) 2020-02-04 2021-08-13 에이에스엠 아이피 홀딩 비.브이. 대형 물품의 투과율 측정을 위한 방법 및 장치
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
TW202146715A (zh) 2020-02-17 2021-12-16 荷蘭商Asm Ip私人控股有限公司 用於生長磷摻雜矽層之方法及其系統
TW202203344A (zh) 2020-02-28 2022-01-16 荷蘭商Asm Ip控股公司 專用於零件清潔的系統
KR20210116249A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 록아웃 태그아웃 어셈블리 및 시스템 그리고 이의 사용 방법
KR20210116240A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 조절성 접합부를 갖는 기판 핸들링 장치
CN113394086A (zh) 2020-03-12 2021-09-14 Asm Ip私人控股有限公司 用于制造具有目标拓扑轮廓的层结构的方法
TW202204658A (zh) 2020-03-30 2022-02-01 荷蘭商Asm Ip私人控股有限公司 在兩不同表面上同時選擇性沉積兩不同材料
TW202140832A (zh) 2020-03-30 2021-11-01 荷蘭商Asm Ip私人控股有限公司 氧化矽在金屬表面上之選擇性沉積
TW202140833A (zh) 2020-03-30 2021-11-01 荷蘭商Asm Ip私人控股有限公司 相對於金屬表面在介電表面上之氧化矽的選擇性沉積
KR20220163999A (ko) 2020-04-02 2022-12-12 버슘머트리얼즈 유에스, 엘엘씨 실리콘 함유 필름의 증착을 위한 유기아미노-작용기화된 사이클릭 올리고실록산
KR20210124042A (ko) 2020-04-02 2021-10-14 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법
TW202146689A (zh) 2020-04-03 2021-12-16 荷蘭商Asm Ip控股公司 阻障層形成方法及半導體裝置的製造方法
TW202145344A (zh) 2020-04-08 2021-12-01 荷蘭商Asm Ip私人控股有限公司 用於選擇性蝕刻氧化矽膜之設備及方法
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
US11996289B2 (en) 2020-04-16 2024-05-28 Asm Ip Holding B.V. Methods of forming structures including silicon germanium and silicon layers, devices formed using the methods, and systems for performing the methods
TW202146831A (zh) 2020-04-24 2021-12-16 荷蘭商Asm Ip私人控股有限公司 垂直批式熔爐總成、及用於冷卻垂直批式熔爐之方法
KR20210132600A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐, 질소 및 추가 원소를 포함한 층을 증착하기 위한 방법 및 시스템
TW202140831A (zh) 2020-04-24 2021-11-01 荷蘭商Asm Ip私人控股有限公司 形成含氮化釩層及包含該層的結構之方法
KR20210134226A (ko) 2020-04-29 2021-11-09 에이에스엠 아이피 홀딩 비.브이. 고체 소스 전구체 용기
KR20210134869A (ko) 2020-05-01 2021-11-11 에이에스엠 아이피 홀딩 비.브이. Foup 핸들러를 이용한 foup의 빠른 교환
KR20210141379A (ko) 2020-05-13 2021-11-23 에이에스엠 아이피 홀딩 비.브이. 반응기 시스템용 레이저 정렬 고정구
TW202147383A (zh) 2020-05-19 2021-12-16 荷蘭商Asm Ip私人控股有限公司 基材處理設備
KR20210145078A (ko) 2020-05-21 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 다수의 탄소 층을 포함한 구조체 및 이를 형성하고 사용하는 방법
TW202200837A (zh) 2020-05-22 2022-01-01 荷蘭商Asm Ip私人控股有限公司 用於在基材上形成薄膜之反應系統
TW202201602A (zh) 2020-05-29 2022-01-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202218133A (zh) 2020-06-24 2022-05-01 荷蘭商Asm Ip私人控股有限公司 形成含矽層之方法
TW202217953A (zh) 2020-06-30 2022-05-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
KR20220006455A (ko) 2020-07-08 2022-01-17 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
TW202219628A (zh) 2020-07-17 2022-05-16 荷蘭商Asm Ip私人控股有限公司 用於光微影之結構與方法
TW202204662A (zh) 2020-07-20 2022-02-01 荷蘭商Asm Ip私人控股有限公司 用於沉積鉬層之方法及系統
KR20220027026A (ko) 2020-08-26 2022-03-07 에이에스엠 아이피 홀딩 비.브이. 금속 실리콘 산화물 및 금속 실리콘 산질화물 층을 형성하기 위한 방법 및 시스템
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
US12009224B2 (en) 2020-09-29 2024-06-11 Asm Ip Holding B.V. Apparatus and method for etching metal nitrides
TW202229613A (zh) 2020-10-14 2022-08-01 荷蘭商Asm Ip私人控股有限公司 於階梯式結構上沉積材料的方法
TW202217037A (zh) 2020-10-22 2022-05-01 荷蘭商Asm Ip私人控股有限公司 沉積釩金屬的方法、結構、裝置及沉積總成
TW202223136A (zh) 2020-10-28 2022-06-16 荷蘭商Asm Ip私人控股有限公司 用於在基板上形成層之方法、及半導體處理系統
US11447865B2 (en) 2020-11-17 2022-09-20 Applied Materials, Inc. Deposition of low-κ films
TW202235649A (zh) 2020-11-24 2022-09-16 荷蘭商Asm Ip私人控股有限公司 填充間隙之方法與相關之系統及裝置
KR20220076343A (ko) 2020-11-30 2022-06-08 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치의 반응 챔버 내에 배열되도록 구성된 인젝터
CN114639631A (zh) 2020-12-16 2022-06-17 Asm Ip私人控股有限公司 跳动和摆动测量固定装置
TW202231903A (zh) 2020-12-22 2022-08-16 荷蘭商Asm Ip私人控股有限公司 過渡金屬沉積方法、過渡金屬層、用於沉積過渡金屬於基板上的沉積總成
TWI753739B (zh) * 2021-01-08 2022-01-21 閎康科技股份有限公司 物性分析方法、物性分析試片及其製備方法
US20220262649A1 (en) * 2021-02-18 2022-08-18 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor Device and Method
WO2022180825A1 (ja) * 2021-02-26 2022-09-01 株式会社Kokusai Electric 半導体装置の製造方法、基板処理装置、およびプログラム
US11942426B2 (en) 2021-05-06 2024-03-26 International Business Machines Corporation Semiconductor structure having alternating selective metal and dielectric layers
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
USD1023959S1 (en) 2021-05-11 2024-04-23 Asm Ip Holding B.V. Electrode for substrate processing apparatus
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate

Citations (7)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5413813A (en) * 1993-11-23 1995-05-09 Enichem S.P.A. CVD of silicon-based ceramic materials on internal surface of a reactor
EP1149934A2 (en) * 2000-04-28 2001-10-31 Asm Japan K.K. CVD synthesis of silicon nitride materials
CN101418438A (zh) * 2007-10-22 2009-04-29 应用材料股份有限公司 通过来自乙硅烷前体的远程等离子体cvd的高质量氧化硅膜
KR20120099270A (ko) * 2009-12-02 2012-09-07 어플라이드 머티어리얼스, 인코포레이티드 비­탄소 라디칼­성분 cvd 필름을 위한 산소­도핑
CN103397306A (zh) * 2006-05-23 2013-11-20 气体产品与化学公司 从有机氨基硅烷前体制备氧化硅薄膜的方法
US20140051264A1 (en) * 2012-03-05 2014-02-20 Applied Materials, Inc. Flowable films using alternative silicon precursors
US8669387B2 (en) * 2009-06-04 2014-03-11 Voltaix, Inc. Apparatus and method for the production of trisilyamine

Family Cites Families (144)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US2907785A (en) 1957-10-07 1959-10-06 Du Pont Organic compounds of silicon and phosphorus and their preparation
DE1158972B (de) 1961-03-25 1963-12-12 Franz Josef Carduck Dipl Chem Verfahren zur Herstellung von Trisilylaminen
GB1006803A (en) 1963-05-10 1965-10-06 Standard Telephones Cables Ltd Improvements in or relating to semiconductor devices
US3532728A (en) 1965-01-27 1970-10-06 Monsanto Co Process for preparing high temperature resistant 1,3 - diaza-2-sila-cycloalkane derivatives
US4200666A (en) 1978-08-02 1980-04-29 Texas Instruments Incorporated Single component monomer for silicon nitride deposition
US4397828A (en) 1981-11-16 1983-08-09 Massachusetts Institute Of Technology Stable liquid polymeric precursor to silicon nitride and process
JPS6172614A (ja) 1984-09-18 1986-04-14 Toshiba Silicone Co Ltd 水素化ケイ素化合物の製造方法
JPH0629123B2 (ja) 1984-09-18 1994-04-20 東芝シリコーン株式会社 ケイ素セラミックスの製造方法
JPS61234534A (ja) 1985-04-11 1986-10-18 Semiconductor Energy Lab Co Ltd 窒化珪素被膜作成方法
US4675424A (en) 1986-03-19 1987-06-23 Union Carbide Corporation Method for making polysilazanes
US4720395A (en) 1986-08-25 1988-01-19 Anicon, Inc. Low temperature silicon nitride CVD process
US4882256A (en) 1986-10-14 1989-11-21 Minolta Camera Kabushiki Kaisha Photosensitive member having an overcoat layer comprising amorphous carbon
JPH0211587A (ja) 1988-06-29 1990-01-16 Shin Etsu Chem Co Ltd シリル化方法
EP0423884A1 (en) 1989-10-16 1991-04-24 Koninklijke Philips Electronics N.V. Method for deposition of silicon nitride layers on glass substrates
US5132354A (en) 1990-06-15 1992-07-21 Ethyl Corporation Silicon nitride precursor polymer
US5340507A (en) 1991-07-26 1994-08-23 Fmc Corporation Catalyzed hydrocarbyllithium process
US5211888A (en) 1991-07-26 1993-05-18 Fmc Corporation Catalyzed hydrocarbyllithium process
JP2551901B2 (ja) 1991-07-26 1996-11-06 エフ エム シー コーポレーション 接触アルキル化方法
DE69221283T2 (de) 1992-01-08 1998-01-02 Nippon Oil Co Ltd Verfahren zur Herstellung von Polysilanen
US5932286A (en) 1993-03-16 1999-08-03 Applied Materials, Inc. Deposition of silicon nitride thin films
JP2508581B2 (ja) 1993-05-28 1996-06-19 日本電気株式会社 化学気相成長法
FR2708924B1 (fr) 1993-08-12 1995-10-20 Saint Gobain Vitrage Int Procédé de dépôt d'une couche de nitrure métallique sur un substrat transparent.
US5663398A (en) 1996-05-17 1997-09-02 Fmc Corporation Processes for preparing functionalized alkyllithium compounds
US5888579A (en) 1996-07-29 1999-03-30 Texas Instruments-Acer Incorporated Method and apparatus for preventing particle contamination in a process chamber
JP3516815B2 (ja) 1996-08-06 2004-04-05 触媒化成工業株式会社 シリカ系被膜形成用塗布液および被膜付基材
FR2759362B1 (fr) 1997-02-10 1999-03-12 Saint Gobain Vitrage Substrat transparent muni d'au moins une couche mince a base de nitrure ou d'oxynitrure de silicium et son procede d'obtention
JPH1174485A (ja) 1997-06-30 1999-03-16 Toshiba Corp 半導体装置およびその製造方法
US5874368A (en) 1997-10-02 1999-02-23 Air Products And Chemicals, Inc. Silicon nitride from bis(tertiarybutylamino)silane
US6566281B1 (en) 1997-10-15 2003-05-20 International Business Machines Corporation Nitrogen-rich barrier layer and structures formed
US5968611A (en) 1997-11-26 1999-10-19 The Research Foundation Of State University Of New York Silicon nitrogen-based films and method of making the same
US6365231B2 (en) 1998-06-26 2002-04-02 Kabushiki Kaisha Toshiba Ammonium halide eliminator, chemical vapor deposition system and chemical vapor deposition process
JP2001168092A (ja) 1999-01-08 2001-06-22 Toshiba Corp 半導体装置およびその製造方法
US6645884B1 (en) 1999-07-09 2003-11-11 Applied Materials, Inc. Method of forming a silicon nitride layer on a substrate
JP2002009072A (ja) 2000-06-23 2002-01-11 Tokyo Electron Ltd シリコン窒化膜の形成方法及び形成装置
US7049308B2 (en) 2000-10-26 2006-05-23 Duke University C-nitroso compounds and use thereof
EP1421607A2 (en) 2001-02-12 2004-05-26 ASM America, Inc. Improved process for deposition of semiconductor films
KR20030018134A (ko) * 2001-08-27 2003-03-06 한국전자통신연구원 조성과 도핑 농도의 제어를 위한 반도체 소자의 절연막형성 방법
JP4116283B2 (ja) 2001-11-30 2008-07-09 レール・リキード−ソシエテ・アノニム・プール・レテュード・エ・レクスプロワタシオン・デ・プロセデ・ジョルジュ・クロード ヘキサキス(モノヒドロカルビルアミノ)ジシランおよびその製造方法
JP4021653B2 (ja) 2001-11-30 2007-12-12 レール・リキード−ソシエテ・アノニム・プール・レテュード・エ・レクスプロワタシオン・デ・プロセデ・ジョルジュ・クロード Cvd法によるシリコン窒化物膜またはシリコンオキシ窒化物膜の製造方法
JP2003209110A (ja) * 2002-01-17 2003-07-25 Sony Corp 金属酸窒化膜の製造方法および絶縁ゲート型電界効果トランジスタおよびその製造方法
DE10208822A1 (de) 2002-03-01 2003-09-11 Solvent Innovation Gmbh Halogenfreie ionische Flüssigkeiten
ATE468346T1 (de) 2002-04-04 2010-06-15 Evonik Degussa Gmbh Bisphosphine als bidentat liganden
JP4358492B2 (ja) 2002-09-25 2009-11-04 レール・リキード−ソシエテ・アノニム・プール・レテュード・エ・レクスプロワタシオン・デ・プロセデ・ジョルジュ・クロード 熱化学気相成長法によるシリコン窒化物膜またはシリコンオキシ窒化物膜の製造方法
US7972663B2 (en) 2002-12-20 2011-07-05 Applied Materials, Inc. Method and apparatus for forming a high quality low temperature silicon nitride layer
US7122222B2 (en) 2003-01-23 2006-10-17 Air Products And Chemicals, Inc. Precursors for depositing silicon containing films and processes thereof
JP4403824B2 (ja) 2003-05-26 2010-01-27 東京エレクトロン株式会社 シリコン窒化膜の成膜方法
JP4259247B2 (ja) 2003-09-17 2009-04-30 東京エレクトロン株式会社 成膜方法
US6974781B2 (en) 2003-10-20 2005-12-13 Asm International N.V. Reactor precoating for reduced stress and uniform CVD
US7005317B2 (en) * 2003-10-27 2006-02-28 Intel Corporation Controlled fracture substrate singulation
TW200526804A (en) 2003-10-30 2005-08-16 Tokyo Electron Ltd Method of manufacturing semiconductor device, film-forming apparatus, and storage medium
US20050227017A1 (en) 2003-10-31 2005-10-13 Yoshihide Senzaki Low temperature deposition of silicon nitride
US20050181633A1 (en) 2004-02-17 2005-08-18 Hochberg Arthur K. Precursors for depositing silicon-containing films and processes thereof
JP4279176B2 (ja) 2004-03-02 2009-06-17 株式会社アルバック シリコン窒化膜の形成方法
US7098150B2 (en) * 2004-03-05 2006-08-29 Air Liquide America L.P. Method for novel deposition of high-k MSiON dielectric films
JP2006016641A (ja) 2004-06-30 2006-01-19 L'air Liquide Sa Pour L'etude & L'exploitation Des Procede S Georges Claude 金属シリコンオキサイドの製造方法、金属シリコンオキシナイトライドの製造方法、およびシリコンドープされた金属ナイトライドの製造方法
KR100569220B1 (ko) * 2004-04-06 2006-04-10 한국과학기술원 플라즈마 디스플레이 패널용 유전체 조성물
JP4470023B2 (ja) 2004-08-20 2010-06-02 レール・リキード−ソシエテ・アノニム・プール・レテュード・エ・レクスプロワタシオン・デ・プロセデ・ジョルジュ・クロード シリコン窒化物膜の製造方法
US8163261B2 (en) 2005-04-05 2012-04-24 Voltaix, Llc System and method for making Si2H6 and higher silanes
US7875556B2 (en) 2005-05-16 2011-01-25 Air Products And Chemicals, Inc. Precursors for CVD silicon carbo-nitride and silicon nitride films
JP4554446B2 (ja) 2005-06-21 2010-09-29 ルネサスエレクトロニクス株式会社 半導体装置の製造方法
US7601652B2 (en) * 2005-06-21 2009-10-13 Applied Materials, Inc. Method for treating substrates and films with photoexcitation
KR101283835B1 (ko) 2005-06-29 2013-07-08 레르 리키드 쏘시에떼 아노님 뿌르 레?드 에렉스뿔라따시옹 데 프로세데 조르즈 클로드 3원 막의 증착 방법
WO2007006212A1 (en) 2005-07-08 2007-01-18 Shanghai Institute Of Materia Medica, Chinese Academy Of Siences Tetrahydroprotoberberine compounds, the synthetic method and the use thereof
US20070031598A1 (en) 2005-07-08 2007-02-08 Yoshikazu Okuyama Method for depositing silicon-containing films
US20070010072A1 (en) 2005-07-09 2007-01-11 Aviza Technology, Inc. Uniform batch film deposition process and films so produced
JP5888831B2 (ja) 2005-10-05 2016-03-22 シン フィルム エレクトロニクス エーエスエー 架橋済みポリマー及びその製造方法
CN101466865A (zh) 2006-04-03 2009-06-24 乔治洛德方法研究和开发液化空气有限公司 通过化学汽相淀积使氮化硅膜和/或氧氮化硅膜淀积的方法
CN101443338A (zh) 2006-04-03 2009-05-27 乔治洛德方法研究和开发液化空气有限公司 含五(二甲基氨基)二硅烷前体的化合物及其制备方法
US8530361B2 (en) * 2006-05-23 2013-09-10 Air Products And Chemicals, Inc. Process for producing silicon and oxide films from organoaminosilane precursors
US7638645B2 (en) 2006-06-28 2009-12-29 President And Fellows Of Harvard University Metal (IV) tetra-amidinate compounds and their use in vapor deposition
BRPI0603210A (pt) 2006-08-15 2008-04-08 Petroleo Brasileiro Sa método de preparação de lìquidos iÈnicos isentos de halogenetos e lìquidos iÈnicos assim preparados
US9245739B2 (en) 2006-11-01 2016-01-26 Lam Research Corporation Low-K oxide deposition by hydrolysis and condensation
CN101495672B (zh) 2006-11-02 2011-12-07 高级技术材料公司 对于金属薄膜的cvd/ald有用的锑及锗复合物
US20080241575A1 (en) 2007-03-28 2008-10-02 Lavoie Adrein R Selective aluminum doping of copper interconnects and structures formed thereby
WO2008129508A2 (en) 2007-04-20 2008-10-30 L'air Liquide, Societe Anonyme Pour L'etude Et L'exploitation Des Procedes Georges Claude Deposition of transition metal carbide containing films
JP5437594B2 (ja) 2007-06-05 2014-03-12 ローム・アンド・ハース・エレクトロニック・マテリアルズ,エル.エル.シー. 有機金属化合物
US7605092B2 (en) 2007-06-29 2009-10-20 Silicon Storage Technology, Inc. Passive elements, articles, packages, semiconductor composites, and methods of manufacturing same
KR101542267B1 (ko) 2007-09-18 2015-08-06 레르 리키드 쏘시에떼 아노님 뿌르 레?드 에렉스뿔라따시옹 데 프로세데 조르즈 클로드 규소 함유 막의 형성 방법
US7960205B2 (en) 2007-11-27 2011-06-14 Air Products And Chemicals, Inc. Tellurium precursors for GST films in an ALD or CVD process
US20090162973A1 (en) 2007-12-21 2009-06-25 Julien Gatineau Germanium precursors for gst film deposition
JP5575582B2 (ja) * 2007-12-26 2014-08-20 株式会社日立国際電気 半導体装置の製造方法、基板処理方法および基板処理装置
US9034105B2 (en) 2008-01-10 2015-05-19 American Air Liquide, Inc. Solid precursor sublimator
US8193388B2 (en) 2008-04-15 2012-06-05 American Air Liquide, Inc. Compounds for depositing tellurium-containing films
EP2288424A2 (en) 2008-05-21 2011-03-02 The Regents of the University of Colorado Ionic liquids and methods for using the same
US20090291872A1 (en) 2008-05-21 2009-11-26 The Regents Of The University Of Colorado Ionic Liquids and Methods For Using the Same
WO2010055423A2 (en) 2008-05-29 2010-05-20 L'air Liquide - Societe Anonyme Pour I'etude Et I'exploitation Des Procedes Georges Claude Tellurium precursors for film deposition
US8636845B2 (en) 2008-06-25 2014-01-28 L'Air Liquide, Société Anonyme pour l'Etude et l'Exploitation des Procédés Georges Claude Metal heterocyclic compounds for deposition of thin films
US8236381B2 (en) 2008-08-08 2012-08-07 L'air Liquide Societe Anonyme Pour L'etude Et L'exploitation Des Procedes Georges Claude Metal piperidinate and metal pyridinate precursors for thin film deposition
KR20110084517A (ko) * 2008-10-20 2011-07-25 다우 코닝 코포레이션 Cvd 전구체
JP2011023718A (ja) * 2009-07-15 2011-02-03 Asm Japan Kk PEALDによってSi−N結合を有するストレス調節された誘電体膜を形成する方法
JP5467007B2 (ja) * 2009-09-30 2014-04-09 株式会社日立国際電気 半導体装置の製造方法および基板処理装置
US8173554B2 (en) 2009-10-14 2012-05-08 Asm Japan K.K. Method of depositing dielectric film having Si-N bonds by modified peald method
US8415259B2 (en) 2009-10-14 2013-04-09 Asm Japan K.K. Method of depositing dielectric film by modified PEALD method
WO2011056519A2 (en) 2009-10-26 2011-05-12 Asm International N.V. Synthesis and use of precursors for ald of group va element containing thin films
CN102753641B (zh) * 2010-01-13 2015-10-07 道康宁东丽株式会社 基于硅酮的可剥离粘合剂组合物
EP2553141A4 (en) 2010-04-01 2013-08-21 Air Liquide DEPOSITION OF FILMS CONTAINING METAL NITRIDES USING A COMBINATION OF AMINOUS AND HALOGENATED METAL PRECURSORS
US8993460B2 (en) 2013-01-10 2015-03-31 Novellus Systems, Inc. Apparatuses and methods for depositing SiC/SiCN films via cross-metathesis reactions with organometallic co-reactants
US9228120B2 (en) 2010-06-07 2016-01-05 Central Glass Company, Limited Liquid chemical for forming protecting film
US8318584B2 (en) 2010-07-30 2012-11-27 Applied Materials, Inc. Oxide-rich liner layer for flowable CVD gapfill
US8394466B2 (en) 2010-09-03 2013-03-12 Asm Japan K.K. Method of forming conformal film having si-N bonds on high-aspect ratio pattern
US20120213940A1 (en) 2010-10-04 2012-08-23 Applied Materials, Inc. Atomic layer deposition of silicon nitride using dual-source precursor and interleaved plasma
CN102173398A (zh) 2011-01-14 2011-09-07 中国人民解放军国防科学技术大学 一种低分子无碳聚硅氮烷及其液相合成方法
US8771807B2 (en) * 2011-05-24 2014-07-08 Air Products And Chemicals, Inc. Organoaminosilane precursors and methods for making and using same
EP3929326A3 (en) * 2011-06-03 2022-03-16 Versum Materials US, LLC Compositions and processes for depositing carbon-doped silicon-containing films
SG11201401185VA (en) 2011-10-07 2014-04-28 Voltaix Inc Apparatus and method for the condensed phase production of trisilylamine
CN103748150B (zh) 2011-10-20 2016-03-30 东丽电池隔膜株式会社 多孔膜的制备方法以及该多孔膜、电池用隔膜及电池
US20130143018A1 (en) 2011-12-02 2013-06-06 Sabic Innovative Plastics Ip B.V. Coated Polymer Films
US8592328B2 (en) 2012-01-20 2013-11-26 Novellus Systems, Inc. Method for depositing a chlorine-free conformal sin film
US20130209343A1 (en) 2012-02-10 2013-08-15 American Air Liquide, Inc. Liquid phase synthesis of trisilylamine
US8846536B2 (en) 2012-03-05 2014-09-30 Novellus Systems, Inc. Flowable oxide film with tunable wet etch rate
US11626279B2 (en) * 2012-03-09 2023-04-11 Versum Materials Us, Llc Compositions and methods for making silicon containing films
US9978585B2 (en) 2012-06-01 2018-05-22 Versum Materials Us, Llc Organoaminodisilane precursors and methods for depositing films comprising same
US9337018B2 (en) 2012-06-01 2016-05-10 Air Products And Chemicals, Inc. Methods for depositing films with organoaminodisilane precursors
JP5874546B2 (ja) 2012-06-21 2016-03-02 富士通株式会社 半導体装置の実装構造
KR20150036122A (ko) * 2012-07-20 2015-04-07 레르 리키드 쏘시에떼 아노님 뿌르 레뜌드 에렉스뿔라따시옹 데 프로세데 조르즈 클로드 Ald/cvd 규소-함유 필름 애플리케이션을 위한 유기실란 전구체
KR101361454B1 (ko) 2012-08-23 2014-02-21 이근수 반도체 소자의 실리콘 산화막 형성 방법
JP6101467B2 (ja) 2012-10-04 2017-03-22 東京エレクトロン株式会社 成膜方法及び成膜装置
US9330899B2 (en) * 2012-11-01 2016-05-03 Asm Ip Holding B.V. Method of depositing thin film
KR20140057908A (ko) 2012-11-05 2014-05-14 삼성전자주식회사 무선 통신 시스템에서 섹터 스위핑을 수행하는 방법 및 장치
US10279959B2 (en) 2012-12-11 2019-05-07 Versum Materials Us, Llc Alkoxysilylamine compounds and applications thereof
KR101583232B1 (ko) * 2012-12-31 2016-01-07 제일모직 주식회사 중합체 제조 방법 및 실리카계 절연막 형성용 조성물
DK2969093T3 (da) * 2013-03-15 2019-10-28 Denovx Llc Dirigeret kimdannelse og krystalvækst fra opløsning ved anvendelse af overfladeenergimodificerede amorfe materialer
DE102013209802A1 (de) 2013-05-27 2014-11-27 Evonik Industries Ag Verfahren zur gekoppelten Herstellung von Trisilylamin und Polysilazanen mit einer Molmasse bis 500 g/mol
US9245740B2 (en) 2013-06-07 2016-01-26 Dnf Co., Ltd. Amino-silyl amine compound, method for preparing the same and silicon-containing thin-film using the same
WO2014196827A2 (en) 2013-06-07 2014-12-11 Dnf Co., Ltd. Novel amino-silyl amine compound, method for perparing the 'same and silicon-containing thin-film using the same
CN105849221B (zh) * 2013-09-27 2019-06-18 乔治洛德方法研究和开发液化空气有限公司 胺取代的三甲硅烷基胺和三-二甲硅烷基胺化合物
WO2015045426A1 (en) * 2013-09-30 2015-04-02 Toyo Gosei Co., Ltd. Compounders for Enhancing Generation of Chemical Species
KR101720017B1 (ko) 2014-01-08 2017-03-27 (주)디엔에프 신규한 트리실릴아민 유도체, 이의 제조방법 및 이를 이용한 실리콘 함유 박막
WO2015105337A1 (en) 2014-01-08 2015-07-16 Dnf Co.,Ltd. Novel trisilyl amine derivative, method for preparing the same and silicon-containing thin film using the same
US9233990B2 (en) 2014-02-28 2016-01-12 Air Products And Chemicals, Inc. Organoaminosilanes and methods for making same
DE102014204785A1 (de) 2014-03-14 2015-09-17 Evonik Degussa Gmbh Verfahren zur Herstellung von reinem Trisilylamin
WO2015190749A1 (en) 2014-06-11 2015-12-17 Dnf Co., Ltd. Novel amino-silyl amine compound and the manufacturing method of dielectric film containing si-n bond by using atomic layer deposition
KR101875183B1 (ko) * 2014-06-11 2018-07-06 (주)디엔에프 신규한 아미노실릴아민 화합물 및 원자층 증착법을 이용한 Si-N 결합을 포함하는 절연막의 제조방법
KR102332415B1 (ko) * 2014-10-24 2021-12-01 버슘머트리얼즈 유에스, 엘엘씨 실리콘-함유 막을 증착시키기 위한 조성물 및 이를 사용하는 방법
US10354860B2 (en) * 2015-01-29 2019-07-16 Versum Materials Us, Llc Method and precursors for manufacturing 3D devices
US9777025B2 (en) * 2015-03-30 2017-10-03 L'Air Liquide, Société pour l'Etude et l'Exploitation des Procédés Georges Claude Si-containing film forming precursors and methods of using the same
US20170051405A1 (en) 2015-08-18 2017-02-23 Asm Ip Holding B.V. Method for forming sin or sicn film in trenches by peald
CN117265512A (zh) * 2015-09-11 2023-12-22 弗萨姆材料美国有限责任公司 用于沉积保形的金属或准金属氮化硅膜的方法和所得的膜
CN108140555B (zh) 2015-10-22 2024-03-15 应用材料公司 沉积包含SiO及SiN的可流动薄膜的方法
SG11201807211XA (en) 2016-02-26 2018-09-27 Versum Materials Us Llc Compositions and methods using same for deposition of silicon-containing film
US10384944B2 (en) 2016-05-19 2019-08-20 L'Air Liquide, Société Anonyme pour l'Etude et l'Exploitation des Procédés Georges Claude Preparation of Si—H containing iodosilanes via halide exchange reaction
US10647578B2 (en) 2016-12-11 2020-05-12 L'Air Liquide, Société Anonyme pour l'Etude et l'Exploitation des Procédés Georges Claude N—H free and SI-rich per-hydridopolysilzane compositions, their synthesis, and applications
US10192734B2 (en) 2016-12-11 2019-01-29 L'Air Liquide, Société Anonyme pour l'Etude et l'Exploration des Procédés Georges Claude Short inorganic trisilylamine-based polysilazanes for thin film deposition
US11078569B2 (en) 2017-09-19 2021-08-03 Versum Materials Us, Llc Trisilylamine derivatives as precursors for high growth rate silicon-containing films

Patent Citations (7)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5413813A (en) * 1993-11-23 1995-05-09 Enichem S.P.A. CVD of silicon-based ceramic materials on internal surface of a reactor
EP1149934A2 (en) * 2000-04-28 2001-10-31 Asm Japan K.K. CVD synthesis of silicon nitride materials
CN103397306A (zh) * 2006-05-23 2013-11-20 气体产品与化学公司 从有机氨基硅烷前体制备氧化硅薄膜的方法
CN101418438A (zh) * 2007-10-22 2009-04-29 应用材料股份有限公司 通过来自乙硅烷前体的远程等离子体cvd的高质量氧化硅膜
US8669387B2 (en) * 2009-06-04 2014-03-11 Voltaix, Inc. Apparatus and method for the production of trisilyamine
KR20120099270A (ko) * 2009-12-02 2012-09-07 어플라이드 머티어리얼스, 인코포레이티드 비­탄소 라디칼­성분 cvd 필름을 위한 산소­도핑
US20140051264A1 (en) * 2012-03-05 2014-02-20 Applied Materials, Inc. Flowable films using alternative silicon precursors

Cited By (7)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US11894227B2 (en) 2012-06-12 2024-02-06 Novellus Systems, Inc. Conformal deposition of silicon carbide films
US11680315B2 (en) 2013-05-31 2023-06-20 Novellus Systems, Inc. Films of desired composition and film properties
US11708634B2 (en) 2013-05-31 2023-07-25 Novellus Systems, Inc. Films of desired composition and film properties
CN112469846A (zh) * 2018-07-24 2021-03-09 朗姆研究公司 使用异质前体相互作用的硅碳化物膜的保形沉积
CN112469846B (zh) * 2018-07-24 2023-10-27 朗姆研究公司 使用异质前体相互作用的硅碳化物膜的保形沉积
CN110872699A (zh) * 2018-08-29 2020-03-10 Asm Ip私人控股有限公司 成膜方法
US11848199B2 (en) 2018-10-19 2023-12-19 Lam Research Corporation Doped or undoped silicon carbide deposition and remote hydrogen plasma exposure for gapfill

Also Published As

Publication number Publication date
CN107636198A (zh) 2018-01-26
TWI718136B (zh) 2021-02-11
JP7320544B2 (ja) 2023-08-03
KR20170029581A (ko) 2017-03-15
KR20170021379A (ko) 2017-02-27
KR101803245B1 (ko) 2017-11-29
CN111041456A (zh) 2020-04-21
JP2019060024A (ja) 2019-04-18
EP3277698A1 (en) 2018-02-07
TWI757922B (zh) 2022-03-11
KR20180034710A (ko) 2018-04-04
CN107667187B (zh) 2019-07-02
KR102092447B1 (ko) 2020-03-24
JP2021088764A (ja) 2021-06-10
TWI710566B (zh) 2020-11-21
US20190362961A1 (en) 2019-11-28
US20210225635A1 (en) 2021-07-22
JP2018524464A (ja) 2018-08-30
CN107429389A (zh) 2017-12-01
SG11201707756WA (en) 2017-10-30
TW201638098A (zh) 2016-11-01
US9777025B2 (en) 2017-10-03
CN107636198B (zh) 2020-01-31
TW201641505A (zh) 2016-12-01
JP2018510968A (ja) 2018-04-19
KR102113412B1 (ko) 2020-05-20
JP2018518598A (ja) 2018-07-12
TW202108596A (zh) 2021-03-01
JP6494137B2 (ja) 2019-04-03
JP6537633B2 (ja) 2019-07-03
KR20180008372A (ko) 2018-01-24
JP6827457B2 (ja) 2021-02-10
US20180022761A1 (en) 2018-01-25
US20150376211A1 (en) 2015-12-31
KR101845279B1 (ko) 2018-04-04
CN107429389B (zh) 2019-09-24
SG10201810679XA (en) 2019-01-30
WO2016201320A1 (en) 2016-12-15
US11699584B2 (en) 2023-07-11
EP3277698A4 (en) 2019-03-20
EP3277698B1 (en) 2021-04-28
WO2016201314A1 (en) 2016-12-15
JP6441498B2 (ja) 2018-12-19
WO2016160990A1 (en) 2016-10-06
CN111041456B (zh) 2021-12-10
US10403494B2 (en) 2019-09-03

Similar Documents

Publication Publication Date Title
CN107667187B (zh) 用于形成含硅和氧的薄膜的汽相沉积方法
US9822132B2 (en) Hexacoordinate silicon-containing precursors for ALD/CVD silicon-containing film applications
US9777373B2 (en) Amino(iodo)silane precursors for ALD/CVD silicon-containing film applications and methods of using the same
US20160108064A1 (en) Amino(bromo)silane precursors for ald/cvd silicon-containing film applications and methods of using the same
EP3307744B1 (en) Vapor deposition processes for forming silicon- and oxygen-containing thin films
EP3307745B1 (en) Vapor deposition processes for forming silicon- and nitrogen-containing thin films

Legal Events

Date Code Title Description
PB01 Publication
PB01 Publication
SE01 Entry into force of request for substantive examination
SE01 Entry into force of request for substantive examination
GR01 Patent grant
GR01 Patent grant