KR20180008372A - 실리콘-포함 및 질소-포함 박막을 형성하기 위한 기상 증착 방법 - Google Patents

실리콘-포함 및 질소-포함 박막을 형성하기 위한 기상 증착 방법 Download PDF

Info

Publication number
KR20180008372A
KR20180008372A KR1020177003751A KR20177003751A KR20180008372A KR 20180008372 A KR20180008372 A KR 20180008372A KR 1020177003751 A KR1020177003751 A KR 1020177003751A KR 20177003751 A KR20177003751 A KR 20177003751A KR 20180008372 A KR20180008372 A KR 20180008372A
Authority
KR
South Korea
Prior art keywords
sih
mono
precursor
tsa
substituted
Prior art date
Application number
KR1020177003751A
Other languages
English (en)
Other versions
KR102092447B1 (ko
Inventor
장-마크 지라드
팽 장
안토니오 산체스
매니쉬 칸델왈
제나디 아이토브
리노 페사레시
Original Assignee
레르 리키드 쏘시에떼 아노님 뿌르 레?드 에렉스뿔라따시옹 데 프로세데 조르즈 클로드
레르 리키드 쏘시에떼 아노님 뿌르 레드 에렉스뿔라따시옹 데 프로세데 조르즈 클로드
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 레르 리키드 쏘시에떼 아노님 뿌르 레?드 에렉스뿔라따시옹 데 프로세데 조르즈 클로드, 레르 리키드 쏘시에떼 아노님 뿌르 레드 에렉스뿔라따시옹 데 프로세데 조르즈 클로드 filed Critical 레르 리키드 쏘시에떼 아노님 뿌르 레?드 에렉스뿔라따시옹 데 프로세데 조르즈 클로드
Publication of KR20180008372A publication Critical patent/KR20180008372A/ko
Application granted granted Critical
Publication of KR102092447B1 publication Critical patent/KR102092447B1/ko

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/0228Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition deposition by cyclic CVD, e.g. ALD, ALE, pulsed CVD
    • CCHEMISTRY; METALLURGY
    • C01INORGANIC CHEMISTRY
    • C01BNON-METALLIC ELEMENTS; COMPOUNDS THEREOF; METALLOIDS OR COMPOUNDS THEREOF NOT COVERED BY SUBCLASS C01C
    • C01B21/00Nitrogen; Compounds thereof
    • C01B21/082Compounds containing nitrogen and non-metals and optionally metals
    • C01B21/087Compounds containing nitrogen and non-metals and optionally metals containing one or more hydrogen atoms
    • CCHEMISTRY; METALLURGY
    • C01INORGANIC CHEMISTRY
    • C01BNON-METALLIC ELEMENTS; COMPOUNDS THEREOF; METALLOIDS OR COMPOUNDS THEREOF NOT COVERED BY SUBCLASS C01C
    • C01B21/00Nitrogen; Compounds thereof
    • C01B21/082Compounds containing nitrogen and non-metals and optionally metals
    • C01B21/087Compounds containing nitrogen and non-metals and optionally metals containing one or more hydrogen atoms
    • C01B21/088Compounds containing nitrogen and non-metals and optionally metals containing one or more hydrogen atoms containing also one or more halogen atoms
    • CCHEMISTRY; METALLURGY
    • C07ORGANIC CHEMISTRY
    • C07FACYCLIC, CARBOCYCLIC OR HETEROCYCLIC COMPOUNDS CONTAINING ELEMENTS OTHER THAN CARBON, HYDROGEN, HALOGEN, OXYGEN, NITROGEN, SULFUR, SELENIUM OR TELLURIUM
    • C07F7/00Compounds containing elements of Groups 4 or 14 of the Periodic Table
    • C07F7/02Silicon compounds
    • C07F7/025Silicon compounds without C-silicon linkages
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/308Oxynitrides
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/34Nitrides
    • C23C16/345Silicon nitride
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/40Oxides
    • C23C16/401Oxides containing silicon
    • C23C16/402Silicon dioxide
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/4401Means for minimising impurities, e.g. dust, moisture or residual gas, in the reaction chamber
    • C23C16/4408Means for minimising impurities, e.g. dust, moisture or residual gas, in the reaction chamber by purging residual gases from the reaction chamber or gas lines
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45553Atomic layer deposition [ALD] characterized by the use of precursors specially adapted for ALD
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/50Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/50Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges
    • C23C16/515Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges using pulsed discharges
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02205Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition
    • H01L21/02208Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si
    • H01L21/02219Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si the compound comprising silicon and nitrogen
    • H01L21/02222Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si the compound comprising silicon and nitrogen the compound being a silazane
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/02164Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material being a silicon oxide, e.g. SiO2

Landscapes

  • Chemical & Material Sciences (AREA)
  • Organic Chemistry (AREA)
  • Engineering & Computer Science (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • General Chemical & Material Sciences (AREA)
  • Materials Engineering (AREA)
  • Mechanical Engineering (AREA)
  • Metallurgy (AREA)
  • Inorganic Chemistry (AREA)
  • Physics & Mathematics (AREA)
  • Plasma & Fusion (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Chemical Vapour Deposition (AREA)
  • Formation Of Insulating Films (AREA)

Abstract

본 발명은 모노-치환된 TSA 전구체를 사용하여 실리콘-포함 및 산소-포함 필름을 형성하기 위한 ALD 방법을 개시한다. 모노-치환된 TSA 전구체는 식: (SiH3)2N-SiH2-X를 가지며, 상기 식에서, X는 할로겐 원자 또는 아미노기이다.

Description

실리콘-포함 및 질소-포함 박막을 형성하기 위한 기상 증착 방법{VAPOR DEPOSITION PROCESSES FOR FORMING SILICON- AND NITROGEN-CONTAINING THIN FILMS}
관련 출원에 대한 교차 참조
본 출원은 2016년 3월 30일에 출원된 PCT 출원 PCT/US2016/025010 및 2015년 6월 12일에 출원된 미국 출원 14/738,039를 우선권으로 주장하며, 이들은 둘 다 모든 목적을 위해 그 전체가 원용에 의해 본 명세서에 포함된다.
기술분야
본 발명은 모노-치환된 TSA 전구체를 사용하여 실리콘-포함 및 질소-포함 필름을 형성하기 위한 ALD 방법을 개시한다. 모노-치환된 TSA 전구체는 식: (SiH3)2N-SiH2-X를 가지며, 상기 식에서, X는 할로겐 원자 또는 아미노기이다.
다양한 실리콘-포함 전구체들은 기상 증착 공정에 의해 다양한 기판들 상에 Si-포함 박막을 증착시키는 데 사용되어 왔다. 적합한 실리콘 전구체의 선택, 및 적용가능한 경우 공동-반응물의 선택은 일반적으로, 표적 필름 조성물 및 특성에 의해 유도될 뿐만 아니라, 필름이 증착되어야 하는 기판에 의해 유발된 제약(constraint)에 의해 유도된다. 일부 기판들은 저온 증착 공정을 필요로 할 수 있다. 예를 들어, 유기 필름으로 코팅된 플라스틱 기판 또는 Si 기판 상에서의 증착은 100℃보다 낮은 증착 온도(즉, 20℃ 내지 100℃)를 필요로 할 수 있으며, 한편, 공업적으로 관심 있는 합리적인 증착 속도를 유지할 수 있다. 이러한 필름은 반도체 제작에서 스페이서-한정 리소그래피(spacer-defined lithography) 적용으로서 사용될 수 있을 뿐만 아니라, 유기 발광 다이오드(OLED) 디바이스를 밀봉하거나 필름 상에 수분 확산 장벽을 형성하는 데에도 사용될 수 있다. 상이한 온도 범위들에서 유사한 제약은 금속에 걸친 캡핑 층(capping layer), 게이트 스페이서(gate spacer) 등과 같이 반도체 제작의 상이한 단계들에서 나타난다.
DNF Co., Ltd.의 WO2015/190749는 아미노-실릴 아민 화합물, 및 원자층 증착을 사용함으로써 Si-N 결합을 포함하는 유전 필름을 제조하는 방법을 개시하고 있다. Sanchez 및 Girard의 WO2015/047914는 아민 치환된 트리실릴아민 및 트리다이실릴아민 화합물을 개시하고 있다. DNF Co., Ltd.의 US2014/0363985는 아미노-실릴 아민 화합물, 이의 제조 방법 및 이를 사용하는 실리콘-포함 박막을 개시하고 있다. Cruse 등의 US5413813은 특히 R3Si-N(X)-SiR3를 사용하는 반응기의 내부 표면 상에 실리콘-기재 세라믹 물질의 CVD를 개시하고 있으며, 여기서, R은 각각 H, C1-20 알킬, 할로겐(바람직하게는 Cl) 또는 NR2이고, X는 H, Li 또는 SiR3이다. Air Products and Chemicals, Inc.의 US2014/0158580A는 알콕시실릴아민 화합물 및 이의 적용들을 기술하고 있다. Air Products and Chemicals, Inc.의 US 7,122,222는 또한, 실리콘-포함 필름을 증착시키기 위한 전구체 및 이의 공정을 개시하고 있다. WO2013/058061에 개시된 실라잔 화합물 N-(SiR1R2R3)mR4 3 -m은 코팅 기체로서 사용된다. US 5,332,853에 개시된 (RR1R2Ma)yA(R3)x는 관능화된 알킬알칼리 금속 화합물을 제조하기 위한 촉매 화합물로서 사용된다. 유사한 특허들로는, US 5663398A, US 5332853A, US 5340507A 및 EP 525881 A1이 있다.
증기-기재 증착 공정, 예컨대 CVD 또는 ALD(모든 가능한 의미들에서, 예컨대 LPCVD, SACVD, PECVD, PEALD 등)를 사용하는 산업은 여전히, 이들 적용에 이상적인 전구체, 즉, 이들의 공정, 기판 및 필름 표적의 제약 내에서 가능한 최고 증착 속도를 가진 전구체를 모색하고 있다.
본 발명은 식 (SiH3)2NSiH2-X를 가진 모노-치환된 TSA 전구체를 포함하는 Si-포함 필름 형성 조성물을 개시하며, 상기 식에서, X는 Cl, Br 또는 I로부터 선택되는 할로겐 원자; 이소시아나토기 [-NCO]; 아미노기 [-NR1R2]; N-포함 C4-C10 포화 또는 불포화 헤테로사이클; 또는 알콕시기 [-O-R]로부터 선택되며; R1, R2 및 R은 독립적으로 H; 실릴기 [-SiR'3]; 또는 C1-C6 선형 또는 분지형, 포화 또는 불포화 하이드로카르빌기로부터 선택되며; R'는 각각 독립적으로 H; Cl, Br 또는 I로부터 선택되는 할로겐 원자; C1-C4 포화 또는 불포화 하이드로카르빌기; C1-C4 포화 또는 불포화 알콕시기; 또는 아미노기 [-NR3R4]로부터 선택되며; R3 및 R4는 각각 독립적으로 H 또는 C1-C6 선형 또는 분지형, 포화 또는 불포화 하이드로카르빌기로부터 선택되며; 단, R1이 H인 경우, R2는 H, Me 또는 Et이 아니다. 개시된 Si-포함 필름 형성 조성물은 하기 양태들 중 하나 이상을 포함할 수 있다:
· X가 할로겐 원자인 모노-치환된 TSA 전구체;
· 모노-치환된 TSA 전구체가 (SiH3)2N-SiH2-Cl임;
· 모노-치환된 TSA 전구체가 (SiH3)2N-SiH2-Br임;
· 모노-치환된 TSA 전구체가 (SiH3)2N-SiH2-I임;
· X가 이소시아네이트 -NCO인(즉, (SiH3)2N-SiH2-NCO인) 모노-치환된 TSA 전구체;
· X가 아미노기 [-NR1R2]인 모노-치환된 TSA 전구체;
· 모노-치환된 TSA 전구체가 (SiH3)2N-SiH2-NMe2임;
· 모노-치환된 TSA 전구체가 (SiH3)2N-SiH2-NMeEt임;
· 모노-치환된 TSA 전구체가 (SiH3)2N-SiH2-NEt2임;
· 모노-치환된 TSA 전구체가 (SiH3)2N-SiH2-NiPr2임;
· 모노-치환된 TSA 전구체가 (SiH3)2N-SiH2-NHiPr임;
· 모노-치환된 TSA 전구체가 (SiH3)2N-SiH2-NMeiPr임;
· 모노-치환된 TSA 전구체가 (SiH3)2N-SiH2-NEtiPr임;
· 모노-치환된 TSA 전구체가 (SiH3)2N-SiH2-NHtBu임;
· 모노-치환된 TSA 전구체가 (SiH3)2-N-SiH2-N(SiH3)(SiH2(NHEt))이지 않음(즉, X가 NR1R2이며, R1이 SiH3이고, R2가 NHEt일 때);
· X가 -N(SiR3)2이며, R이 각각 독립적으로 할로겐, H 또는 C1-C4 알킬기로부터 선택되는 모노-치환된 TSA 전구체;
· 모노-치환된 TSA 전구체가 (SiH3)2N-SiH2-N(SiCl3)2임;
· 모노-치환된 TSA 전구체가 (SiH3)2N-SiH2-N(SiBr3)2임;
· 모노-치환된 TSA 전구체가 (SiH3)2N-SiH2-N(SiI3)2임;
· 모노-치환된 TSA 전구체가 (SiH3)2N-SiH2-N(SiH3)2임;
· 모노-치환된 TSA 전구체가 (SiH3)2-N-SiH2-N(SiH3)(SiH2Cl)임;
· 모노-치환된 TSA 전구체가 (SiH3)2-N-SiH2-N(SiH3)(SiH2(NEt2)임;
· 모노-치환된 TSA 전구체가 (SiH3)2-N-SiH2-N(Si00483)(SiH2(NiPr2)임;
· 모노-치환된 TSA 전구체가 (SiH3)2-N-SiH2-N(SiH3)(SiH2(NHtBu)임;
· 모노-치환된 TSA 전구체가 (SiH3)2-N-SiH2-N(SiH3)(SiH2OEt)임;
· 모노-치환된 TSA 전구체가 (SiH3)2-N-SiH2-N(SiH3)(SiH2OiPr)임;
· 모노-치환된 TSA 전구체가 (SiH3)2N-SiH2-N(SiMe3)2임;
· 모노-치환된 TSA 전구체가 (SiH3)2N-SiH2-NH(SiMe3)임;
· 모노-치환된 TSA 전구체가 (SiH3)2N-SiH2-N(SiEt3)2임;
· 모노-치환된 TSA 전구체가 (SiH3)2-N-SiH2-N(SiMe2Et)2임;
· 모노-치환된 TSA 전구체가 (SiH3)2-N-SiH2-N(SiMe2iPr)2임;
· 모노-치환된 TSA 전구체가 (SiH3)2-N-SiH2-N(SiMe2nPr)2임;
· X가 N-포함 C4-C10 헤테로사이클인 모노-치환된 TSA 전구체;
· N-포함 C4-C10 헤테로사이클이 피롤리딘, 피롤 및 피페리딘으로부터 선택되는 모노-치환된 TSA 전구체;
· 모노-치환된 TSA 전구체가 (SiH3)2N-SiH2-(피롤리딘)임;
· 모노-치환된 TSA 전구체가 (SiH3)2N-SiH2-(피롤)임;
· 모노-치환된 TSA 전구체가 (SiH3)2N-SiH2-(피페리딘)임;
· X가 알콕시기 [-O-R]인 모노-치환된 TSA 전구체;
· 모노-치환된 TSA 전구체가 (SiH3)2N-SiH2-(OH)임;
· 모노-치환된 TSA 전구체가 (SiH3)2N-SiH2-(OMe)임;
· 모노-치환된 TSA 전구체가 (SiH3)2N-SiH2-(OEt)임;
· 모노-치환된 TSA 전구체가 (SiH3)2N-SiH2-(OiPr)임;
· 모노-치환된 TSA 전구체가 (SiH3)2N-SiH2-(OnPr)임;
· 모노-치환된 TSA 전구체가 (SiH3)2N-SiH2-(OtBu)임;
· X가 -O-SiR3이고, R이 각각 독립적으로 H, 할로겐 또는 C1-C4 하이드로카르빌기로부터 선택되는 모노-치환된 TSA 전구체;
· 모노-치환된 TSA 전구체가 (SiH3)2N-SiH2-(OSiH3)임
· 모노-치환된 TSA 전구체가 (SiH3)2N-SiH2-(OSiCl3)임;
· 모노-치환된 TSA 전구체가 (SiH3)2N-SiH2-(OSiBr3)임;
· 모노-치환된 TSA 전구체가 (SiH3)2N-SiH2-(OSiI3)임;
· 모노-치환된 TSA 전구체가 (SiH3)2N-SiH2-(OSiMe3)임;
· 약 95% w/w 내지 약 100% w/w의 전구체를 포함하는 Si-포함 필름 형성 조성물;
· 약 5% w/w 내지 약 50% w/w의 전구체를 포함하는 Si-포함 필름 형성 조성물;
· 약 0 ppbw 내지 약 500 ppbw Al을 포함하는 Si-포함 필름 형성 조성물;
· 약 0 ppbw 내지 약 500 ppbw As를 포함하는 Si-포함 필름 형성 조성물;
· 약 0 ppbw 내지 약 500 ppbw Ba을 포함하는 Si-포함 필름 형성 조성물;
· 약 0 ppbw 내지 약 500 ppbw Be을 포함하는 Si-포함 필름 형성 조성물;
· 약 0 ppbw 내지 약 500 ppbw Bi를 포함하는 Si-포함 필름 형성 조성물;
· 약 0 ppbw 내지 약 500 ppbw Cd을 포함하는 Si-포함 필름 형성 조성물;
· 약 0 ppbw 내지 약 500 ppbw Ca을 포함하는 Si-포함 필름 형성 조성물;
· 약 0 ppbw 내지 약 500 ppbw Cr을 포함하는 Si-포함 필름 형성 조성물;
· 약 0 ppbw 내지 약 500 ppbw Co를 포함하는 Si-포함 필름 형성 조성물;
· 약 0 ppbw 내지 약 500 ppbw Cu를 포함하는 Si-포함 필름 형성 조성물;
· 약 0 ppbw 내지 약 500 ppbw Ga을 포함하는 Si-포함 필름 형성 조성물;
· 약 0 ppbw 내지 약 500 ppbw Ge을 포함하는 Si-포함 필름 형성 조성물;
· 약 0 ppbw 내지 약 500 ppbw Hf을 포함하는 Si-포함 필름 형성 조성물;
· 약 0 ppbw 내지 약 500 ppbw Zr을 포함하는 Si-포함 필름 형성 조성물;
· 약 0 ppbw 내지 약 500 ppbw In을 포함하는 Si-포함 필름 형성 조성물;
· 약 0 ppbw 내지 약 500 ppbw Fe을 포함하는 Si-포함 필름 형성 조성물;
· 약 0 ppbw 내지 약 500 ppbw Pb을 포함하는 Si-포함 필름 형성 조성물;
· 약 0 ppbw 내지 약 500 ppbw Li을 포함하는 Si-포함 필름 형성 조성물;
· 약 0 ppbw 내지 약 500 ppbw Mg을 포함하는 Si-포함 필름 형성 조성물;
· 약 0 ppbw 내지 약 500 ppbw Mn을 포함하는 Si-포함 필름 형성 조성물;
· 약 0 ppbw 내지 약 500 ppbw W을 포함하는 Si-포함 필름 형성 조성물;
· 약 0 ppbw 내지 약 500 ppbw Ni을 포함하는 Si-포함 필름 형성 조성물;
· 약 0 ppbw 내지 약 500 ppbw K을 포함하는 Si-포함 필름 형성 조성물;
· 약 0 ppbw 내지 약 500 ppbw Na을 포함하는 Si-포함 필름 형성 조성물;
· 약 0 ppbw 내지 약 500 ppbw Sr을 포함하는 Si-포함 필름 형성 조성물;
· 약 0 ppbw 내지 약 500 ppbw Th을 포함하는 Si-포함 필름 형성 조성물;
· 약 0 ppbw 내지 약 500 ppbw Sn을 포함하는 Si-포함 필름 형성 조성물;
· 약 0 ppbw 내지 약 500 ppbw Ti을 포함하는 Si-포함 필름 형성 조성물;
· 약 0 ppbw 내지 약 500 ppbw U을 포함하는 Si-포함 필름 형성 조성물;
· 약 0 ppbw 내지 약 500 ppbw V을 포함하는 Si-포함 필름 형성 조성물;
· 약 0 ppbw 내지 약 500 ppbw Zn을 포함하는 Si-포함 필름 형성 조성물;
· 약 0 ppmw 내지 약 500 ppmw Cl를 포함하는 Si-포함 필름 형성 유기실란 조성물;
· 약 0 ppmw 내지 약 500 ppmw Br을 포함하는 Si-포함 필름 형성 조성물;
· 약 0 ppmw 내지 약 500 ppmw I을 포함하는 Si-포함 필름 형성 조성물;
· 약 0.0% w/w 내지 0.1% w/w TSA를 포함하는 Si-포함 필름 형성 조성물;
· 약 0.0% w/w 내지 0.1% w/w (SiH3)2-N-SiH2X를 포함하는 Si-포함 필름 형성 조성물로서, 여기서, X는 Cl, Br 또는 I임;
· 약 0.0% w/w 내지 0.1% w/w (SiH3)2-N-SiHX2를 포함하는 Si-포함 필름 형성 조성물로서, 여기서, X는 Cl, Br 또는 I임;
· 약 0.0% w/w 내지 0.1% w/w SiH4를 포함하는 Si-포함 필름 형성 조성물;
· 약 0.0% w/w 내지 0.1% w/w SiH3X를 포함하는 Si-포함 필름 형성 조성물로서, 여기서, X는 Cl, Br 또는 I임;
· 약 0.0% w/w 내지 0.1% w/w SiH2X2를 포함하는 Si-포함 필름 형성 조성물로서, 여기서, X는 Cl, Br 또는 I임;
· 약 0.0% w/w 내지 0.1% w/w SnX2를 포함하는 Si-포함 필름 형성 조성물로서, 여기서, X는 Cl, Br 또는 I임;
· 약 0.0% w/w 내지 0.1% w/w SnX4를 포함하는 Si-포함 필름 형성 조성물로서, 여기서, X는 Cl, Br 또는 I임;
· 약 0.0% w/w 내지 0.1% w/w HX를 포함하는 Si-포함 필름 형성 조성물로서, 여기서, X는 Cl, Br 또는 I임;
· 약 0.0% w/w 내지 0.1% w/w NH3를 포함하는 Si-포함 필름 형성 조성물;
· 약 0.0% w/w 내지 0.1% w/w NH4X를 포함하는 Si-포함 필름 형성 조성물로서, 여기서, X는 Cl, Br 또는 I임;
· 약 0.0% w/w 내지 0.1% w/w ROH를 포함하는 Si-포함 필름 형성 조성물로서, 여기서, R은 C1-C4 알킬기임;
· 약 0.0% w/w 내지 0.1% w/w NH2R을 포함하는 Si-포함 필름 형성 조성물로서, 여기서, R은 C1-C4 알킬기임;
· 약 0.0% w/w 내지 0.1% w/w NR2H를 포함하는 Si-포함 필름 형성 조성물로서, 여기서, R은 C1-C4 알킬기임;
· 약 0.0% w/w 내지 0.1% w/w HN=R을 포함하는 Si-포함 필름 형성 조성물로서, 여기서, R은 C1-C4 알킬기임;
· 약 0.0% w/w 내지 0.1% w/w 테트라하이드로푸란(THF)을 포함하는 Si-포함 필름 형성 조성물;
· 약 0.0% w/w 내지 0.1% w/w 에테르를 포함하는 Si-포함 필름 형성 조성물;
· 약 0.0% w/w 내지 0.1% w/w 펜탄을 포함하는 Si-포함 필름 형성 조성물;
· 약 0.0% w/w 내지 0.1% w/w 사이클로헥산을 포함하는 Si-포함 필름 형성 조성물;
· 약 0.0% w/w 내지 0.1% w/w 헵탄을 포함하는 Si-포함 필름 형성 조성물; 또는
· 약 0.0% w/w 내지 0.1% w/w 톨루엔을 포함하는 Si-포함 필름 형성 조성물.
본 발명은 또한, 투입관 및 배출관을 가진 캐니스터(canister)를 포함하며, 상기 개시된 Si-포함 필름 형성 조성물들 중 임의의 조성물을 포함하는 Si-포함 필름 형성 조성물 전달 디바이스를 개시한다. 개시된 디바이스는 하나 이상의 하기 양태들을 포함할 수 있다:
· 금속 오염물질의 총 농도가 10 ppmw 미만인 Si-포함 필름 형성 조성물;
· 투입관 말단의 말단이 Si-포함 필름 형성 조성물의 표면 위에 위치하고, 배출관의 말단이 Si-포함 필름 형성 조성물의 표면 아래에 위치함;
· 투입관 말단의 말단이 Si-포함 필름 형성 조성물의 표면 아래에 위치하고, 배출관의 말단이 Si-포함 필름 형성 조성물의 표면 위에 위치함;
· 투입구 및 배출구 상에 다이어프램 밸브(diaphragm valve)를 추가로 포함함;
· Si-포함 필름 형성 조성물이 (H3Si)2N-SiH2(NEt2)임;
· Si-포함 필름 형성 조성물이 (H3Si)2N-SiH2(NiPr2)임;
· Si-포함 필름 형성 조성물이 (H3Si)2N-SiH2Cl임; 및
· Si-포함 필름 형성 조성물이 (H3Si)2N-SiH2(N(SiMe3)2임.
본 발명은 또한, 기판 상에 Si-포함 층을 증착시키는 방법을 개시하고 있다. 상기 개시된 조성물은, 그 안에 증착된 기판을 가진 반응기 내에 도입된다. 모노-치환된 TSA 전구체 중 적어도 일부는 기상 증착 방법을 사용하여 기판 상에 증착되어, Si-포함 층을 형성한다. 개시된 방법은 하나 이상의 하기 양태들을 가질 수 있다:
· 제2 전구체를 포함하는 증기를 반응기 내에 도입함;
· 제2 전구체의 원소가 2족, 13족, 14족, 전이 금속, 란탄족 및 이들의 조합으로 이루어진 군으로부터 선택됨;
· 제2 전구체의 원소가 As, B, P, Si, Ge, Al, Zr, Hf, Ti, Nb, Ta 또는 란탄족으로부터 선택됨;
· 반응물을 반응기 내에 도입함;
· 반응물이 O2, O3, H2O, H2O2, NO, NO2, 카르복실산, 알코올, 다이올, 이들의 라디칼 및 이들의 조합으로 이루어진 군으로부터 선택됨;
· 반응물이 플라즈마 처리된 산소임;
· Si-포함 층이 실리콘 옥사이드-포함 층임;
· 반응물이 N2,H2, NH3, 하이드라진(예, N2H4, MeHNNH2, MeHNNHMe), 유기 아민(예, NMeH2, NEtH2, NMe2H, NEt2H, NMe3, NEt3, (SiMe3)2NH), 피라졸린, 피리딘, 다이아민(예, 에틸렌 다이아민), 이들의 라디칼 화학종 및 이들의 혼합물로 이루어진 군으로부터 선택됨;
· 기상 증착 방법이 화학 기상 증착 공정임;
· 기상 증착 방법이 ALD 공정임;
· 기상 증착 방법이 공간 ALD 공정임;
· 기상 증착 공정이 유동성 CVD 공정임;
· 실리콘-포함 층이 Si임;
· 실리콘-포함 층이 SiO2임;
· 실리콘-포함 층이 SiN임;
· 실리콘-포함 층이 SiON임;
· 실리콘-포함 층이 SiOC임;
· 실리콘-포함 층이 SiOCN임;
· 실리콘-포함 층이 SiCN임;
· Si-포함 층을 열적 어닐링(thermal annealing)함;
· 반응성 분위기 하에 Si-포함 층을 열적 어닐링함;
· Si-포함 층을 UV 경화함; 및
· Si-포함 층을 전자 빔 경화함.
본 발명은 또한, 모노-치환된 TSA 전구체를 포함하는 증기를, 기판을 포함하는 반응기 내에 도입하여, 기판 상에 실리콘-포함 층을 형성하는 단계; 산화제를 반응기 내에 도입함으로써, 산화제를 실리콘-포함 층과 반응시켜, 산화된 실리콘-포함 층을 형성하는 단계; 모노-치환된 TSA 전구체를 반응기 내에 도입함으로써, 모노-치환된 TSA 전구체를 산화된 실리콘-포함 층과 반응시켜, 실리콘-풍부 산화된 실리콘-포함 층을 형성하는 단계; 및 질소-포함 반응물을 반응기 내에 도입함으로써, 질소-포함 반응물을 실리콘-포함 층과 반응시켜, 질소-도핑된 실리콘 옥사이드 필름을 형성하는 단계를 포함하는 공정에 의해 형성되는, 질소-도핑된 실리콘 옥사이드 필름을 개시한다. 모노-치환된 TSA 전구체는 식 (SiH3)2N-SiH2-X를 가지며, 여기서, X는 Cl, Br 또는 I로부터 선택되는 할로겐 원자; 이소시아나토기 [-NCO]; 아미노기 [-NR1R2]; N-포함 C4-C10 포화 또는 불포화 헤테로사이클; 또는 알콕시기 [-O-R]로부터 선택되며; R1, R2 및 R은 각각 H; C1-C6 선형 또는 분지형, 포화 또는 불포화 하이드로카르빌기; 또는 실릴기 SiR'3로부터 선택되며, R'는 각각 독립적으로 H; Cl, Br 또는 I로부터 선택되는 할로겐 원자; C1-C4 포화 또는 불포화 하이드로카르빌기; C1-C4 포화 또는 불포화 알콕시기; 또는 아미노기 -NR3R4로부터 선택되며, R3 및 R4는 H 또는 C1-C6 선형 또는 분지형, 포화 또는 불포화 하이드로카르빌기로부터 선택되며, 단, R1이 H인 경우, R2는 H 또는 Me가 아니다. 개시된 질소-도핑된 실리콘 옥사이드 필름을 제조하는 공정은 하나 이상의 하기 양태들을 포함할 수 있다:
· 각각의 도입 단계 사이에 반응기를 불활성 기체로 퍼징(purging)함;
· X가 할로겐 원자인 모노-치환된 TSA 전구체;
· 모노-치환된 TSA 전구체가 (SiH3)2N-SiH2-Cl임;
· 모노-치환된 TSA 전구체가 (SiH3)2N-SiH2-Br임;
· 모노-치환된 TSA 전구체가 (SiH3)2N-SiH2-I임;
· X가 이소시아네이트 -NCO인 모노-치환된 TSA 전구체(즉, (SiH3)2N-SiH2-NCO임);
· X가 아미노기 [-NR1R2]인 모노-치환된 TSA 전구체;
· 모노-치환된 TSA 전구체가 (SiH3)2N-SiH2-NiPr2임;
· 모노-치환된 TSA 전구체가 (SiH3)2N-SiH2-NHiPr임;
· 모노-치환된 TSA 전구체가 (SiH3)2N-SiH2-NHtBu임;
· 모노-치환된 TSA 전구체가 (SiH3)2-N-SiH2-N(SiH3)(SiH2(NHEt))가 아님(즉, X가 NR1R2이며, R1이 SiH3이고, R2가 NHEt일 때);
· 모노-치환된 TSA 전구체가 (SiH3)2N-SiH2-NEt2임;
· 모노-치환된 TSA 전구체가 (SiH3)2N-SiH2 NEtMe임;
· 모노-치환된 TSA 전구체가 (SiH3)2N-SiH2 NMe2임;
· 모노-치환된 TSA 전구체가 (SiH3)2N-SiH2 NMeiPr임;
· 모노-치환된 TSA 전구체가 (SiH3)2N-SiH2 NEtiPr임;
· X가 -N(SiR3)2이며, R이 각각 독립적으로 할로겐, H 또는 C1-C4 알킬기로부터 선택되는, 모노-치환된 TSA 전구체;
· 모노-치환된 TSA 전구체가 (SiH3)2N-SiH2-N(SiCl3)2임;
· 모노-치환된 TSA 전구체가 (SiH3)2N-SiH2-N(SiBr3)2임;
· 모노-치환된 TSA 전구체가 (SiH3)2N-SiH2-N(SiI3)2임;
· 모노-치환된 TSA 전구체가 (SiH3)2N-SiH2-N(SiH3)2임;
· 모노-치환된 TSA 전구체가 (SiH3)2-N-SiH2-N(SiH3)(SiH2Cl)임;
· 모노-치환된 TSA 전구체가 (SiH3)2-N-SiH2-N(SiH3)(SiH2(NEt2)임;
· 모노-치환된 TSA 전구체가 (SiH3)2-N-SiH2-N(SiH3)(SiH2(NiPr2)임;
· 모노-치환된 TSA 전구체가 (SiH3)2-N-SiH2-N(SiH3)(SiH2(NHtBu)임;
· 모노-치환된 TSA 전구체가 (SiH3)2-N-SiH2-N(SiH3)(SiH2OEt)임;
· 모노-치환된 TSA 전구체가 (SiH3)2-N-SiH2-N(SiH3)(SiH2OiPr)임;
· 모노-치환된 TSA 전구체가 (SiH3)2N-SiH2-N(SiMe3)2임;
· 모노-치환된 TSA 전구체가 (SiH3)2N-SiH2-NH(SiMe3)임;
· 모노-치환된 TSA 전구체가 (SiH3)2N-SiH2-N(SiEt3)2임;
· 모노-치환된 TSA 전구체가 (SiH3)2-N-SiH2-N(SiMe2Et)2임;
· 모노-치환된 TSA 전구체가 (SiH3)2-N-SiH2-N(SiMe2iPr)2임;
· 모노-치환된 TSA 전구체가 (SiH3)2-N-SiH2-N(SiMe2nPr)2임;
· X가 N-포함 C4-C10 헤테로사이클인 모노-치환된 TSA 전구체;
· N-포함 C4-C10 헤테로사이클이 피롤리딘, 피롤 및 피페리딘으로부터 선택되는, 모노-치환된 TSA 전구체;
· 모노-치환된 TSA 전구체가 (SiH3)2N-SiH2-(피롤리딘)임;
· 모노-치환된 TSA 전구체가 (SiH3)2N-SiH2-(피롤)임;
· 모노-치환된 TSA 전구체가 (SiH3)2N-SiH2-(피페리딘)임;
· X가 알콕시기 [-O-R]인 모노-치환된 TSA 전구체;
· 모노-치환된 TSA 전구체가 (SiH3)2N-SiH2-(OH)임;
· 모노-치환된 TSA 전구체가 (SiH3)2N-SiH2-(OMe)임;
· 모노-치환된 TSA 전구체가 (SiH3)2N-SiH2-(OEt)임;
· 모노-치환된 TSA 전구체가 (SiH3)2N-SiH2-(OiPr)임;
· 모노-치환된 TSA 전구체가 (SiH3)2N-SiH2-(OnPr)임;
· 모노-치환된 TSA 전구체가 (SiH3)2N-SiH2-(OtBu)임;
· X가 -O-SiR3이고, R이 각각 독립적으로 H, 할로겐 또는 C1-C4 하이드로카르빌기로부터 선택되는, 모노-치환된 TSA 전구체;
· 모노-치환된 TSA 전구체가 (SiH3)2N-SiH2-(OSiH3)임;
· 모노-치환된 TSA 전구체가 (SiH3)2N-SiH2-(OSiCl3)임;
· 모노-치환된 TSA 전구체가 (SiH3)2N-SiH2-(OSiBr3)임;
· 모노-치환된 TSA 전구체가 (SiH3)2N-SiH2-(OSiI3)임;
· 모노-치환된 TSA 전구체가 (SiH3)2N-SiH2-(OSiMe3)임;
· 반응물이 O2, O3, H2O, H2O2, NO, NO2, 카르복실산, 알코올, 다이올, 이들의 라디칼 및 이들의 조합으로 이루어진 군으로부터 선택됨; 및
· 반응물이 N2,H2, NH3, 하이드라진(예, N2H4, MeHNNH2, MeHNNHMe), 유기 아민(예, NMeH2, NEtH2, NMe2H, NEt2H, NMe3, NEt3, (SiMe3)2NH), 피라졸린, 피리딘, 다이아민(예, 에틸렌 다이아민), 이들의 라디칼 화학종 및 이들의 혼합물으로 이루어진 군으로부터 선택됨.
본 발명은 또한, 실리콘-포함 및 질소-포함 필름의 형성 방법을 개시한다. 실리콘-포함 및 질소-포함 필름은, 상기 개시된 모노-치환된 TSA 전구체들 중 임의의 전구체의 증기 및 질소-포함 반응물을, 기판을 포함하는 반응기 내에 도입함으로써, 기판 상에 증착된다. 개시된 공정은 하나 이상의 하기 양태들을 포함할 수 있다:
· 모노-치환된 TSA 전구체는 (SiH3)2N-SiH2-Cl임;
· 모노-치환된 TSA 전구체는 (SiH3)2N-SiH2-Br임;
· 모노-치환된 TSA 전구체는 (SiH3)2N-SiH2-I임;
· 모노-치환된 TSA 전구체는 (SiH3)2N-SiH2-NMe2임;
· 모노-치환된 TSA 전구체는 (SiH3)2N-SiH2-NMeEt임;
· 모노-치환된 TSA 전구체는 (SiH3)2N-SiH2-NEt2임;
· 모노-치환된 TSA 전구체는 (SiH3)2N-SiH2-NiPr2임;
· 모노-치환된 TSA 전구체는 (SiH3)2N-SiH2-NHiPr임;
· 모노-치환된 TSA 전구체는 (SiH3)2N-SiH2-NMeiPr임;
· 모노-치환된 TSA 전구체는 (SiH3)2N-SiH2-NEtiPr임;
· 모노-치환된 TSA 전구체는 (SiH3)2N-SiH2-NHtBu임;
· 모노-치환된 TSA 전구체는 (SiH3)2N-SiH2-N(SiCl3)2임;
· 모노-치환된 TSA 전구체는 (SiH3)2N-SiH2-N(SiBr3)2임;
· 모노-치환된 TSA 전구체는 (SiH3)2N-SiH2-N(SiI3)2임;
· 모노-치환된 TSA 전구체는 (SiH3)2N-SiH2-N(SiH3)2임;
· 모노-치환된 TSA 전구체는 (SiH3)2-N-SiH2-N(SiH3)(SiH2Cl)임;
· 모노-치환된 TSA 전구체는 (SiH3)2-N-SiH2-N(SiH3)(SiH2(NEt2)임;
· 모노-치환된 TSA 전구체는 (SiH3)2-N-SiH2-N(Si00483)(SiH2(NiPr2)임;
· 모노-치환된 TSA 전구체는 (SiH3)2-N-SiH2-N(SiH3)(SiH2(NHtBu)임;
· 모노-치환된 TSA 전구체는 (SiH3)2-N-SiH2-N(SiH3)(SiH2OEt)임;
· 모노-치환된 TSA 전구체는 (SiH3)2-N-SiH2-N(SiH3)(SiH2OiPr)임;
· 모노-치환된 TSA 전구체는 (SiH3)2N-SiH2-N(SiMe3)2임;
· 모노-치환된 TSA 전구체는 (SiH3)2N-SiH2-NH(SiMe3)임;
· 모노-치환된 TSA 전구체는 (SiH3)2N-SiH2-N(SiEt3)2임;
· 모노-치환된 TSA 전구체는 (SiH3)2-N-SiH2-N(SiMe2Et)2임;
· 모노-치환된 TSA 전구체는 (SiH3)2-N-SiH2-N(SiMe2iPr)2임;
· 모노-치환된 TSA 전구체는 (SiH3)2-N-SiH2-N(SiMe2nPr)2임;
· 질소-포함 반응물은 암모니아, N2, N 원자, N 라디칼, N 이온, 포화 또는 불포화 하이드라진, 아민, 다이아민, 에탄올아민 및 이들의 조합으로 이루어진 군으로부터 선택됨;
· 질소-포함 반응물은 암모니아임;
· 질소-포함 반응물은 플라즈마 N2임; 및
· 실리콘-포함 및 질소-포함 필름은 실리콘 니트라이드임.
본 발명은 ALD 실리콘 니트라이드 필름 형성 방법을 개시한다. 열적으로 성장된(thermally grown) 실리콘 옥사이드로 정상화된 0.1% w/w HF로부터 에칭률을 약 0.7 내지 약 3.5로 가진 실리콘 니트라이드 필름은, 모노-치환된 TSA 전구체의 증기 및 질소-포함 반응물을, 기판을 포함하는 반응기 내에 순차적으로 도입함으로써, 기판 상에 증착되며, 모노-치환된 TSA 전구체는 식 (SiH3)2N-SiH2-X를 가지며, 상기 식에서, X는 할로겐 원자이다. 개시된 공정은 하나 이상의 하기 양태들을 포함할 수 있다:
· 모노-치환된 TSA 전구체는 (SiH3)2N-SiH2-Cl임;
· 모노-치환된 TSA 전구체는 (SiH3)2N-SiH2-Br임;
· 모노-치환된 TSA 전구체는 (SiH3)2N-SiH2-I임;
· 질소-포함 반응물은 암모니아, N2, N 원자, N 라디칼, N 이온, 포화 또는 불포화 하이드라진, 아민, 다이아민, 에탄올아민 및 이들의 조합으로 이루어진 군으로부터 선택됨;
· 질소-포함 반응물은 암모니아임; 및
· 질소-포함 반응물은 플라즈마 N2임.
표기법 및 명명법
특정한 약어, 기호 및 용어들은 하기 상세한 설명 및 청구항 전체에 사용되며, 하기를 포함한다:
본원에 사용되는 바와 같이, 부정 관사("a" 또는 "an")는 하나 또는 하나 초과를 의미한다.
본원에 사용되는 바와 같이, 용어 "대략" 또는 "약"은 언급된 값의 ±10%를 의미한다.
본원에 사용되는 바와 같이, 용어 "독립적으로"가 R 기를 설명하는 문맥에서 사용되는 경우, 이는 주어 R 기가, 동일하거나 상이한 아래첨자 또는 위첨자를 가진 다른 R 기들에 대해 독립적으로 선택될 뿐만 아니라, 해당하는 동일한 R 기의 임의의 부가적인 화학종에 대해 독립적으로 선택됨을 나타내는 것으로 이해해야 한다. 예를 들어, x가 2 또는 3인 식 MR1x (NR2R3)(4-x)에서, 2개 또는 3개의 R1 기는 서로 동일하거나 R2 또는 R3와 동일할 수 있으나, 그럴 필요는 없다. 나아가, 구체적으로 다르게 언급되지 않는 한, R 기들의 값들은 상이한 식들에서 사용되는 경우, 서로 독립적임을 이해해야 한다.
본원에 사용되는 바와 같이, 용어 "하이드로카르빌"은 수소 원자 및 탄소 원자만 독점적으로 포함하는 관능기를 의미한다. 관능기는 포화(오로지 단일 결합들만 포함함) 또는 불포화(이중 결합 또는 삼중 결합을 포함함)될 수 있다.
본원에 사용되는 바와 같이, 용어 "알킬기"는 탄소 원자 및 수소 원자를 독점적으로 포함하는 포화된 하이드로카르빌 관능기를 지칭한다. 나아가, 용어 "알킬기"는 선형, 분지형 또는 환형 알킬기를 지칭한다. 선형 알킬기의 예로는, 제한 없이, 메틸기, 에틸기, n-프로필기, n-부틸기 등을 포함한다. 분지형 알킬기의 예로는, 제한 없이, 이소-프로필, t-부틸을 포함한다. 환형 알킬기의 예로는, 제한 없이, 사이클로프로필기, 사이클로펜틸기, 사이클로헥실기 등을 포함한다.
본원에 사용되는 바와 같이, 용어 "아릴"은, 하나의 수소 원자가 고리로부터 제거된 방향족 고리 화합물을 지칭한다. 본원에 사용되는 바와 같이, 용어 "헤테로사이클"은, 적어도 2개의 상이한 원소들의 원자를 이의 고리의 구성원(member)으로서 가진 환형 화합물을 지칭한다.
본원에 사용되는 바와 같이, 약어 "Me"는 메틸기를 지칭하며; 약어 "Et"는 에틸기를 지칭하며; 약어 "Pr"은 임의의 프로필기(즉, n-프로필 or 이소프로필)를 지칭하며; 약어 "iPr"은 이소프로필기를 지칭하며; 약어 "Bu"는 임의의 부틸기(n-부틸, 이소-부틸, t-부틸, sec-부틸)를 지칭하며; 약어 "tBu"는 tert-부틸기를 지칭하며; 약어 "sBu"는 sec-부틸기를 지칭하며; 약어 "iBu"는 이소-부틸기를 지칭하며; 약어 "Ph"는 페닐기를 지칭하며; 약어 "Am"은 임의의 아밀기(이소-아밀, sec-아밀, tert-아밀)를 지칭하며; 약어 "Cy"는 환형 알킬기(사이클로부틸, 사이클로펜틸, 사이클로헥실 등)를 지칭하고; 약어 "Ramd"는 R-N-C(Me)-N-R 아미디네이트 리간드를 지칭하며, R은 알킬기(예, iPramd는 iPr-N-C(Me)-N-iPr임)이다.
본원에 사용되는 바와 같이, 두문자어 "SRO"는 스트론튬 루테늄 옥사이드 필름을 나타내며; 두문자어 "HCDS"는 헥사클로로다이실란을 나타내며; 두문자어 "PCDS"는 펜타클로로다이실란을 나타내며; 두문자어 "OCTS"는 n-옥틸트리메톡시실란을 나타내며; 두문자어 "TSA"는 트리실릴아민 또는 N(SiH3)3를 나타내며; 두문자어 "DSA"는 다이실릴아민 또는 HN(SiH3)2를 나타내고; 두문자어 "PTFE"는 폴리테트라플루오로에틸렌을 나타낸다.
본원에 사용되는 바와 같이, 두문자어 "LCD-TFT"는 액정 디스플레이-박막 트랜지스터를 나타내며; 두문자어 "MIM"은 금속-절연체-금속을 나타내며; 두문자어 "DRAM"은 동적 랜덤-억세스 메모리(dynamic random-access memory)를 나타내며; 두문자어 "FeRAM"은 페로일렉트릭 랜덤-억세스 메모리(Ferroelectric random-access memory)를 나타내며; 두문자어 "OLED"는 유기 발광 다이오드를 나타내며; 두문자어 "sccm"은 표준 입방 센티미터를 나타내고; 두문자어 "GCMS"는 기체 크로마토그래피-질량 분석법을 나타낸다.
본원에 사용되는 바와 같이, 용어 "순차적인" 또는 "순차적으로"는, 연속적이거나 하나 다음에 다른 것이 후속됨을 의미한다. 방법 청구항을 참조로, 용어 "순차적으로"는, 반복적으로 전구체와 기판을 반응시켜, 반응된 층을 형성한 후, 반응물을 반응된 층과 반응시키는 원자층 증착 공정을 지칭한다. 이는, 전구체 다음에 반응물을 개별적으로 및 순차적으로 주입하거나, 기판을 아래에서 회전시키거나 샤워헤드를 위에서 회전시킴으로써, 기판의 일부가 순차적으로 전구체와 접촉된 다음, (순차적으로) 반응물과 접촉됨으로써 달성될 수 있다.
원소 주기율표의 원소들의 표준 약어가 본원에 사용된다. 원소들은 이들 약어로 지칭될 수 있음을 이해해야 한다(예, Si는 실리콘를 나타내며, N은 질소를 나타내며, O는 산소를 나타내고, C는 탄소를 나타내는 등).
실리콘 옥사이드와 같은 증착된 필름 또는 층은 이들의 적절한 화학양론(즉, SiO2)을 참조로 하지 않은 채 명세서 및 청구항 전체에 열거되어 있음을 주지한다. 층은 순수한(Si) 층, 실리사이드(MoSip) 층, 카바이드(SioCp) 층, 니트라이드(SikNl) 층, 옥사이드(SinOm) 층 또는 이들의 혼합물을 포함할 수 있으며; 여기서, M은 원소이고, k, l, m, n, o 및 p는 포함적으로 1 내지 6의 범위이다. 예를 들어, 코발트 실리사이드는 CokSil이며, 여기서, k 및 l은 각각 0.5 내지 5의 범위이다. 유사하게는, 임의의 참조된 층은 또한, 실리콘 옥사이드 층, SinOm을 포함할 수 있으며, 여기서, n은 0.5 내지 1.5의 범위이고, m은 1.5 내지 3.5의 범위이다. 보다 바람직하게는, 실리콘 옥사이드 층은 SiO2 또는 SiO3이다. 실리콘 옥사이드 층은 실리콘 옥사이드-기재 유전 물질, 예컨대 유기-기재 저(low)-k 유전 물질 또는 실리콘 옥사이드-기재 저-k 유전 물질, 예컨대 Applied Materials, Inc.사의 Black Diamond II 또는 III 물질일 수 있다. 대안적으로, 임의의 참조된 실리콘-포함 층은 순수한 실리콘일 수 있다. 임의의 실리콘-포함 층은 또한, 도판트, 예컨대 B, C, P, As 및/또는 Ge를 포함할 수 있다.
본원에 인용된 임의의 범위 및 모든 범위들은, 용어 "포함적으로"가 사용되는지의 여부와는 상관없이, 이들의 종점을 포함한다(즉, x=1 내지 4라는 것은, x=1, x=4 및 x=1과 4 사이의 임의의 수를 포함함).
본 발명의 성질 및 목적을 더 이해하기 위해, 첨부된 도면과 함께 하기 상세한 설명을 참조해야 한다:
도 1은 Si-포함 필름 형성 조성물 전달 디바이스(1)의 일 실시형태의 측면도이며;
도 2는 Si-포함 필름 형성 조성물 전달 디바이스(1)의 제2 실시형태의 측면도이며;
도 3은 실시예 4 내지 실시예 6에서 증착을 수행하는 데 사용된 Picosun R200 PEALD 8" 증착 툴의 다이어그램이며;
도 4는 전구체 (SiH3)2N-SiH2-NiPr2 (TSA-NiPr2)를 사용하여 전구체 펄스의 수의 함수로서, 실리콘 옥사이드 필름의 ALD 성장 속도의 그래프이며;
도 5는 전구체 TSA-NiPr2를 사용하여 온도의 함수로서, 실리콘 옥사이드 박막의 ALD 성장 속도의 그래프이며;
도 6은 전구체 (SiH3)2N-SiH2-N(SiH3)2를 사용하여 전구체 펄스의 수 및 온도의 함수로서, 실리콘 옥사이드 필름의 ALD 성장 속도의 그래프이며;
도 7은 전구체 (SiH3)2N-SiH2-NEt2 (TSA-NEt2)를 사용하여 전구체 펄스의 수의 함수로서, 실리콘 옥사이드 필름의 ALD 성장 속도의 그래프이며;
도 8은 전구체 (SiH3)2N-SiH2-Cl (TSA-Cl)을 사용하여 기판 온도의 함수로서, 실리콘 옥사이드 필름의 ALD 성장 속도의 그래프이며;
도 9는 1 torr, 550℃ 및 전구체 : NH3 비율 1:20에서 TSA-Cl 및 NH3를 사용하여 열적 저 증기압 CVD에 의해 증착된 필름의 필름 조성을 보여주는 X-선 광전자 분광학(XPS) 그래프이다. Cl은 분석기의 검출 한계 미만(약 1% 미만)이었다. 증착 속도는 10 Ang/min이었으며, 수득된 필름의 굴절률은 2.1이었다. 비교를 위해, TSA를 가진 동일한 조건에서 수득된 필름은 2.2의 RI(실리콘 풍부) 및 유사한 증착 속도를 가졌으며;
도 10은 열적으로 성장된 실리콘 옥사이드(Th-Ox)에 대해 정상화된 25 W 플라즈마 및 100 W 플라즈마를 사용하여 증착된 실리콘 옥사이드 필름의 비교 습식 에칭률을 나타내는 그래프이며;
도 11a 및 도 11b는 250℃에서 TSA-Cl / N2 플라즈마와 함께 PE-ALD에 의해 수득된 SiN 필름을 보여주는 주사 전자 현미경(SEM)이며, 이는, 5:1의 높은 형상비 구조에서 높은 단계 커버리지(step coverage)를 가리킨다. 사진들은 트렌치 구조의 상부 및 중간부/하부에서 촬영된 것이며;
도 12a 및 도 12b는 100℃에서, 1분 당 60 사이클에서 TSA-NiPr2 / O2 플라즈마와 함께 공간 ALD에 의해 수득된 실리콘 옥사이드 필름을 보여주는 SEM 이미지이며, 이는, 22:1의 높은 형상비 구조에서 완벽한 단계 커버리지를 가리킨다. 대비 증강을 위해, 또렷한(clear) 기저 Ta2O5 층이 첨가되었다. 사진들은 트렌치 구조(trench structure)의 상부 및 하부에서 촬영된 것이고;
도 13a 및 도 13b 열적 스크리닝 유닛에서 각각 TSA-NiPr2 및 TSA-NEt2 [(H3Si)2-N-SiH2-NEt2]의 열적 안정성을 보여주는 그래프이다. 이러한 측정에서, 밀봉된 검체의 압력은 설정 온도(여기서는 65℃)에서 24시간 동안 지속적으로 측정된다. 유의한 압력 변화의 부재(lack)는 생성물 안정성을 가리킨다. 관찰된 P 진동(P oscillation)은 여기서 유의미하지 않으며, 최소 T 변량과 연관이 있다.
본 발명은 Si-C 결합 무포함 백본, 및 높은 표면 반응성을 가능하게 하기 위해 화학적으로 관능화된 단일 부위를 가진 모노-치환된 TSA 전구체를 포함하는 Si-포함 필름 형성 조성물을 개시한다. 직접 Si-C 결합을 갖지 않으면서 1 초과, 바람직하게는 2 초과의 실리콘 원자 수를 가지고 극성 분자인 모노-치환된 TSA 전구체는 신속한 증착 속도를 가능하게 하기 위해, 기판 표면에 대해 증강된 반응성을 가질 수 있다. 모노-치환된 TSA 전구체는 일반식:
(SiH3)2N-SiH2-X
를 가지며, 상기 일반식에서, X는 Cl, Br 또는 I로부터 선택되는 할로겐 원자; 이소시아나토기 [-NCO]; 아미노기 [-NR1R2]; N-포함 C4-C10 포화 또는 불포화 헤테로사이클; 또는 알콕시기 -O-R로부터 선택되며; R1, R2 및 R은 독립적으로 H; 실릴기 [-SiR'3]; 또는 C1-C6 선형 또는 분지형, 포화 또는 불포화 하이드로카르빌기로부터 선택되며; R'는 각각 독립적으로 H; Cl, Br 또는 I로부터 선택되는 할로겐 원자; C1-C4 포화 또는 불포화 하이드로카르빌기; C1-C4 포화 또는 불포화 알콕시기; 또는 아미노기 [-NR3R4]로부터 선택되며; R3 및 R4는 각각 독립적으로 H 또는 C1-C6 선형 또는 분지형, 포화 또는 불포화 하이드로카르빌기로부터 선택되며; 단, R1이 H인 경우, R2는 H, Me 또는 Et이 아니다. C1-C6 선형 또는 분지형, 포화 또는 불포화 하이드로카르빌기는 아민 또는 에테르를 포함할 수 있다. 대안적으로, R1 및 R2는 독립적으로 Me, Et, iPr, nPr, tBu, nBu 및 secBu로부터 선택될 수 있다.
출원인은, 모노-치환된 TSA 전구체가 탄소를 거의 포함하지 않거나 전혀 포함하지 않기 때문에, 개시된 Si-포함 필름 형성 조성물이 특히 유동성 CVD 공정에 양호하게 적합하다고 여긴다. 부가적으로는, 모노-치환된 TSA 전구체는 TSA보다 더 낮은 증기압을 가지며, 그 결과, 보다 용이하게 축합될 수 있고 더 높은 증착 속도를 제공할 수 있다. 마지막으로, 모노-치환된 TSA 구조는 유동성 공정 동안에 형성된 올리고머의 구조와 근접하다.
개시된 모노-치환된 TSA 전구체는 각각의 Si 원자에 직접 결합된 2개 또는 3개의 수소 원자를 포함한다. 이들 Si-H 결합은 전구체의 휘발성을 증가시키는 데 일조하며, 이는 기상 증착 공정에 중요하다.
X가 할라이드인 경우, 예시적인 Si-포함 필름 형성 조성물은 (SiH3)2-N-SiH2Cl, (SiH3)2-N-SiH2Br 또는 (SiH3)2-N-SiH2I를 포함한다. 할라이드는 이의 높은 반응성으로 알려져 있다. 출원인은, 개시된 모노-치환된 TSA 전구체 상의 할라이드가 비-할로겐화된 유사체와 비교하여 휘발성 및 증착 속도를 개선하는 데 일조할 수 있다고 여긴다. 이들 조성물은 반응: SnX4 + N(SiH3)3 → N(SiH3)2(SiH2X) + SnX2↓ + HX에 따라 합성될 수 있으며, 상기 반응에서, X는 Cl, Br 또는 I이다(문헌[J. Chem. Soc . Dalton Trans. 1975, p.1624] 참조). 대안적으로, 다이할로실란[SiH2X2, 여기서, X는 Cl, Br 또는 I임] 및 모노할로실란[SiH3X, 여기서, X는 Cl, Br 또는 I임]은 Miller에 의해 미국 특허 US 8,669,387에 기술된 바와 같이, 기체상에서, 1/20 내지 1/4의 비율에서, 실온에서, 통과 유동 관형 반응기(flow-through tubular reactor)에서 400 sccm의 NH3와 함께 지속적으로 도입될 수 있다. NH3와 2 당량의 모노할로실란의 반응에 의해, 대체로 다이실릴아민(DSA)이 생성된다. 그런 다음, DSA는 다이할로실란과 반응하여, (SiH3)2-N-SiH2X 및 HX를 형성하고, 여기서, X는 Cl, Br 또는 I이다. 당업자는, 반응이 1개 또는 2개의 단계들(우선, 모노할로실란 및 NH3로부터 DSA를 형성하고, 두번째로 다이할로실란을 첨가함) 또는 1개의 단계(1개의 단계에서 모노할로실란, 다이클로로실란 및 NH3를 조합함)에서 수행될 수 있음을 인지할 것이다.
X가 이소시아나토기[-NCO]인 경우, 예시적인 Si-포함 필름 형성 조성물은 (SiH3)2-N-SiH2(NCO)를 포함한다. 이러한 조성물은 문헌[Taniguchi et al. in Angewandte Communications, Angew. Chem. Int. Ed. 2013, 52, 1-5]에 개시된 방법에 따라 탈수소화 커플링(dehydrogenerative coupling)을 사용하여 합성될 수 있으며, 상기 문헌의 교시는 원용에 의해 본 명세서에 포함된다. 보다 특히, (SiH3)3N은 알루미나 상에 지지된 금 나노입자의 존재 하에 우레아(NH2CONH2)와 반응하여, (SiH3)2-N-SiH2(NCO)+H2를 형성할 수 있다.
X가 아미노기 [-NR1R2]인 경우, 예시적인 Si-포함 필름 형성 조성물은 (SiH3)2-N-SiH2(NMe2), (SiH3)2-N-SiH2(NMeEt), (SiH3)2-N-SiH2(NEt2), (SiH3)2-N-SiH2(NiPr2), (SiH3)2-N-SiH2(NHiPr), (SiH3)2-N-SiH2(NMeiPr), (SiH3)2-N-SiH2(NEtiPr), (SiH3)2-N-SiH2(NHtBu), (SiH3)2-N-SiH2[N(SiH3)2], (SiH3)2-N-SiH2[N(SiH3)(SiH2Cl)], (SiH3)2-N-SiH2[N(SiH3)(SiH2(NEt2))], (SiH3)2-N-SiH2[N(SiH3)(SiH2(NiPr2))], (SiH3)2-N-SiH2[N(SiH3)(SiH2(NHtBu))], (SiH3)2-N-SiH2[N(SiH3)(SiH2OEt)], (SiH3)2-N-SiH2[N(SiH3)(SiH2OiPr)], (SiH3)2-N-SiH2[N(SiMe3)2], (SiH3)2-N-SiH2[NH(SiMe3)], (SiH3)2-N-SiH2[N(SiEt3)2), (SiH3)2-N-SiH2[N(SiMe2Et)2), (SiH3)2-N-SiH2[N(SiMe2iPr)2), (SiH3)2-N-SiH2[N(tBu)(SiH3)), (SiH3)2-N-SiH2[N(SiMe2nPr)2), (SiH3)2N-SiH2 NEtMe, (SiH3)2N-SiH2 NMe2 , (SiH3)2N-SiH2 NMeiPr 또는 (SiH3)2N-SiH2 NEtiPr을 포함한다. 아미노기는 또한, 열적 안정성을 증가시키는 데 일조할 수 있다. 아미노기는 또한, N 원자 및 C 원자를 생성된 필름 내로 혼입하는 데 일조할 수 있으며, 이는 생성된 층을, 임의의 후속적인 에칭 공정에 대해 보다 저항성이 되도록 만들 수 있다.
R1 및 R2가 환형 질소-포함 헤테로사이클을 형성하는 경우, 출원인은, 생성된 헤테로사이클이, 모노-치환된 TSA 전구체로부터 쉽게 탈착될 수 있는 이탈기(leaving group)를 형성하여, 비환형 다이알킬 아미노기와 비교하여 생성된 필름의 탄소 오염을 적게 유도하는 것으로 여긴다.
당업자는, Si-H 결합에 의해 제공된 휘발성이 아미노기에 의해 제공된 열적 안정성과 균형을 이룬다는 것을 인지할 것이다. 출원인은, 적어도 (H3Si)2-N-SiH2-NEt2, (H3Si)2-N-SiH2-SiH2-NiPr2 및 (H3Si)2-N-SiH2-N(SiH3)2가 이들 경쟁적인 특징들을 성공적으로 균형을 이루어서, 이례적으로 우수한 기상 증착 전구체를 생성하는 것으로 여긴다. 하기 실시예에서 나타날 바와 같이, 생성된 Si-포함 필름의 품질은 선행 기술에서 개시된 것을 능가하여 개선된다.
아미노-치환된 Si-포함 필름 형성 조성물은 상기 개시된 할로-치환된 Si-포함 필름 형성 조성물과 유사하게 합성될 수 있다. 보다 특히, 200 sccm의 모노할로실란 및 50 sccm의 다이할로실란은 US 8,669,387에 기술된 바와 같이 기체상에서, 실온에서, 통과 유동 관형 반응기에서 400 sccm의 NH3와 함께 지속적으로 도입되어, 다양한 실릴아민 및 암모늄 할라이드로 이루어진 스트림을 형성할 수 있으며, 이로부터 (SiH3)2-N-SiH2[N(SiH3)2]가 당업자에 의해 쉽게 유도되는 방법, 예컨대 분별 증류 방법에 의해 단리될 수 있다.
보다 특히, (SiH3)2-N-SiH2[N(SiMe3)2]는 SiMe3-NH-SiMe3와 tBuLi의 반응 → (Me3Si)2NLi, 및 (Me3Si)2NLi와 (SiH3)2-N-SiH2-Cl의 반응 → (SiH3)2-N-SiH2-N(SiMe3)2 + LiCl)으로부터 합성될 수 있다.
유사하게는, (SiH3)2-N-SiH2-NH(SiMe3)는 SiMe3-NH-SiMe3 + (SiH3)2-N-SiH2-Cl → (SiH3)2-N-SiH2-NH-SiMe3 + Me3SiCl의 반응으로부터 합성될 수 있다.
(SiH3)2-N-SiH2-N(SiH3)(SiH2X)는 (SiH3)2-N-SiH2-N(SiH3)2와 SnX3의 반응으로부터 합성될 수 있으며, 여기서, X는 Cl, Br 또는 I이다(문헌[J. Chem . Soc . Dalton Trans. 1975, p. 1624] 참조). (SiH3)2-N-SiH2-N(SiH3)2의 추가의 치환은 반응 시간을 증가시키고/거나 화학양론을 조정함으로써 달성될 수 있다.
(SiH3)2-N-SiH2-N(SiH3)(SiH2(NEt2))는 (SiH3)2-N-SiH2-N(SiH3)(SiH2X)와 HNEt2의 반응으로부터 합성될 수 있다. (SiH3)2-N-SiH2-N(SiH3)(SiH2(NEt2))의 추가의 치환은 반응 시간을 증가시키고/거나 화학양론을 조정함으로써 달성될 수 있다.
(SiH3)2-N-SiH2-N(SiH3)(SiH2(NiPr2))는 (SiH3)2-N-SiH2-N(SiH3)(SiH2X)와 HNiPr2의 반응으로부터 합성될 수 있다. (SiH3)2-N-SiH2-N(SiH3)(SiH2(NiPr2))의 추가의 치환은 반응 시간을 증가시키고/거나 화학양론을 조정함으로써 달성될 수 있다.
(SiH3)2-N-SiH2-N(SiH3)(SiH2(NHtBu))는 (SiH3)2-N-SiH2-N(SiH3)(SiH2X)와 H2NtBu의 반응으로부터 합성될 수 있다. H2NEt를 사용한 유사한 반응은 낮은 수율의 (SiH3)2-N-SiH2-N(SiH3)(SiH2(NHEt))를 생성할 수 있음을 주지해야 한다.
(SiH3)2-N-SiH2-N(SiH3)(SiH2(OEt))는 NEt3 또는 피리딘과 같은 HCl 스캐빈저(scavenger)의 존재 하에 (SiH3)2-N-SiH2-N(SiH3)(SiH2X)와 에탄올(EtOH)의 반응으로부터 합성될 수 있다.
(SiH3)2-N-SiH2-N(SiH3)(SiH2(OiPr))은 NEt3 또는 피리딘과 같은 HCl 스캐빈저의 존재 하에 (SiH3)2-N-SiH2-N(SiH3)(SiH2X)와 이소프로판올(iPrOH)의 반응으로부터 합성될 수 있다.
X가 N-포함 C4-C10 포화 또는 불포화 헤테로사이클인 경우, 예시적인 Si-포함 필름 형성 조성물은 (SiH3)2-N-SiH2-피롤리딘, (SiH3)2-N-SiH2-피롤 또는 (SiH3)2-N-SiH2-피페리딘을 포함한다. 대안적으로, N-포함 C4-C10 포화 또는 불포화 헤테로사이클은 또한, P, B, As, Ge, 및/또는 Si와 같은 헤테로-원소를 포함할 수 있다.
X가 알콕시기인 경우, 예시적인 Si-포함 필름 형성 조성물은 (SiH3)2-N-SiH2(OEt), (SiH3)2-N-SiH2(OiPr), (SiH3)2N-SiH2-OSiMe3, (SiH3)2-N-SiH2-OSiMe2OEt 또는 (SiH3)2-N-SiH2-OSiHMe2를 포함한다.
N(SiH3)2(SiH2OEt)는 또한, Et3N 또는 피리딘과 같은 산 스캐빈저의 존재 하에 (SiH3)2-N-SiH2Cl 및 EtOH로부터 합성될 수 있다.
N(SiH3)3 + EtOH → N(SiH3)2(SiH2OEt).
바람직하게는, 개시된 Si-포함 필름 형성 조성물은 기상 증착 방법에 적합한 특성들, 예컨대 높은 증기압, 낮은 용융점(바람직하게는 실온에서 액체 형태임), 낮은 승화점, 및/또는 높은 열적 안정성을 가진다.
공정 신뢰성을 보장하기 위해, 개시된 Si-포함 필름 형성 조성물은 사용 전에 연속 회분 증류 또는 분획 회분 증류에 의해 약 95% w/w 내지 약 100% w/w의 범위, 바람직하게는 약 98% w/w 내지 약 100% w/w 범위의 순도까지 정제될 수 있다. 당업자는, 순도가 질량 분석법과 함께 H NMR 또는 기체 크로마토그래피 또는 액체 크로마토그래피에 의해 확인될 수 있음을 인지할 것이다. Si-포함 필름 형성 조성물은 하기 불순물들: 할라이드(X2), 트리실릴아민, 모노할로트리실릴아민, 다이할로트리실릴아민, SiH4, SiH3X, SnX2, SnX4, HX, NH3, NH3X, 모노클로로실란, 다이클로로실란, 알코올, 알킬아민, 다이알킬아민, 알킬이민, THF, 에테르, 펜탄, 사이클로헥산, 헵탄 또는 톨루엔 중 임의의 불순물을 포함할 수 있으며, 여기서, X는 Cl, Br 또는 I이다. 바람직하게는, 이들 불순물의 총 양은 0.1% w/w 미만이다. 정제된 조성물은 재결정, 승화, 증류에 의해 생성될 수 있고/거나 기체 또는 액체를 적합한 흡착제, 예컨대 4A 분자체 또는 탄소-기재 흡착제(예, 활성 탄소)에 통과시킴으로써 생성될 수 있다.
정제된 모노-치환된 TSA 전구체 조성물 중 각각의 용매(예, THF, 에테르, 펜탄, 사이클로헥산, 헵탄, 및/또는 톨루엔)의 농도는 약 0% w/w 내지 약 5% w/w, 바람직하게는 약 0% w/w 내지 약 0.1% w/w의 범위일 수 있다. 용매는 전구체 조성물의 합성에 사용될 수 있다. 전구체 조성물로부터 용매의 분리는, 둘 다 유사한 비점을 가지는 경우 어려울 수 있다. 혼합물의 냉각에 의해, 액체 용매 내에 고체 전구체가 생성될 수 있으며, 이는 여과에 의해 분리될 수 있다. 진공 증류 또한, 사용될 수 있으며, 단, 전구체 조성물은 이의 대략적인 분해점보다 높게 가열되지 않는다.
개시된 Si-포함 필름 형성 조성물은 이의 모노-, 듀얼- 또는 트리-, 유사체 또는 다른 반응 생성물들 중 임의의 물질을 5% v/v 미만, 바람직하게는 1% v/v 미만, 보다 바람직하게는 0.1% v/v 미만, 보다 더 바람직하게는 0.01% v/v 미만으로 포함한다. 이러한 실시형태는 보다 양호한 공정 반복성을 제공할 수 있다. 이러한 실시형태는 Si-포함 필름 형성 조성물의 증류에 의해 생성될 수 있다.
개시된 Si-포함 필름 형성 조성물의 정제는 또한, 미량 금속 및 메탈로이드의 농도를 약 0 ppbw 내지 약 500 ppbw, 보다 바람직하게는 약 0 ppbw 내지 약 100 ppbw의 범위로 제공할 수 있다. 이들 금속 또는 메탈로이드 불순물로는, 알루미늄(Al), 비소(As), 바륨(Ba), 베릴륨(Be), 비스무트(Bi), 카드뮴(Cd), 칼슘(Ca), 크롬(Cr), 코발트(Co), 구리(Cu), 갈륨(Ga), 게르마늄(Ge), 하프늄(Hf), 지르코늄(Zr), 인듐(In), 철(Fe), 납(Pb), 리튬(Li), 마그네슘(Mg), 망간(Mn), 텅스텐(W), 니켈(Ni), 칼륨(K), 나트륨(Na), 스트론튬(Sr), 토륨(Th), 주석(Sn), 티타늄(Ti), 우라늄(U), 바나듐(V) 및 아연(Zn)을 포함하지만, 이들로 한정되는 것은 아니다. 정제된 모노-치환된 TSA 전구체 조성물 내 X(여기서, X는 Cl, Br, I임)의 농도는 약 0 ppmw 내지 약 100 ppmw, 보다 바람직하게는 약 0 ppmw 내지 약 10 ppmw의 범위일 수 있다.
개시된 Si-포함 필름 형성 조성물은 개시된 Si-포함 필름 형성 조성물 전달 디바이스에 의해 반도체 가공 툴에 전달될 수 있다. 도 1 및 도 2는 개시된 전달 디바이스(1)의 2개의 실시 형태를 보여준다.
도 1은 Si-포함 필름 형성 조성물 전달 디바이스(1)의 하나의 실시형태의 측면도이다. 도 1에서, 개시된 Si-포함 필름 형성 조성물(10)은 2개의 도관인 투입관(30) 및 배출관(40)을 가진 용기(20) 내에 포함되어 있다. 선행 기술의 당업자는, 심지어 승온 및 승압에서도 기체 형태의 Si-포함 필름 형성 조성물(10)의 탈출을 방지하기 위해 용기(20), 투입관(30) 및 배출관(40)이 제작됨을 인지할 것이다.
적합한 밸브는 스프링-로딩된(spring-loaded) 다이어프램 밸브 또는 묶여진(tied) 다이어프램 밸브를 포함한다. 밸브는 제한 유량 오리피스(restrictive flow orifice; RFO)를 추가로 포함할 수 있다. 전달 디바이스는 기체 매니폴드(gas manifold) 및 인클로저(enclosure)에 연결되어야 한다. 기체 매니폴드는, 전달 디바이스가 대체되는 경우 임의의 잔여량의 발화성 물질이 반응하지 않도록 공기에 노출될 수 있는 파이프의 퍼징 및 안전한 배출을 허용해야 한다. 인클로저에는, SiH4와 같은 발화성 물질이 방출되는 경우, 화재를 제어하기 위해 센서 및 화재 제어 능력이 갖추어져야 한다. 기체 매니폴드에는 또한, 단리 밸브, 진공 발생기가 갖추어져야 하고, 퍼징 기체의 도입을 최소로 허용해야 한다.
전달 디바이스는 누설 밀봉(leak tight)되어야 하고, 심지어 미량의 물질도 탈출하지 못하게 하는 밸브를 갖추어야 한다. 전달 디바이스는 밸브(3545)를 통해 반도체 가공 툴의 다른 구성성분들, 예컨대 상기 개시된 기체 캐비넷에 유동적으로 연결된다. 바람직하게는, 전달 디바이스(20), 투입관(30), 밸브(35), 배출관(40) 및 밸브(45)는 316L EP 또는 304 스테인레스 강으로 제작된다. 그러나, 당업자는, 다른 비-반응성 물질들도 본원의 교시에 사용될 수 있으며, 임의의 부식성 Si-포함 필름 형성 조성물(10)은 보다 내부식성인 물질, 예컨대 하스텔로이(Hastelloy) 또는 인코넬(Inconel)의 사용을 필요로 할 수 있음을 인지할 것이다.
도 1에서, 투입관(30)의 말단(31)은 Si-포함 필름 형성 조성물(10)의 표면 위에 위치하는 반면, 배출관(40)의 말단(41)은 Si-포함 필름 형성 조성물(10)의 표면 아래에 위치한다. 이러한 실시형태에서, Si-포함 필름 형성 조성물(10)은 바람직하게는 액체 형태이다. 비제한적으로 질소, 아르곤, 헬륨 및 이들의 혼합물을 포함하는 불활성 기체가 투입관(30) 내로 도입될 수 있다. 불활성 기체는 전달 디바이스(20)에 가압하여, 액체 Si-포함 필름 형성 조성물(10)은 강제로 배출관(40)을 통과하여 반도체 가공 툴(도시되지 않음)의 구성성분들로 간다. 반도체 가공 툴은, 복구되는 웨이퍼가 위치하고 처리가 증기상에서 발생하는 챔버에 증기를 전달하기 위해, 헬륨, 아르곤, 질소 또는 이들의 혼합물과 같은 담체 기체를 사용하거나 사용하지 않으면서 액체 Si-포함 필름 형성 조성물(10)을 증기로 변환시키는 증기화기를 포함할 수 있다. 대안적으로, 액체 Si-포함 필름 형성 조성물(10)은 제트 또는 에어로졸로서 웨이퍼 표면에 직접 전달될 수 있다.
도 2는 Si-포함 필름 형성 조성물 전달 디바이스(1)의 제2 실시형태의 측면도이다. 도 2에서, 투입관(30)의 말단(31)은 Si-포함 필름 형성 조성물(10)의 표면 아래에 위치하는 반면, 배출관(40)의 말단(41)은 Si-포함 필름 형성 조성물(10)의 표면 위에 위치한다. 도 2는 또한, 선택적인 가열 요소(25)를 포함하며, 이는 Si-포함 필름 형성 조성물(10)의 온도를 증가시킬 수 있다. Si-포함 필름 형성 조성물(10)은 고체 또는 액체 형태일 수 있다. 비제한적으로 질소, 아르곤, 헬륨 및 이들의 혼합물을 포함하는 불활성 기체가 투입관(30) 내로 도입될 수 있다. 불활성 기체는 Si-포함 필름 형성 조성물(10)을 통해 유동하고, 불활성 기체와 증기화된 Si-포함 필름 형성 조성물(10)의 혼합물을 배출관(40) 및 반도체 가공 툴의 구성성분에 옮긴다.
도 1 및 도 2는 둘 다 밸브(35 45)를 포함한다. 당업자는, 밸브(3545)가 각각 도관(3040)을 통해 유동하도록 개방된 위치 또는 폐쇄된 위치에서 위치될 수 있음을 인지할 것이다. Si-포함 필름 형성 조성물(10)이 증기 형태인 경우, 또는 충분한 증기압이 고체상/액체상 위에 존재하는 경우, 도 1 및 도 2의 전달 디바이스(1), 또는 존재하는 임의의 고체 또는 액체의 표면 위에서 종결하는 단일 도관을 가진 보다 간단한 전달 디바이스가 사용될 수 있다. 이러한 경우, Si-포함 필름 형성 조성물(10)은 각각 1의 밸브(35) 또는 도 2의 밸브(45)를 개방함으로써, 도관(30 또는 40)을 통해 증기 형태로 전달된다. 전달 디바이스(1)는, 예를 들어 선택적인 가열 요소(25)를 사용함으로써, Si-포함 필름 형성 조성물(10)이 증기 형태로 전달되기에 충분한 증기압을 제공하기 위해 적합한 온도에서 유지될 수 있다.
도 1 및 도 2가 Si-포함 필름 형성 조성물 전달 디바이스(1)의 2개의 실시형태를 개시하고 있는 한편, 당업자는, 투입관(30) 및 배출관(40)이 둘 다 본원 개시내용으로부터 벗어나지 않으면서 Si-포함 필름 형성 조성물(10)의 표면 위 또는 표면 아래에 위치할 수 있음을 인지할 것이다. 더욱이, 투입관(30)은 충전 포트일 수 있다. 마지막으로, 당업자는, 개시된 Si-포함 필름 형성 조성물이 본원 교시로부터 벗어나지 않으면서 Jurcik 등의 WO 2006/059187에 개시된 앰플과 같은 다른 전달 디바이스를 사용하여 반도체 가공 툴에 전달될 수 있음을 인지할 것이다.
개시된 Si-포함 필름 형성 조성물은 다양한 ALD 공정 또는 CVD 공정에 의해 Si-포함 필름의 증착에 적합할 수 있고, 하기 이점들을 가질 수 있다:
· 실온에서 액체이거나 50℃보다 낮은 용융점을 가진 액체;
· 입자 발생 없이 적절한 분포(기체상 또는 직접 액체 주입)가 가능하도록 열적으로 안정함; 및/또는
· 광범위한 자가-제한된 ALD 윈도우를 허용하여, 다양한 Si-포함 필름들의 증착을 가능하게 하는, 기판과의 적합한 반응성.
실리콘 니트라이드 및 실리콘 옥사이드-포함 필름(SiOxNy로 지칭됨)은 N2, H2, NH3, O2, H2O, H2O2, O3, NO, NO2, N2O, 카르복실산, 알코올, 다이올, 하이드라진(예, N2H4, MeHNNH2, MeHNNHMe), 유기 아민(예, NMeH2, NEtH2, NMe2H, NEt2H, NMe3, NEt3, (SiMe3)2NH), 피라졸린, 피리딘, 다이아민(예, 에틸렌 다이아민), 이들의 조합 및 이들의 플라즈마 생성물로 이루어진 군으로부터 선택되는 하나의 반응물 또는 반응물들의 조합을 사용하여 CVD 또는 ALD에 의해 증착될 수 있다.
3원 필름 또는 4원 필름은 As, B, P, Ga, Ge, Sn, Sb, Al, In으로부터 선택되는 원소를 포함하는 하나 또는 몇몇의 다른 전구체, 또는 전이 금속 전구체, 및 가능하게는 상기 열거된 하나 이상의 반응물과 함께 Si-포함 필름 형성 조성물을 사용하여 증착될 수 있다. 개시된 Si-포함 필름 형성 조성물과 함께 사용될 수 있는 전형적인 전구체는 하기의 패밀리들로부터 선택된다:
· 금속 할라이드(예, TiCl4, TiI4, TaCl5, HfCl4, ZrCl4, AlCl3, NbF5 등);
· 알킬(Al, Ge, Ga, In, Sb, Sn, Zn), 예컨대 트리메틸알루미늄, 다이에틸아연, 트리에틸갈륨;
· 하이드라이드(GeH4, 알란 등);
· 알킬아미드(IV족 및 V족 전이 금속의 금속);
· 이미도기(V족 및 VI족의 금속);
· 알콕사이드(IV족, V족의 금속);
· 사이클로펜타다이에닐(Ru, Co, Fe, IV족 전이 금속, 란탄족 등);
· 카르보닐(예: Ru, Co, Fe, Ni);
· 아미디네이트 및 구아니디네이트(예: Co, Mn, Ni, Cu, Sc 등);
· 베타-다이케토네이트(예: Sc, Cu, 란탄족);
· 베타-다이케토이민(Cu, Ni, Co 등);
· 비스-트리알킬실릴아미드(Ni, Co, Fe 등);
· 옥소기(RuO4, WOCl4, PO(OEt)3, AsO(OEt)3 등);
· 또는 상기 리간드들의 조합을 가진 헤테로렙틱 분자.
개시된 Si-포함 필름 형성 조성물은 또한, 또 다른 실리콘 공급원, 예컨대 할로실란(가능하게는 SiH3Cl, SiH2Cl2, SiHCl3, SiCl4, SiBr4, SiI4, SiHI3, SiH2I2, SiH3I, SiF4로부터 선택됨), 폴리실란 SiHxH2x +2 또는 환형 폴리실란 SiHxH2x, 할로-폴리실란(SixCl2x +2, SixHyCl2x +2-y, 예컨대 HCDS, OCTS, PCDS, MCDS(모노클로로다이실란 또는 Si2H5Cl), DCDS(다이클로로다이실란 또는 Si2H4Cl2), 또는 n이 1 또는 2인 Si-(CH2)n-Si 백본을 가진 카르보실란과 함께 사용될 수 있다.
본 발명은 또한, 다양한 CVD 방법 및 ALD 방법을 포함한 기상 증착 방법을 위해, 개시된 Si-포함 필름 형성 조성물을 사용하는 방법을 개시한다. 개시된 방법은 실리콘-포함 필름, 바람직하게는 실리콘 니트라이드(SiN) 필름, 실리콘-옥사이드(SiO) 필름 및 질소 도핑된 실리콘-옥사이드 필름의 증착을 위한, 개시된 Si-포함 필름 형성 조성물의 용도를 제공한다. 개시된 방법들은 반도체, 광전지, LCD-TFT, 플랫 패널형 디바이스, 내화물 또는 비행선의 제작에 유용할 수 있다.
기판 상에 실리콘-포함 층을 형성하기 위한 개시된 방법은, 기판을 반응기에 위치시키는 단계, Si-포함 필름 형성 조성물을 포함하는 증기를 반응기 내에 전달하는 단계, 및 증기를 기판과 접촉시켜(및 전형적으로 증기를 기판으로 향하게 하여), 실리콘-포함 층을 기판의 표면 상에 형성하는 단계를 포함한다. 대안적으로, 기판은 전구체 증기를 포함하는 챔버로 이동되고(공간 ALD), 그런 다음, 반응물을 포함하는 또 다른 영역으로 이동된다. 다른 물리적 처리 단계들, 예컨대 플래쉬 어닐(flash anneal), UV 경화 등은 전구체에의 노출과 반응물에의 노출 사이에 수행될 수 있다.
본 방법은 기상 증착 공정, 보다 상세하게는 SiMOx 필름의 증착을 위한 기상 증착 공정을 사용하여, 기판 상에 바이메탈(bimetal)-포함 층을 형성하는 것을 포함할 수 있으며, 여기서, x는 4이고, M은 Ti, Hf, Zr, Ta, Nb, V, Al, Sr, Y, Ba, Ca, As, B, P, Sb, Bi, Sn, 란탄족(예, Er) 또는 이들의 조합이다. 개시된 방법은 반도체, 광전지, LCD-TFT 또는 플랫 패널형 디바이스의 제작에 유용할 수 있다. 산소 공급원, 예컨대 O3, O2, H2O, NO, H2O2, 아세트산, 포르말린, 파라-포름알데하이드, 알코올, 다이올, 이들의 산소 라디칼 및 이들의 조합, 그러나 바람직하게는 O3 또는 플라즈마 처리된 O2가 또한, 반응기 내에 도입될 수 있다.
개시된 Si-포함 필름 형성 조성물은 당업자에게 알려진 임의의 증착 방법을 사용하여, 실리콘-포함 필름을 증착시키는 데 사용될 수 있다. 적합한 증착 방법의 예로는, 화학 기상 증착(CVD) 또는 원자층 증착(ALD)을 포함한다. 예시적인 CVD 방법으로는, 열적 CVD, 펄스드 CVD(PCVD), 저압 CVD(LPCVD), 하위-대기압(sub-atmospheric) CVD(SACVD) 또는 대기압 CVD(APCVD), 핫-와이어 CVD(HWCVD, cat-CVD로도 알려져 있으며, 핫 와이어가 증착 공정을 위한 에너지 공급원으로서 역할을 함), 라디칼 혼입 CVD, 비제한적으로 유동성 CVD(FCVD)를 포함하는 플라즈마 증강 CVD(PECVD) 및 이들의 조합을 포함한다. 예시적인 ALD 방법으로는, 열적 ALD, 플라즈마 증강 ALD(PEALD), 공간 단리 ALD, 핫-와이어 ALD(HWALD), 라디칼 혼입 ALD 및 이들의 조합을 포함한다. 초임계 유체 증착 또한, 사용될 수 있다. 증착 방법은 바람직하게는, 적합한 단계 커버리지 및 필름 두께 조절을 제공하기 위해 FCVD, ALD, PE-ALD 또는 공간 ALD이다.
Si-포함 필름 형성 조성물은 종래의 수단, 예컨대 튜빙 및/또는 유량계에 의해 증기 형태로 반응기 내로 전달된다. 증기 형태의 조성물은 종래의 증기화 단계, 예컨대 직접 증기화, 증류, 버블링을 통해 순수한(neat) 또는 블렌딩된 조성물 용액을 증기화시킴으로써 생성될 수 있다. 조성물은 액체 상태로 증기화기에 공급될 수 있으며, 증기화기에서 조성물은 증기화된 후, 반응기 내에 도입된다. 증기화 전에, 조성물은 선택적으로 하나 이상의 용매와 혼합될 수 있다. 용매는 톨루엔, 에틸 벤젠, 자일렌, 메시틸렌, 데칸, 도데칸, 옥탄, 헥산, 펜탄 또는 그외의 용매들로 이루어진 군으로부터 선택될 수 있다. 생성되는 농도는 약 0.05 M 내지 약 2 M의 범위일 수 있다.
대안적으로, Si-포함 필름 형성 조성물은, 담체 기체를 전구체를 포함하는 용기 내로 통과시킴으로써 또는 담체 기체를 전구체 내로 버블링함으로써, 증기화될 수 있다. 조성물은 선택적으로, 용기 내에서 하나 이상의 용매와 혼합될 수 있다. 용매는 톨루엔, 에틸 벤젠, 자일렌, 메시틸렌, 데칸, 도데칸, 옥탄, 헥산, 펜탄 또는 그외의 용매들로 이루어진 군으로부터 선택될 수 있다. 생성되는 농도는 약 0.05 M 내지 약 2 M의 범위일 수 있다. 담체 기체로는, Ar, He 또는 N2 및 이들의 혼합물을 포함할 수 있으나, 이들로 한정되는 것은 아니다. 담체 기체를 이용한 버블링은 또한, 순수한 조성물 또는 블렌딩된 조성물에 존재하는 임의의 용존 산소를 제거할 수 있다. 그런 다음, 담체 기체 및 조성물은 증기로서 반응기 내에 도입된다.
필요하다면, 용기는, Si-포함 필름 형성 조성물이 액체상으로 존재하고 충분한 증기압을 가지게 하는 온도까지 가열될 수 있다. 용기는 예를 들어, 0℃ 내지 150℃의 범위의 온도에서 유지될 수 있다. 당업자는, 증기화되는 조성물의 양을 조절하기 위해 용기의 온도가 공지된 방식으로 조정될 수 있음을 인지한다. 온도는 전형적으로, 0.1 torr 내지 100 torr, 바람직하게는 약 1 torr 내지 20 torr의 증기압에 도달하도록 조정된다.
Si-포함 필름 형성 조성물의 증기가 발생된 다음, 기판을 포함하는 반응 챔버 내에 도입된다. 반응 챔버 내 온도 및 압력, 및 기판의 온도는 모노-치환된 TSA 전구체 중 적어도 일부를 기판 상에 기상 증착시키는 데 적합한 조건에서 유지된다. 즉, 증기화된 조성물이 반응 챔버 내로 도입된 후, 반응 챔버 내 조건은, 증기화된 전구체 중 적어도 일부가 기판 상에 증착되어, Si-포함 층을 형성하도록 조정된다. 당업자는, "증기화된 화합물 중 적어도 일부가 증착된다"는 것은, 화합물의 일부 또는 모두가 기판과 반응하거나 기판에 부착됨을 의미한다는 것을 인지할 것이다. 본원에서, 반응물은 또한, Si-포함 층의 형성에 일조하기 위해 사용될 수 있다. 더욱이, Si-포함 층은 UV 및 전자 빔에 의해 경화될 수 있다.
반응 챔버는, 증착 방법이 수행되는 디바이스의 임의의 인클로저 또는 챔버, 예컨대 비제한적으로, 평행판 유형 반응기, 콜드-월 유형 반응기, 핫-월 유형 반응기, 단일-웨이퍼 반응기, 다중-웨이퍼 반응기 또는 다른 이러한 유형의 증착 시스템일 수 있다. 이들 예시적인 반응 챔버들 모두는 ALD 반응 챔버 또는 CVD 반응 챔버로서 역할을 할 수 있다. 반응 챔버는 모든 ALD 및 하위-대기 CVD에 대해 약 0.5 mTorr 내지 약 20 Torr 범위의 압력에서 유지될 수 있다. 하위-대기 CVD 및 대기 CVD 압력은 760 Torr(대기압) 이하의 범위일 수 있다. 또한, 반응 챔버 내 온도는 약 0℃ 내지 약 800℃의 범위일 수 있다. 당업자는, 요망되는 결과를 달성하기 위해, 단순한 실험을 통해 온도가 최적화될 수 있음을 인지할 것이다.
반응기의 온도는, 기판 홀더의 온도를 조절하거나 반응기 벽의 온도를 조절함으로써 조절될 수 있다. 기판을 가열하는 데 사용되는 디바이스는 당업계에 알려져 있다. 반응기 벽은, 요망되는 필름을 충분한 성장 속도에서 요망되는 물리적 상태 및 조성으로 수득하는 데 충분한 온도까지 가열된다. 비제한적인 예시적인 온도는, 반응기 벽이 약 20℃ 내지 약 800℃에서 유지될 수 있는 범위이다. 플라즈마 증착 공정이 이용되는 경우, 증착 온도는 약 0℃ 내지 약 550℃의 범위일 수 있다. 대안적으로, 열적 공정이 수행되는 경우, 증착 온도는 약 200℃ 내지 약 800℃의 범위일 수 있다.
대안적으로, 기판은, 요망되는 실리콘-포함 필름을 충분한 성장 속도에서 요망되는 물리적 상태 및 조성으로 수득하는 데 충분한 온도까지 가열될 수 있다. 비제한적인 예시적인 온도는, 기판이 가열될 수 있는 범위가 50℃ 내지 600℃를 포함하는 범위이다. 바람직하게는, 기판의 온도는 500℃ 이하에서 유지된다.
대안적으로, ALD 공정은 전구체의 자가-분해 미만으로 설정되는 기판 온도에서 수행될 수 있다. 당업자는 전구체의 자가-분해 온도를 확인하는 방법을 인지할 것이다.
반응기는, 필름이 증착될 하나 이상의 기판을 포함한다. 기판은 일반적으로, 공정이 수행되는 물질로서 정의된다. 기판은 반도체, 광전지, 플랫 패널 또는 LCD-TFT 디바이스 제작에 사용되는 임의의 적합한 기판일 수 있다. 적합한 기판의 예로는, 웨이퍼, 예컨대 실리콘, 실리카, 유리, 플라스틱 또는 GaAs 웨이퍼를 포함한다. 웨이퍼는 이전의 제작 단계로부터 웨이퍼 상에 증착된 상이한 물질들로 된 하나 이상의 층을 가질 수 있다. 예를 들어, 웨이퍼는 실리콘 층(결정질, 비정질, 다공성 등), 실리콘 옥사이드 층, 실리콘 니트라이드 층, 실리콘 옥시 니트라이드 층, 탄소 도핑된 실리콘 옥사이드(SiCOH) 층, 포토레지스트 층, 반사 방지 층 또는 이들의 조합을 포함할 수 있다. 부가적으로는, 웨이퍼는 구리 층 또는 귀금속 층(예, 백금, 팔라듐, 로듐 또는 금)을 포함할 수 있다. 층은 MIM, DRAM, STT RAM, PC-RAM 또는 FeRam 기술에서 유전 물질로서 사용되는 옥사이드(예, ZrO2-기재 물질, HfO2-기재 물질, TiO2-기재 물질, 희토류 옥사이드-기재 물질, 3원 옥사이드-기재 물질, 예컨대 스트론튬 루테늄 옥사이드(SRO) 등)를 포함하거나, 구리와 저-k 층 사이에서 산소 차단벽으로 사용되는 니트라이드-기재 필름(예, TaN)으로부터의 옥사이드를 포함할 수 있다. 웨이퍼는 차단벽 층, 예컨대 망간, 망간 옥사이드 등을 포함할 수 있다. 플라스틱 층, 예컨대 폴리(3,4-에틸렌다이옥시티오펜)폴리(스티렌설포네이트)(PEDOT:PSS)가 또한, 사용될 수 있다. 층은 평면형(planar)이거나 패턴화될 수 있다. 예를 들어, 층은 수소화된 탄소, 예를 들어 CHx로 제조된 패턴화된 포토레지스트 필름일 수 있으며, 여기서, x는 0 초과이다. 개시된 공정은 실리콘-포함 층을 웨이퍼 상에 직접 증착시키거나, 웨이퍼의 상부 상의 층들 중 하나 또는 하나 초과의 층 상에 직접 증착시킬 수 있다(패턴화된 층이 기판을 형성하는 경우). 더욱이, 당업자는, 본원에 사용된 용어 "필름" 또는 "층"이 표면 상에 놓이거나 표면에 걸쳐 펴 발라진(spread) 일부 물질의 두께를 지칭하며, 표면은 트렌치 또는 라인일 수 있음을 인지할 것이다. 명세서 및 청구항 전체에서, 웨이퍼 및 그 위에 존재하는 임의의 연관된 층은 기판으로 지칭된다. 많은 경우, 이용되는 바람직한 기판은 구리, 실리콘 옥사이드, 포토레지스트, 수소화된 탄소, TiN, SRO, Ru 및 Si 유형 기판, 예컨대 폴리실리콘 또는 결정질 실리콘 기판으로부터 선택될 수 있다. 예를 들어, 실리콘 니트라이드 필름은 Si 층 상에 증착될 수 있다. 후속적인 가공에서, 교대되는 실리콘 옥사이드 층 및 실리콘 니트라이드 층이 실리콘 니트라이드 층 상에 증착되어, 3D NAND 게이트에 사용되는 다중 SiO2/SiN 층들로 된 스택(stack)을 형성할 수 있다. 더욱이, 기판은 패턴화된 또는 비패턴화된 유기 또는 무기 필름으로 코팅될 수 있다.
개시된 Si-포함 필름 형성 조성물 외에도, 반응물이 또한, 반응기 내에 도입될 수 있다. 반응물은 산화제, 예컨대 O2, O3, H2O, H2O2 중 하나; 산소-포함 라디칼, 예컨대 O· 또는 OH·, NO, NO2; 카르복실산, 예컨대 포름산, 아세트산, 프로피온산, NO, NO2 또는 카르복실산의 라디칼 화학종; 파라-포름알데하이드; 및 이들의 혼합물일 수 있다. 바람직하게는, 산화제는 O2, O3, H2O, H2O2, 이들의 산소-포함 라디칼, 예컨대 O· 또는 OH· 및 이들의 혼합물로 이루어진 군으로부터 선택된다. 바람직하게는, ALD 공정이 수행되는 경우, 반응물은 플라즈마 처리된 산소, 오존 또는 이들의 조합이다. 산화제가 사용되는 경우, 생성된 실리콘-포함 필름은 산소도 포함할 것이다.
대안적으로, 반응물은 질소-포함 반응물, 예컨대 N2, NH3, 하이드라진(예, N2H4, MeHNNH2, MeHNNHMe), 유기 아민(예, N(CH3)H2, N(C2H5)H2, N(CH3)2H, N(C2H5)2H, N(CH3)3, N(C2H5)3, (SiMe3)2NH), 피라졸린, 피리딘, 다이아민(예, 에틸렌 다이아민), 이들의 라디칼 또는 이들의 혼합물 중 하나일 수 있다. N-포함 공급원 작용제가 사용되는 경우, 생성된 실리콘-포함 필름은 질소도 포함할 것이다.
환원제, 예컨대 H2, H 라디칼뿐만 아니라 다른 H-포함 기체 및 전구체, 예컨대 금속 하이드라이드 및 메탈로이드 하이드라이드가 사용되는 경우, 생성된 실리콘-포함 필름은 순수한 Si일 수 있다.
반응물은 플라즈마에 의해 처리되어, 반응물이 이의 라디칼 형태로 분해될 수 있다. N2는 또한, 플라즈마로 처리될 때 이용될 수 있다. 예를 들어, 플라즈마는 약 50 W 내지 약 2000 W, 바람직하게는 약 100 W 내지 약 500 W의 범위의 파워를 이용하여 발생될 수 있다. 플라즈마는 반응기 자체 내에서 발생하거나 존재할 수 있다. 대안적으로, 플라즈마는 일반적으로 반응기로부터 이격된 위치, 예를 들어, 원거리에 위치한 플라즈마 시스템에 존재할 수 있다. 당업자는, 이러한 플라즈마 처리에 적합한 방법 및 장치를 인지할 것이다.
Si-포함 필름 형성 조성물은 또한, 할로실란 또는 폴리할로다이실란, 예컨대 헥사클로로다이실란, 펜타클로로다이실란 또는 테트라클로로다이실란, 및 Si, SiCN 또는 SiCOH 필름을 형성하기 위한 하나 이상의 반응물들과 함께 사용될 수 있다. PCT 공개 WO2011/123792는 SiN 층(Si 층 또는 SiCOH 층이 아님)을 개시하고 있으며, 이의 전체 내용은 그 전체가 본 명세서에 포함된다.
요망되는 실리콘-포함 필름이 또한 또 다른 원소, 예를 들어 비제한적으로, Ti, Hf, Zr, Ta, Nb, V, Al, Sr, Y, Ba, Ca, As, B, P, Sb, Bi, Sn, Ge 란탄족(예, Er) 또는 이들의 조합을 포함하는 경우, 또 다른 전구체는 비제한적으로 하기로부터 선택되는 금속-포함 전구체를 포함할 수 있다:
· 금속 할라이드(예, TiCl4, TiI4, TaCl5, HfCl4, ZrCl4, AlCl3, NbF5 등);
· 알킬(Al, Ge, Ga, In, Sb, Sn, Zn), 예컨대 트리메틸알루미늄, 다이에틸아연, 트리에틸갈륨;
· 하이드라이드(GeH4, 알란 등);
· 알킬아미드(IV족 및 V족 전이 금속의 금속);
· 이미도기(V족 및 VI족의 금속);
· 알콕사이드(IV족, V족의 금속);
· 사이클로펜타다이에닐(Ru, Co, Fe, IV족 전이 금속, 란탄족 등);
· 카르보닐(예: Ru, Co, Fe, Ni);
· 아미디네이트 및 구아니디네이트(예: Co, Mn, Ni, Cu, Sc 등);
· 베타-다이케토네이트(예: Sc, Cu, 란탄족);
· 베타-다이케토이민(Cu, Ni, Co 등);
· 비스-트리알킬실릴아미드(Ni, Co, Fe 등);
· 옥소기(RuO4, WOCl4, PO(OEt)3, AsO(OEt)3 등);
· 또는 상기 패밀리들로부터 선택되는 리간드들의 혼합된 세트를 가진 헤테로렙틱 분자.
Si-포함 필름 형성 조성물 및 하나 이상의 반응물은 반응 챔버 내에 동시에(예, CVD), 순차적으로(예, ALD) 또는 다른 조합으로 도입될 수 있다. 예를 들어, Si-포함 필름 형성 조성물은 하나의 펄스에서 도입될 수 있고, 2개의 부가적인 금속 공급원들이 개별 펄스에서 함께 도입될 수 있다(예, 변형된 ALD). 대안적으로, 반응 챔버는 Si-포함 필름 형성 조성물의 도입 전에 이미 반응물을 포함할 수 있다. 반응물은 반응 챔버로부터 원거리에 존재하거나 국소화된(localized) 플라즈마 시스템을 통과할 수 있고, 라디칼로 분해될 수 있다. 대안적으로, Si-포함 필름 형성 조성물은 반응 챔버에 지속적으로 도입될 수 있는 한편, 다른 금속 공급원들은 펄스에 의해 도입된다(예, 펄스드-CVD). 각각의 예에서, 펄스에 후속하여 퍼징 단계 또는 배출 단계가 존재하여, 도입된 과량의 구성성분을 제거할 수 있다. 각각의 예에서, 펄스는 약 0.01초 내지 약 20초, 대안적으로 약 0.3초 내지 약 3초, 대안적으로 약 0.5초 내지 약 2초의 범위의 기간 동안 지속될 수 있다. 또 다른 대안에서, Si-포함 필름 형성 조성물 및 하나 이상의 반응물은 샤워 헤드로부터 동시에 분무될 수 있으며, 샤워 헤드 아래에서 몇몇 웨이퍼를 고정하고 있는 서셉터(susceptor)가 회전된다(예, 공간 ALD).
비제한적인 예시적인 ALD 유형 공정에서, 증기상의 Si-포함 필름 형성 조성물이 반응 챔버 내에 도입되며, 반응 챔버에서 이러한 조성물은 적합한 기판과 접촉되고, 기판 상에 실리콘-포함 층을 형성한다. 그런 다음, 과량의 조성물은 반응 챔버를 퍼징하고/거나 배출시킴으로써 반응 챔버로부터 제거될 수 있다. 산소 공급원이 반응 챔버 내에 도입되며, 반응 챔버에서 이러한 산소 공급원은 자가-제한적인 방식으로 실리콘-포함 층과 반응한다. 임의의 과량의 산소 공급원은 반응 챔버를 퍼징하고/거나 배출시킴으로써 반응 챔버로부터 제거된다. 요망되는 필름이 실리콘 옥사이드 필름인 경우, 이러한 2-단계 공정은 요망되는 필름 두께를 제공할 수 있거나, 필요한 두께를 가진 필름이 수득될 때까지 반복될 수 있다.
대안적으로, 요망되는 필름이 실리콘 금속 옥사이드 필름(즉, SiMOx, 여기서, x는 4일 수 있고, M은 Ti, Hf, Zr, Ta, Nb, V, Al, Sr, Y, Ba, Ca, As, B, P, Sb, Bi, Sn, Ge, 란탄족(예, Er) 또는 이들의 조합임)인 경우, 상기 2-단계 공정에 후속하여, 제2 증기의 금속-포함 전구체가 반응 챔버 내에 도입될 수 있다. 금속-포함 전구체는 증착되는 실리콘 금속 옥사이드 필름의 성질을 기재로 선택될 것이다. 반응 챔버 내에 도입된 후, 금속-포함 전구체는 기판 상의 실리콘 옥사이드 층과 접촉된다. 임의의 과량의 금속-포함 전구체는 반응 챔버를 퍼징하고/거나 배출시킴으로써 반응 챔버로부터 제거될 수 있다. 일단 다시, 산소 공급원이 반응 챔버 내에 도입되어, 금속-포함 전구체와 반응할 수 있다. 과량의 산소 공급원은 반응 챔버를 퍼징하고/거나 배출시킴으로써 반응 챔버로부터 제거될 수 있다. 요망되는 필름 두께가 달성되면, 공정은 종결될 수 있다. 그러나, 더 두꺼운 필름이 요망되는 경우, 전체 4-단계 공정이 반복될 수 있다. Si-포함 필름 형성 조성물, 금속-포함 전구체 및 산소 공급원의 제공을 교대로 함으로써, 요망되는 조성 및 두께의 필름이 증착될 수 있다.
부가적으로는, 펄스의 수에 변화를 줌으로써, 요망되는 화학양론적 M:Si 비율을 가진 필름이 수득될 수 있다. 예를 들어, SiMO2 필름은 모노-치환된 TSA 전구체 1 펄스 및 금속-포함 전구체 1 펄스를 가짐으로써 수득될 수 있으며, 각각의 펄스 이후에 산소 공급원의 펄스가 후속된다. 그러나, 당업자는, 요망되는 필름을 수득하는 데 필요한 펄스의 수가 생성된 필름의 화학양론적 비율과 동일하지 않을 수 있음을 인지할 것이다.
비제한적인 예시적인 PE-ALD 유형 공정에서, 증기상의 Si-포함 필름 형성 조성물이 반응 챔버 내로 도입되며, 반응 챔버에서 이러한 조성물은 적합한 기판과 접촉되며, 한편, 저 반응성 산소 공급원, 예컨대 O2는 챔버로 지속적으로 유동하고 있다. 그런 다음, 과량의 조성물은 반응 챔버를 퍼징하고/거나 배출시킴으로써 반응 챔버로부터 제거될 수 있다. 그런 다음, 플라즈마를 켜서(lit), 산소 공급원을 활성화시켜, 흡수된 모노-치환된 TSA 전구체와 자가-제한적인 방식으로 반응시킨다. 그런 다음, 플라즈마의 스위치를 끄고, 그 직후 Si-포함 필름 형성 조성물의 유동이 진행될 수 있다. 이러한 2-단계 공정은 요망되는 필름 두께를 제공할 수 있거나, 필요한 두께를 가진 실리콘 옥사이드 필름이 수득될 때까지 반복될 수 있다. 실리콘 옥사이드 필름은 일부 C 불순물을 전형적으로 0.005% 내지 2%로 포함할 수 있다. 산소 기체 공급원 및 기판 온도는, 플라즈마가 꺼졌을 때 산소 공급원과 모노-치환된 TSA 사이의 반응을 방지하도록 당업자에 의해 선택될 수 있다. 다이알킬아미노-치환된 TSA가 이러한 공정에 특히 적합하고, 바람직하게는 (SiH3)2N-SiH2-NEt2, (SiH3)2N-SiH2-NiPr2 또는 (SiH3)2N-SiH2-NHR이며, R은 -tBu 또는 -SiMe3이다.
또 다른 비제한적인 예시적인 PE-ALD 유형 공정에서, 증기상의 Si-포함 필름 형성 조성물이 반응 챔버 내로 도입되며, 반응 챔버에서 이러한 조성물은 적합한 기판과 접촉되며, 한편, 저 반응성 질소 공급원, 예컨대 N2는 챔버로 지속적으로 유동하고 있다. 그런 다음, 과량의 조성물은 반응 챔버를 퍼징하고/거나 배출시킴으로써 반응 챔버로부터 제거될 수 있다. 그런 다음, 플라즈마를 켜서, 질소 공급원을 활성화시켜, 흡수된 모노-치환된 TSA 전구체와 자가-제한적인 방식으로 반응시킨다. 그런 다음, 플라즈마의 스위치를 끄고, 그 직후 Si-포함 필름 형성 조성물의 유동이 진행될 수 있다. 이러한 2-단계 공정은 요망되는 필름 두께를 제공할 수 있거나, 필요한 두께를 가진 실리콘 니트라이드 필름이 수득될 때까지 반복될 수 있다. 실리콘 니트라이드 필름은 일부 C 불순물을 전형적으로 0.5% 내지 10%로 포함할 수 있다. 질소 기체 공급원 및 기판 온도는, 플라즈마가 꺼졌을 때 질소 공급원과 모노-치환된 TSA 사이의 반응을 방지하도록 당업자에 의해 선택될 수 있다. 아미노-치환된 TSA 및 모노-할로 TSA가 이러한 공정에 특히 적합하고, 바람직하게는 (SiH3)2N-SiH2-Cl, (SiH3)2N-SiH2-NEt2, (SiH3)2N-SiH2-NiPr2, R이 -tBu 또는 -SiMe3인 (SiH3)2N-SiH2-NHR 또는 (SiH3)2N-SiH2-N(SiH3)2이다.
비제한적인 예시적인 LPCVD 유형 공정에서, 증기상의 Si-포함 필름 형성 조성물, 바람직하게는 모노-할로 치환된 TSA 전구체를 포함하는 증기상의 Si-포함 필름 형성 조성물은 기판을 고정하고 있는 반응 챔버 내로 도입되고, 전형적으로 0.1 torr 내지 10 torr, 보다 바람직하게는 0.3 torr 내지 3 torr의 압력, 및 250℃ 내지 800℃, 바람직하게는 350℃ 내지 600℃의 온도에서 유지되며, 반응 챔버에서 이러한 조성물은 반응물, 전형적으로 NH3와 혼합된다. 따라서, 얇은 등각(conformal) SiN 필름은 기판(들) 상에 증착될 수 있다. 당업자는, 필름 내 Si/N 비율이 모노-치환된 TSA 전구체 및 N-공급원 유속을 조정함으로써 맞춰질 수 있음을 인지할 것이다.
또 다른 대안에서, 밀도가 높은 SiN 필름은 ALD 방법을 사용하여 헥사클로로다이실란(HCDS), 펜타클로로다이실란(PCDS), 모노클로로다이실란(MCDS), 다이클로로다이실란(DCDS) 또는 모노클로로실란(MCS), 개시된 Si-포함 필름 형성 조성물 및 암모니아 반응물과 함께 증착될 수 있다. 반응 챔버는 5 Torr, 550℃에서 Ar의 55 sccm 연속 유동으로 조절될 수 있다. 약 1 sccm의 유속에서 개시된 Si-포함 필름 형성 조성물의 약 10초간의 긴 펄스가 반응 챔버 내에 도입된다. 조성물은 약 55 sccm의 Ar 유동으로 약 10초 동안 반응 챔버로부터 퍼징된다. 약 1 sccm의 유속에서 약 10초간의 HCDS 펄스가 반응 챔버 내에 도입된다. HCDS는 약 55 sccm의 Ar 유동으로 약 30초 동안 반응 챔버로부터 퍼징된다. 약 50 sccm의 유속에서 약 10초간의 긴 NH3 펄스가 반응 챔버 내에 도입된다. NH3는 약 55 sccm의 Ar 유동으로 약 30초 동안 반응 챔버로부터 퍼징된다. 이들 6개 단계는, 증착된 층이 적합한 두께를달성할 때까지 반복된다. 당업자는, 공간 ALD 디바이스를 사용할 때 도입 펄스가 동시적일 수 있음을 인지할 것이다. PCT 공개 WO2011/123792에 기술된 바와 같이, 전구체의 도입 순서는 다양할 수 있으며, SiCN 필름 내 탄소 및 질소의 양을 조정하기 위해 증착은 NH3 반응물과 함께 또는 NH3 반응물 없이 수행될 수 있다. 나아가, 당업자는, 유속 및 펄스 시간이 상이한 증착 챔버들 중에서 다양할 수 있음을 인지할 것이며, 각각의 디바이스에 대한 필수적인 파라미터를 확인할 수 있을 것이다.
비제한적인 예시적인 공정에서, 증기상의 개시된 Si-포함 필름 형성 조성물, 바람직하게는 모노-할로 치환된 TSA를 포함하는 Si-포함 필름 형성 조성물은 다공성 저-k 필름을 가진 기판을 고정하고 있는 반응 챔버 내로 도입된다. 기공 밀봉 필름은 US 2015/0004806에 기술된 조건에서(즉, 개시된 실리콘-포함 필름 형성 조성물, 산화제(예, 오존, 하이드로겐 퍼옥사이드, 산소, 물, 메탄올, 에탄올, 이소프로판올, 니트릭 옥사이드, 니트러스 다이옥사이드, 니트러스 옥사이드, 카본 모녹사이드 또는 카본 다이옥사이드) 및 할로겐-무포함 촉매 화합물(예, 질산, 인산, 황산, 에틸렌다이아민테트라아세트산, 피크르산 또는 아세트산)을 반응 챔버에 도입하고, 축합된 유동성 필름이 기판 상에 형성되는 조건 하에 기판을 공정 기체에 노출시킴으로써) 증착될 수 있다.
보다 다른 대안에서, 실리콘-포함 필름은 미국 특허 출원 공개 2014/0051264에 개시된 유동성 PECVD 방법에 의해, 개시된 조성물 및 라디칼 질소-포함 반응물 또는 라디칼 산소-포함 반응물을 사용하여 증착될 수 있다. 라디칼 질소-포함 반응물 또는 라디칼 산소-포함 반응물, 예컨대 각각 NH3 또는 H2O는 원거리 플라즈마 시스템에서 발생한다. 라디칼 반응물 및 증기상의 개시된 전구체가 반응 챔버 내에 도입되며, 반응 챔버에서 이들은 반응하고, 기판 상에 초기 유동성 필름을 증착시킨다. 출원인은, (SiH3)2N-(SiH2-X) 구조의 질소 원자가 증착된 필름의 유동성을 추가로 개선하는 데 일조하여, 보이드(void)가 더 적은 필름이 수득되며, 특히 X가 아미노기일 때, 보다 상세하게는 X가 -N(SiH3)2와 같은 다이실릴아미노기일 때 그러한 것으로 여긴다.
상기 논의된 공정으로 수득되는 실리콘-포함 필름은 SiO2, 질소 도핑된 실리콘 옥사이드, SiN, SiON, SiCN, SiCOH 또는 MSiNyOx를 포함할 수 있으며, 여기서, M은 Ti, Hf, Zr, Ta, Nb, V, Al, Sr, Y, Ba, Ca, As, B, P, Sb, Bi, Sn, Ge와 같은 원소이고, x, y는 당연하게도 M의 산화 상태에 따라 0 내지 4이고, y+x는 4일 수 있다. 당업자는, 적절한 모노-치환된 TSA 전구체 및 반응물을 판단력 있게 선택함으로써, 요망되는 필름 조성물이 수득될 수 있음을 인지할 것이다.
요망되는 필름 두께를 수득하는 경우, 필름은 추가적인 가공, 예컨대 열적 어닐링, 퍼너스-어닐링(furnace-annealing), 신속 열적 어닐링, UV 또는 e-빔 경화 및/또는 플라즈마 기체 노출을 받을 수 있다. 당업자는, 이들 부가적인 가공 단계들을 수행하는 데 이용되는 시스템 및 방법을 인지한다. 예를 들어, 실리콘-포함 필름은 불활성 분위기, H-포함 분위기, N-포함 분위기, O-포함 분위기 또는 이들의 조합 하에 약 200℃ 내지 약 1000℃ 범위의 온도에 약 0.1초 내지 약 7200초의 시간 동안 노출될 수 있다. 가장 바람직하게는, 온도는 반응성 H-포함 분위기 하에 3600초 미만 동안 600℃이다. 생성된 필름은 불순물을 더 적게 포함할 수 있으며, 따라서, 개선된 성능 특징을 가질 수 있다. 어닐링 단계는 증착 공정이 수행되는 동일한 반응 챔버에서 수행될 수 있다. 증착 공정이 FCVD인 경우, 경화 단계는 바람직하게는 600℃보다 낮은 온도에서 수행되는 산소 경화 단계이다. 산소-포함 분위기는 H2O 또는 O3를 포함할 수 있다. 대안적으로, 기판은 반응 챔버로부터 제거될 수 있으며, 어닐링/플래쉬 어닐링 공정은 개별 장치에서 수행된다.
실시예
하기 비제한적인 실시예는 본 발명의 실시형태를 추가로 예시하기 위해 제공된다. 그러나, 실시예는 본원에 기술된 본 발명의 범위를 모두 포함하는 것이 아니며, 이러한 범위를 제한하는 것도 아니다.
본원에 기술된 실시예는 TSA-기재 전구체, 즉 모노-치환된 TSA이다.
실시예 1: (SiH3)2N-SiH2-NiPr2 및 (SiH3)2N-SiH2-NEt2의 합성
다이이소프로필아민(3.0 mol) 300 g을, 반응기로서 오버헤드 기계적 교반기, 질소 버블러, 냉각기 및 하이드라이드 스크러버(scrubber)가 구비된 1-리터 필터 플라스크에 충전하였다. 클로로트리실릴아민 60 g(0.4 mol)을 적하 깔때기에 충전하였다. 적하 깔때기를 반응기에 고정하였다. 질소 스윕(sweep)을 적하 깔때기에 첨가하여, 깔때기의 팁(tip)에서 염이 형성되는 것을 방지하였다. 냉각기를 18℃까지 설정하고, 클로로트리실릴아민을 적하 깔때기를 통해 1.5시간의 기간에 걸쳐 첨가하였다. 첨가 동안 반응기 온도를 22℃ 내지 23℃에서 설정하였다. 첨가를 완료한 후, 반응기를 0.5시간 동안 교반하였다.
그런 다음, 아민 하이드로클로라이드 염을 여과하였다. 필터 케이크(cake)를 2개의 50 ml 분취액의 다이이소프로필아민으로 헹구었다. 대부분의 다이이소프로필아민을 증류시키면, 미정제 생성물 72 g이 남았다. 미정제 생성물을 유사한 방식으로 수행된 (SiH3)2N-SiH2-NiPr2의 더 작은 규모의 몇몇 조제물 유래의 다른 미정제 생성물과 조합하였다. 그런 다음, (SiH3)2N-SiH2-NiPr2를 -28 인치 수은의 진공 하에 86℃에서 증류시키고, 99% 초과로 순수한 생성물 79 g을 수집하였다. 전체 수율은 56%였다. 표 1은 증류로부터 추정된 (SiH3)2N-SiH2-NiPr2의 증기압 데이터 및 TSU 데이터를 보여준다.
(SiH3)2N-SiH2-NiPr2의 증기압 데이터
온도 (℃) 압력 (torr)
86 38
100 72
150 140
(SiH3)2N-SiH2-NEt2의 합성은 동일한 몰비로 유사하게 진행되나, 다이이소프로필아민을 다이에틸아민으로 대체한다.
실시예 2: (SiH3)2N-SiH2-NHiPr의 합성
다이이소프로필아민(3.0 mol) 300 g을, 반응기로서 오버헤드 기계적 교반기, 질소 버블러, 냉각기 및 하이드라이드 스크러버가 구비된 1-리터 필터 플라스크에 충전하였다. 클로로트리실릴아민 60 g(0.4 mol)을 적하 깔때기에 충전하였다. 적하 깔때기를 반응기에 고정하였다. 질소 스윕을 적하 깔때기에 첨가하여, 깔때기의 팁에서 염이 형성되는 것을 방지하였다. 냉각기를 18℃까지 설정하고, 클로로트리실릴아민을 적하 깔때기를 통해 1.5시간의 기간에 걸쳐 첨가하였다. 첨가 동안 반응기 온도를 22℃ 내지 23℃에서 설정하였다. 첨가를 완료한 후, 반응기를 0.5시간 동안 교반하였다.
그런 다음, 아민 하이드로클로라이드 염을 여과하였다. 필터 케이크를 2개의 50 ml 분취액의 이소프로필아민으로 헹구었다. 대부분의 이소프로필아민을 증류시키면, 미정제 생성물 72 g이 남았다. 미정제 생성물을 유사한 방식으로 수행된 (SiH3)2N-SiH2-NHiPr의 더 작은 규모의 몇몇 조제물 유래의 다른 미정제 생성물과 조합하였다. 그런 다음, (SiH3)2N-SiH2-NHiPr을 -28 인치 수은의 진공 하에 86℃에서 증류시키고, 99% 초과로 순수한 생성물 79 g을 수집하였다.
실시예 3: (SiH3)2N-SiH2-Br 및 (SiH3)2N-SiH2-N(SiH3)2의 합성
(SiH3)2N-SiH2-Br 및 (SiH3)2N-SiH2-N(SiH3)2는 SnBr4를 TSA와 반응시킴으로써 수득될 수 있다: SnBr4 + H3SiN(SiH3)2 = BrH2SiN(SiH3)2 + (SiH3)2N-SiH2-N(SiH3)2 + SnBr2 + HBr. 그런 다음, 상기 반응의 부산물인 HBr을 출발 물질 TSA와의 반응에 의해 제거할 수 있으며, 즉, N(SiH3)3 + 4HBr = NH4Br + 3BrSiH3이다. 합성 공정은 하기와 같다.
PTFE-코팅된 자기 교반 에그를 가진 둥근 바닥 플라스크에 화학양론적 과량의 TSA를 충전하였다. 필요하다면, TSA를 첨가하기 전에, 용매(예, 도데칸) 및 HBr 스캐빈저(예, 트리부틸아민)를 플라스크에 첨가하였다. 플라스크에는 콜드 핑거 축합기 또는 증류 헤드가 갖추어졌다. 액체 첨가 깔때기를 플라스크에 부착하고, 용매(예, 아니솔 또는 도데칸) 중 SnBr4 용액을 충전하였다. 그런 다음, 플라스크를 냉각시킬 수 있으며, SnBr4 용액을 플라스크에 적가하였다. HBr이 형성되므로 이를 제거하기 위해, 플라스크의 헤드스페이스를 질소 대기압 하에 또는 감압에서 유지시킬 수 있다.
첨가를 완료한 후, 트랩(들)을 통해 진공을 당김으로써 휘발성 생성물을 수집할 수 있다. 그런 다음, 수집된 휘발성 생성물을 GCMS에 의해 분석할 수 있다. TSA를 SnBr4로 처리하였을 때 (SiH3)2N(SiH2Br) 및 (SiH3)2N(SiH2N(SiH3)2)가 형성된 것을 발견하였다. 하기 부산물을 또한, 확인하였다: 실란, 브로모실란, 다이브로모트리실릴아민. 용매 및 미반응 SnBr4(일부 경우)가 또한, 발견되었다.
생성된 (SiH3)2N-SiH2-N(SiH3)2는 실온(약 22℃)에서 액체였으며, 용융점은 약 -106℃이고 비점은 약 131℃이었다. 증기압은 27℃에서 약 8 hPa인 것으로 계산되었다.
비교예 1
DNF Co., Ltd.의 WO2015/190749에서, 실시예 1은 n-펜탄 중 클로로다이메틸실란[Me2HSiCl]을 NH3와 반응시켜 테트라메틸다이실라잔[(Me2SiH)2NH]을 형성함으로써 다이메틸아미노다이메틸실릴 비스다이메틸실릴 아민[(Me2SiH)2NSiMe2(NMe2)]의 합성을 개시하고 있다. 그런 다음, 테트라메틸다이실라잔을 n-헥산 용매 중 n-BuLi와 반응시켜, (Me2SiH)2N-Li를 형성한다. 그런 다음, 클로로다이메틸 다이메틸아미노실란[ClSiMe2(NMe2)]을 혼합물에 첨가하여, (Me2SiH)2NSiMe2(NMe2) 생성물을 형성한다.
당업자는, 실시예 1에 기술된 합성 방법이 (SiH3)2N-모이어티를 포함하는 본원에 개시된 분자를 생성하는 데 적합하지 않을 것임을 인지할 것이다. DNF의 제안된 합성 방법은 아민 (SiH3)2NH을 합성 및 단리하고, 후속해서 이를 액체상에서 강염기인 tBuLi로 처리하는 것을 필요로 할 것이다. 문헌에서, (SiH3)2NH 아민이 이의 기체상에서 제조 및 취급될 수 있으나, 액체상에서 안정하지 않고 심지어 0℃에서 분해되는 것으로 잘 알려져 있다. 예를 들어, 문헌[Silicon-nitrogen compounds. VI. Preparation and properties of disilazane"; Aylett, Bernard J.; Hakim, M. J.; Journal of the Chemical Society [Section] A: Inorganic, Physical, Theoretical, 1969, 4, 639-642]을 참조한다. 보다 특히, (SiH3)2NH는 약염기, 예컨대 액체 NH3의 존재 하에 신속하게 불균형을 이룬다. 따라서, 당업자는, 훨씬 더 강한 염기, 예컨대 tBuLi가 보다 더 신속한 불균형을 유발할 것임을 인지할 것이다. 상동. 그 결과, 당업자는 필요한 (SiH3)2NLi 중간 염의 합성에서 성공을 예상하지 않을 것이며, 이러한 중간 염은 합성의 다음 단계에 필수적이다.
WO2015/190749의 실시예 1에 기술된 식 (SiHR2)2NH를 가진 아민의 제조 방법은 화학양론적 양에서 클로로실란 SiHR2Cl과 NH3의 반응을 시사한다. SiH3X(X는 Cl, Br, I임)의 경우, Stock 등은 이러한 방법에 의해서는 기체성 (SiH3)2NH를 단리할 수 없음을 보고하였으며, 그 이유는 반응이 비스-치환된 생성물에서 중단되지 않았고 트리스-치환된 아민 (SiH3)3N을 형성하였기 때문이다. 예를 들어, 문헌[Stock, A. and Somieski, C.; Chem . Ber., 1921, 54B, 740]을 참조한다. 각각의 실리콘 원자에 1개 초과의 하이드라이드를 가진 리튬 실릴아미드의 형성을 기술하고 있는 임의의 참조가 완전히 없는 상황에서 전술한 주장들 모두는, (SiH3)2N-포함 아미노실란 또는 (SiH2R)2N-포함 아미노실란을 WO2015/190749에 기술된 합성 경로에 의해 제조하는 것이 실현 불가능함을 입증한다.
이와는 대조적으로, 실시예 1 내지 실시예 3에 나타난 바와 같이, 출원인은 (SiH3)2N-SiH2Cl을 출발 물질로서 사용함으로써, 개시된 (SiH3)2N-포함 화합물을 성공적으로 합성하였다.
실시예 4
하기 PEALD 시험을, 4" 웨이퍼와 함께 Picosun R200 PEALD 8" 증착 툴을 사용하여 수행하였다. 모노-치환된 TSA 전구체의 증기를 도 3에 나타낸 바와 같이 Picosun 툴에 전달하였다.
ALD 시험을, 70℃까지 가열된 앰플에 위치한 (SiH3)2N-SiH2-NiPr2를 실리콘-포함 필름 형성 조성물(10)로서 사용하고 O2 플라즈마를 산화 반응물로서 사용함으로써 수행하였다. 전형적인 ALD 조건을 사용하였으며, 반응기(50) 압력은 약 9 hPa(1 hPa=100 Pa=1 mbar)에서 고정되었다. 2개의 0.1초 펄스의 전구체 증기를, 3-웨이 공압 밸브(pneumatic valve)(51)를 사용하여 앰플 내 과압을 통해 증착 챔버 내에 도입하였다. 0.1초 펄스들을 0.5초 포즈(pause)에 의해 분리하였다. 4초 N2 퍼징은 임의의 과량의 전구체를 제거하였다. 16초 플라즈마 O2 펄스 후, 3초 N2 퍼징이 후속되었다. 300 옹스트롬의 최소 두께가 수득될 때까지, 공정을 반복하였다. 70℃, 150℃ 및 300℃까지 가열된 기판을 이용하여 증착을 수행하였다. 실제(real) 자가-제한된 ALD 성장 거동을, 주어진 사이클 내의 전구체 펄스의 수를 증가시킴으로써 도 4에 나타낸 바와 같이 입증하였다.
ALD 시험을 또한, 60℃까지 가열된 앰플에 위치한 선행 기술의 SiH2(NEt2)2 전구체를 Si-포함 필름 형성 조성물(10)로서 사용하고 O2 플라즈마를 산화 반응물로서 사용함으로써 수행하였다. 출원인은, SiH2(NEt2)2가 현재 몇몇 상업적인 공정에서 SiO2를 증착시키는 데 사용된다고 여긴다. 전형적인 ALD 조건을 사용하였으며, 반응기 압력은 약 9 hPa(1 hPa=100 Pa=1 mbar)에서 고정되었다. 2개의 0.1초 펄스의 전구체 증기를, 3-웨이 공압 밸브를 사용하여 앰플 내 과압을 통해 증착 챔버 내에 도입하였다. 0.1초 펄스들을 0.5초 포즈에 의해 분리하였다. 4초 N2 퍼징은 임의의 과량의 전구체를 제거하였다. 16초 플라즈마 O2 펄스 후, 3초 N2 퍼징이 후속되었다. 300 옹스트롬의 최소 두께가 수득될 때까지, 공정을 반복하였다. 70℃, 150℃, 200℃ 및 300℃에서 증착을 수행하였다. 도 5에 나타낸 바와 같이, 1개 사이클 당 성장은 온도가 증가함에 따라 감소하였다.
표 1은 그 결과를 요약한 것이다:
SiH2(NEt2)2 (SiH3)2N-SiH2-NiPr2
성장 속도 70℃1 1.42 옹스트롬/사이클 3.10 옹스트롬/사이클
성장 속도 300℃1 0.98 옹스트롬/사이클 2.05 옹스트롬/사이클
습식 에칭률 70℃2 9.4 옹스트롬/초 8.8 옹스트롬/초
습식 에칭률 150℃2 7.2 옹스트롬/초 6.7 옹스트롬/초
습식 에칭률 300℃2 6.6 옹스트롬/초 6.7 옹스트롬/초
굴절률 70℃3 1.432 1.460
원자 % 탄소 70℃4 0.05% TBD
원자 % 탄소 150℃4 0.045% 0.015% 내지 0.03%
원자 % 수소 150℃4 약 10% 약 10%
원자 % 질소 150℃4 0.015% 0.1%
웨이퍼 내 불균일성5 2.84% 2.90%
1 언급된 온도에서 증착된 필름에 대한 성장 속도
2 언급된 온도에서 증착된 필름의 0.1% v/v HF(엘립소메트리(ellipsometry)에 의해 확인됨)를 사용한 습식 에칭률
3 70℃에서 증착된 필름에 대한 굴절률
4 2차 이온 질량 분석법(SIMS)에 의해 확인된 바와 같이, 언급된 온도에서 증착된 필름 내의 원자 백분율. 당업자가 인지할 바와 같이, SIMS에 의해 측정 시, 수소 함량은 불확실성(uncertainty)을 받는다.
5 6인치 실리콘 웨이퍼에 걸쳐 엘립소미터(ellipsometer)에 의해 확인된 바와 같이, 200℃에서 증착된 필름의 웨이퍼 내 불균일성. 이러한 파라미터는 최적화되지 않았으며, 보다 양호한 균일성은 공업적인 툴로부터 예상될 것이다.
알 수 있는 바와 같이, (SiH3)2N-SiH2-NiPr2에 의해 생성된 필름에 대한 성장 속도는 70℃ 내지 300℃ 둘 다에서 SiH2(NEt2)2보다 훨씬 더 양호하다. 70℃에서, (SiH3)2N-SiH2-NiPr2는 SiH2(NEt2)2보다 훨씬 더 양호한 습식 에칭률 및 굴절률을 가지며, 이는 둘 다 훨씬 더 양호하고 밀도가 더 높은 옥사이드 필름의 형성을 가리킨다.
실시예 5
N-도핑된 실리콘 옥사이드를 증착시키기 위한 ALD 시험을, 70℃까지 가열된 앰플에 위치한 (SiH3)2N-SiH2-NiPr2를 사용하고 O2 플라즈마를 산화 반응물로서 사용하고 NH3 플라즈마를 부가적인 반응물로서 사용함으로써 수행하였다. 전형적인 ALD 조건을 사용하였으며, 반응기 압력은 약 9 hPa에서 고정되었다. 2개의 0.1초 펄스의 전구체 증기를, 3-웨이 공압 밸브를 사용하여 앰플 내 과압을 통해 증착 챔버 내에 도입하였다. 0.1초 펄스들을 0.5초 포즈에 의해 분리하였다. 4초 N2 퍼징은 임의의 과량의 전구체를 제거하였다. 16초 플라즈마 O2 펄스 후, 3초 N2 퍼징이 후속되었다. 2개의 0.1초 펄스의 전구체 증기를, 3-웨이 공압 밸브를 사용하여 앰플 내 과압을 통해 증착 챔버 내에 도입하였다. 0.1초 펄스들을 0.5초 포즈에 의해 분리하였다. 4초 N2 퍼징은 임의의 과량의 전구체를 제거하였다. 11초 플라즈마 NH3 펄스 후, 3초 퍼징이 후속되었다. 두께가 적어도 300 옹스트롬에 도달할 때까지, 전체 공정(전구체 - 플라즈마 O2 - 전구체 - 플라즈마 NH3)을 반복하였다. 증착을 150℃에서 수행하였다.
생성된 SiO2 필름은 0.1% v/v HF(엘립소메트리에 의해 확인됨)를 사용한 경우 습식 에칭률이 3.2 옹롬/초이었으며, N 농도는 약 1%였다. 이러한 낮은 에칭률은, ALD-증착 실리콘 옥사이드 필름이 마스크로서 사용되는 경우, 트랜스퍼층에서 낮은 에지 거칠기(edge roughness)가 가능하도록 스페이서-기재 더블 패턴화에 유익한 것으로 확인된다. 당업자는, 수득된 필름 내 질소 함량에 대한 산소 함량이, O-포함 반응물 펄스 및 N-포함 반응물 펄스의 수, 순서 및/또는 기간을 조정함으로써 맞춰질 수 있음을 인지할 것이다. 출원인은, SiO2 필름 내 약 0.5 원자% 내지 약 5 원자%의 N 농도가 스페이서-한정 패턴화 적용에 유익한 것으로 여긴다.
실시예 6
ALD 시험을, 26℃까지 가열된 앰플에 위치한 (SiH3)2N-SiH2-N(SiH3)2를 사용하고 O2 플라즈마를 산화 반응물로서 사용함으로써 수행하였다. 전형적인 ALD 조건을 사용하였으며, 반응기 압력은 약 9 hPa에서 고정되었다. 3개의 0.1초 펄스의 전구체 증기를, 3-웨이 공압 밸브를 사용하여 앰플 내 과압을 통해 증착 챔버 내에 도입하였다. 0.1초 펄스들을 0.5초 포즈에 의해 분리하였다. 4초 N2 퍼징은 임의의 과량의 전구체를 제거하였다. 16초 플라즈마 O2 펄스 후, 3초 N2 퍼징이 후속되었다. 두께가 적어도 300 옹스트롬에 도달할 때까지, 전체 공정(전구체 - 플라즈마 O2 -)을 반복하였다. 도 6에 나타낸 바와 같이, 1개 사이클 당 성장은 증착 온도를 150℃로부터 300℃까지 증가시킴에 따라 증가하였다. 도 6은 또한, 5개의 0.1초 펄스 대(versus) 3개의 0.1초 펄스의 1개 사이클 당 성장을 비교한 결과를 보여준다. 둘 다 약 0.6 A/사이클이었으며, 이는 참(true) ALD 포화를 가리키며, 그 이유는 5개의 펄스들을 통해 도입된 보다 많은 양의 전구체가 3개의 펄스들에 의해 생성된 필름보다 더 높은 성장 속도를 초래하지 않기 때문이다.
성장 속도는 150℃에서 약 0.58 옹스트롬/사이클이었으며, 굴절률이 1.45인 필름이 수득되었다. 비교를 위해, 유사한 조건에서 순수한 TSA를 사용하여 ALD에 의해 SiO2 필름을 성장시키려는 시도로는 임의의 필름이 수득되지 않았으며, 따라서, 표면 하이드록실기와의 반응성을 증강시키기 위한 화학적 관능화의 이득을 입증하였다.
실시예 7
ALD 시험을, 70℃까지 가열된 앰플에 위치한 (SiH3)2N-SiH2-NEt2를 실리콘-포함 필름 형성 조성물(10)로서 사용하고 O2 플라즈마를 산화 반응물로서 사용함으로써 수행하였다. 전형적인 ALD 조건을 사용하였으며, 반응기(50) 압력은 약 9 hPa(1 hPa=100 Pa=1 mbar)에서 고정되었다. 2개의 0.1초 펄스의 전구체 증기를, 3-웨이 공압 밸브(51)를 사용하여 앰플 내 과압을 통해 증착 챔버 내에 도입하였다. 펄스들을 0.5초 포즈에 의해 분리하였다. 4초 N2 퍼징은 임의의 과량의 전구체를 제거하였다. 16초 플라즈마 O2 펄스 후, 3초 N2 퍼징이 후속되었다. 300 옹스트롬의 최소 두께가 수득될 때까지, 공정을 반복하였다. 150℃까지 가열된 기판을 이용하여 증착을 수행하였다. 자가-제한된 ALD 성장 거동을, 1개 사이클 당 0.4초보다 긴 누적 개방 시간(cumulative opening time)에 도달하도록 전구체 펄스의 수를 증가시킴으로써 도 7에 나타낸 바와 같이 확인하였다.
실시예 4에서와 같이, 150℃에서 (SiH3)2N-SiH2-NEt2에 의해 생성된 필름에 대한 약 3.0 Å/사이클의 성장 속도는 각각 70℃ 및 300℃에서 SiH2(NEt2)2에 의해 생성된 필름들의 1.42 Å/사이클 및 0.98 Å/사이클보다 훨씬 더 양호하다.
실시예 8
ALD 시험을, 30℃까지 가열된 앰플에 위치한 (SiH3)2N-SiH2-Cl을 실리콘-포함 필름 형성 조성물(10)로서 사용하고 O2 플라즈마를 산화 반응물로서 사용함으로써 수행하였다. 분자량이 141.78인 (SiH3)2N-SiH2-Cl 기체는 실온 및 표준 압력에서 액체이고, 표준 압력에서 약 83℃ 내지 약 85℃의 비점을 가진다.
전형적인 ALD 조건을 사용하였으며, 반응기(50) 압력은 약 9 hPa(1 hPa=100 Pa=1 mbar)에서 고정되었다. 2개의 0.1초 펄스의 전구체 증기를, 3-웨이 공압 밸브(51)를 사용하여 앰플 내 과압을 통해 증착 챔버 내에 도입하였다. 0.1초 펄스들을 0.5초 포즈에 의해 분리하였다. 4초 N2 퍼징은 임의의 과량의 전구체를 제거하였다. 16초 플라즈마 O2 펄스 후, 3초 N2 퍼징이 후속되었다. 300 옹스트롬의 최소 두께가 수득될 때까지, 공정을 반복하였다. 100℃, 150℃, 200℃, 250℃ 및 300℃까지 가열된 기판을 이용하여 증착을 수행하였다. 도 8에 도시된 바와 같이, 1개 사이클 당 성장은 증착 온도가 100℃부터 300℃까지 증가함에 따라 꾸준한 채로 유지되었다(즉, 약 2 Å/사이클).
생성된 실리콘 옥사이드 필름을 다수의 온도들에서 높은 증착 속도(약 2Å/사이클)에서 증착시켰다. 이들 결과는, 반응기 내 온도 구배로 인해 온도 균일성이 기판(즉, 큰 기판에 대해)에 걸쳐 유지하기 어렵거나 하나의 기판에서 또 다른 기판에 대해 유지하기 어려운 경우, 유익하다.
필름의 평균 두께는 또한, 웨이퍼에 걸쳐 균일하였다. 표 2는 수득된 필름 균일성을 요약한 것이다. 이들 결과는 극도로 양호한 필름 균일성을 보여준다.
실험 수
(공정 조건)
증착 온도
(℃)
평균 두께 (Å) 불균일성1 (%) σ2 3 σ/평균 (%)
1272 (1 x 0.1 s) 100 321 1.04 1.66 1.56
1256 (2 x 0.1 s) 100 329 1.07 1.59 1.45
1271 (3 x 0.1 s) 100 323 0.93 1.34 1.24
1252 (1 x 0.1 s) 150 590 1.30 4.45 2.26
1253 (2 x 0.1 s) 150 585 1.96 6.61 3.39
1267 (1 x 0.1 s) 200 319 0.66 1.09 1.03
1273 (2 x 0.1 s) 200 325 1.15 1.94 1.79
1268 (3 x 0.1 s) 200 324 1.15 2.01 1.87
1263 (1 x 0.1 s) 250 362 0.74 1.37 1.13
1265 (2 x 0.1 s) 250 317 0.88 1.27 1.20
1 % 불균일성 = [(최대 두께 - 최소 두께)/(2x 평균)] x 100
2 σ = 표준 편차
실시예 9
열적 저압 CVD 시험을, 1 torr, 550℃에서, 전구체 : NH3의 비율 1:20에서, (SiH3)2N-SiH2-Cl 및 NH3를 사용하여 수행하였다. 도 9는 생성된 필름의 조성을 보여주는 X-선 광전자 분광법(XPS) 그래프이다. Cl은 분석기의 검출 한계 미만(약 1 원자% 미만)이었다. 증착 속도는 10 Å/분이었고, 수득된 필름의 굴절률(RI)은 2.1이었다. 비교를 위해, TSA와 동일한 조건에서 수득된 필름은 2.2의 RI(실리콘 풍부) 및 유사한 증착 속도를 가졌다. 생성된 필름은 매우 낮은 에칭률(20 Å/분 미만)을 가졌으며, 이는 반도체 집적 도식에서 유익하다.
실시예 10
PE-ALD 시험을, 150 mm 웨이퍼를 사용하여 실시예 4 내지 실시예 8(즉, CN1 원자 프리미엄 증기 증착 장치)에서 수행된 ALD 시험과 상이한 ALD 툴 상에서 250℃에서 수행하였다. 25 W 및 100 W에서 (SiH3)2N-SiH2-Cl (TSA-Cl) 및 플라즈마 N2를 사용하여, SiN 필름을 증착시켰다.
도 10에 도시된 바와 같이, 생성된 필름은 BDEAS(비스(다이에틸아미노)실란), TSA 및 참조 열적 옥사이드(Th-ox)와 비교하여 매우 낮은 습식 에칭률을 나타내었다. 에칭률은 열적으로 성장된 실리콘 다이옥사이드(열적 옥사이드 또는 Th-ox)의 표준 습식 에칭과 비교하여 기술되어 있다.
25 W를 사용하여 TSA 및 TSA-Cl에 의해 생성된 SiN 필름은 참조 물질 Th-Ox보다 더 낮은 에칭률을 가졌다. 더 낮은 에칭률은, (동일한 조건 및 파라미터 하에) Th-Ox보다 TSA 및 TSA-Cl에 의해 생성된 SiN 필름으로부터 더 적은 물질 두께가 제거됨을 의미한다. 100 W에서, TSA-Cl에 의해 생성딘 SiN 필름의 에칭률은 Th-Ox와 매우 근접한 결과를 생성한다.
도 11a 및 도 11b는 5:1의 형상비를 가진 2개의 바이어스(vias) 사이에 위치한 구조 상에 증착된 SiN 필름의 양호한 단계 커버리지 및 정형성(conformality)을 보여주는 주사 전자 현미경(SEM) 이미지이다.
실시예 11
도 12a 및 도 12b는 100℃에서, 1분 당 60 사이클에서 TSA-NiPr2 / O2 플라즈마와 함께 공간 ALD에 의해 수득된 실리콘 옥사이드 필름을 보여주는 SEM 이미지이며, 이는, 22:1의 높은 형상비 구조에서 완벽한 단계 커버리지를 가리킨다. 대비 증강을 위해, 또렷한 기저 Ta2O5 층이 첨가되었다. 사진들은 트렌치 구조의 상부(도 12a) 및 하부(도 12b)에서 촬영된 것이다.
실시예 12
도 13a 및 도 13b 열적 스크리닝 유닛에서 각각 TSA-NiPr2 및 TSA-NEt2 [(H3Si)2-N-SiH2-NiPr2 및 (H3Si)2-N-SiH2-NEt2]의 열적 안정성을 보여주는 그래프이다. 이러한 측정에서, 밀봉된 검체의 압력은 설정 온도(여기서는 65℃)에서 24시간 동안 지속적으로 측정된다. 유의한 압력 변화의 부재는 생성물 안정성을 가리킨다. 관찰된 P 진동은 여기서 유의미하지 않으며, 최소 T 변량과 연관이 있다.
본 발명의 실시형태들이 나타나 있고 기술되어 있긴 하지만, 본 발명의 사상 또는 교시로부터 벗어나지 않으면서 당업자에 의해 이들의 변형이 이루어질 수 있다. 본원에 기술된 실시형태는 예시적일 뿐, 제한하려는 것이 아니다. 조성물 및 방법의 많은 변화 및 변형들이 가능하며, 본 발명의 범위 내에 포함된다. 이에, 보호 범위는 본원에 기술된 실시형태들에 제한되지 않으나, 후속하는 청구항에 의해서만 제한되며, 청구항의 범위는 청구항의 주제의 모든 등가물들을 포함해야 한다.

Claims (16)

  1. 실리콘-포함 및 질소-포함 필름의 형성 방법으로서,
    모노-치환된 TSA 전구체의 증기 및 질소-포함 반응물을, 기판을 포함하는 반응기 내에 도입함으로써, 기판 상에 실리콘-포함 및 질소-포함 필름을 증착시키는 단계를 포함하며,
    모노-치환된 TSA 전구체는 식 (SiH3)2N-SiH2-X를 가지며,
    상기 식에서,
    X는 할로겐 원자 또는 아미노기 [-NR2]이고,
    R은 각각 독립적으로 H; C1-C6 하이드로카르빌기; 또는 실릴기 [SiR'3]로 이루어진 군으로부터 선택되며,
    R'는 각각 독립적으로 H 또는 C1-C6 하이드로카르빌기로부터 선택되는, 실리콘-포함 및 질소-포함 필름의 형성 방법.
  2. 제1항에 있어서,
    X가 Cl, Br 또는 I인, 실리콘-포함 및 질소-포함 필름의 형성 방법.
  3. 제2항에 있어서,
    X가 Cl인, 실리콘-포함 및 질소-포함 필름의 형성 방법.
  4. 제1항에 있어서,
    X가 NiPr2인, 실리콘-포함 및 질소-포함 필름의 형성 방법.
  5. 제1항에 있어서,
    X가 NEt2인, 실리콘-포함 및 질소-포함 필름의 형성 방법.
  6. 제1항에 있어서,
    X가 N(SiH3)2인, 실리콘-포함 및 질소-포함 필름의 형성 방법.
  7. 제1항 내지 제6항 중 어느 한 항에 있어서,
    질소-포함 반응물이 암모니아, N2, N 원자, N 라디칼, N 이온, 포화 또는 불포화 하이드라진, 아민, 다이아민, 에탄올아민 및 이들의 조합으로 이루어진 군으로부터 선택되는, 실리콘-포함 및 질소-포함 필름의 형성 방법.
  8. 제7항에 있어서,
    질소-포함 반응물이 NH-3인, 실리콘-포함 및 질소-포함 필름의 형성 방법.
  9. 제8항에 있어서,
    모노-치환된 TSA 전구체 및 질소-포함 반응물이 반응기 내에 동시에 도입되는, 실리콘-포함 및 질소-포함 필름의 형성 방법.
  10. 제7항에 있어서,
    질소-포함 반응물이 플라즈마 N2인, 실리콘-포함 및 질소-포함 필름의 형성 방법.
  11. 제10항에 있어서,
    모노-치환된 TSA 전구체 및 질소-포함 반응물이 반응기 내에 순차적으로 도입되는, 실리콘-포함 및 질소-포함 필름의 형성 방법.
  12. ALD 실리콘 니트라이드 필름의 형성 방법으로서,
    모노-치환된 TSA 전구체의 증기 및 질소-포함 반응물을, 기판을 포함하는 반응기 내에 순차적으로 도입함으로써, 열적으로 성장된(thermally grown) 실리콘 다이옥사이드로 정상화된(normalized) 0.1% w/w HF로부터 에칭률을 약 0.7 내지 약 3.5로 가진 실리콘 니트라이드 필름을 기판 상에 증착시키는 단계를 포함하며,
    모노-치환된 TSA 전구체는 식 (SiH3)2N-SiH2-X를 가지며,
    상기 식에서,
    X는 할로겐 원자 또는 아미노기 [-NR2]이고,
    R은 각각 독립적으로 H 또는 C1-C6 하이드로카르빌기로 이루어진 군으로부터 선택되는, ALD 실리콘 니트라이드 필름의 형성 방법.
  13. 제12항에 있어서,
    질소-포함 반응물이 암모니아, N2, N 원자, N 라디칼, N 이온, 포화 또는 불포화 하이드라진, 아민, 다이아민, 에탄올아민 및 이들의 조합으로 이루어진 군으로부터 선택되는, ALD 실리콘 니트라이드 필름의 형성 방법.
  14. 제13항에 있어서,
    질소-포함 반응물이 플라즈마 N2인, ALD 실리콘 니트라이드 필름의 형성 방법.
  15. 제12항 내지 제14항 중 어느 한 항에 있어서,
    X가 Cl, Br 또는 I인, ALD 실리콘 니트라이드 필름의 형성 방법.
  16. 제12항 내지 제14항 중 어느 한 항에 있어서,
    X가 Cl인, ALD 실리콘 니트라이드 필름의 형성 방법.
KR1020177003751A 2015-03-30 2016-06-10 실리콘-포함 및 질소-포함 박막을 형성하기 위한 기상 증착 방법 KR102092447B1 (ko)

Applications Claiming Priority (6)

Application Number Priority Date Filing Date Title
US201562140248P 2015-03-30 2015-03-30
US14/738,039 2015-06-12
US14/738,039 US9777025B2 (en) 2015-03-30 2015-06-12 Si-containing film forming precursors and methods of using the same
USPCT/US2016/025010 2016-03-30
PCT/US2016/025010 WO2016160990A1 (en) 2015-03-30 2016-03-30 Si-containing film forming compositions and methods of using the same
PCT/US2016/037013 WO2016201320A1 (en) 2015-03-30 2016-06-10 Vapor deposition processes for forming silicon- and nitrogen-containing thin films

Publications (2)

Publication Number Publication Date
KR20180008372A true KR20180008372A (ko) 2018-01-24
KR102092447B1 KR102092447B1 (ko) 2020-03-24

Family

ID=54929776

Family Applications (4)

Application Number Title Priority Date Filing Date
KR1020177003631A KR101845279B1 (ko) 2015-03-30 2016-03-30 Si-포함 필름 형성 조성물 및 이의 사용 방법
KR1020187008849A KR102113412B1 (ko) 2015-03-30 2016-03-30 Si-포함 필름 형성 조성물 및 이의 사용 방법
KR1020177004588A KR101803245B1 (ko) 2015-03-30 2016-06-10 실리콘-포함 및 산소-포함 박막을 형성하기 위한 기상 증착 방법
KR1020177003751A KR102092447B1 (ko) 2015-03-30 2016-06-10 실리콘-포함 및 질소-포함 박막을 형성하기 위한 기상 증착 방법

Family Applications Before (3)

Application Number Title Priority Date Filing Date
KR1020177003631A KR101845279B1 (ko) 2015-03-30 2016-03-30 Si-포함 필름 형성 조성물 및 이의 사용 방법
KR1020187008849A KR102113412B1 (ko) 2015-03-30 2016-03-30 Si-포함 필름 형성 조성물 및 이의 사용 방법
KR1020177004588A KR101803245B1 (ko) 2015-03-30 2016-06-10 실리콘-포함 및 산소-포함 박막을 형성하기 위한 기상 증착 방법

Country Status (8)

Country Link
US (4) US9777025B2 (ko)
EP (1) EP3277698B1 (ko)
JP (5) JP6441498B2 (ko)
KR (4) KR101845279B1 (ko)
CN (4) CN107429389B (ko)
SG (2) SG11201707756WA (ko)
TW (3) TWI757922B (ko)
WO (3) WO2016160990A1 (ko)

Families Citing this family (420)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US10378106B2 (en) 2008-11-14 2019-08-13 Asm Ip Holding B.V. Method of forming insulation film by modified PEALD
US9394608B2 (en) 2009-04-06 2016-07-19 Asm America, Inc. Semiconductor processing reactor and components thereof
US8802201B2 (en) 2009-08-14 2014-08-12 Asm America, Inc. Systems and methods for thin-film deposition of metal oxides using excited nitrogen-oxygen species
TWI509695B (zh) 2010-06-10 2015-11-21 Asm Int 使膜選擇性沈積於基板上的方法
US9312155B2 (en) 2011-06-06 2016-04-12 Asm Japan K.K. High-throughput semiconductor-processing apparatus equipped with multiple dual-chamber modules
US10364496B2 (en) 2011-06-27 2019-07-30 Asm Ip Holding B.V. Dual section module having shared and unshared mass flow controllers
US10854498B2 (en) 2011-07-15 2020-12-01 Asm Ip Holding B.V. Wafer-supporting device and method for producing same
US20130023129A1 (en) 2011-07-20 2013-01-24 Asm America, Inc. Pressure transmitter for a semiconductor processing environment
US9017481B1 (en) 2011-10-28 2015-04-28 Asm America, Inc. Process feed management for semiconductor substrate processing
US9112003B2 (en) 2011-12-09 2015-08-18 Asm International N.V. Selective formation of metallic films on metallic surfaces
US9234276B2 (en) 2013-05-31 2016-01-12 Novellus Systems, Inc. Method to obtain SiC class of films of desired composition and film properties
US10325773B2 (en) 2012-06-12 2019-06-18 Novellus Systems, Inc. Conformal deposition of silicon carbide films
US9558931B2 (en) 2012-07-27 2017-01-31 Asm Ip Holding B.V. System and method for gas-phase sulfur passivation of a semiconductor surface
US9659799B2 (en) 2012-08-28 2017-05-23 Asm Ip Holding B.V. Systems and methods for dynamic semiconductor process scheduling
US9021985B2 (en) 2012-09-12 2015-05-05 Asm Ip Holdings B.V. Process gas management for an inductively-coupled plasma deposition reactor
US10714315B2 (en) 2012-10-12 2020-07-14 Asm Ip Holdings B.V. Semiconductor reaction chamber showerhead
US20160376700A1 (en) 2013-02-01 2016-12-29 Asm Ip Holding B.V. System for treatment of deposition reactor
US9484191B2 (en) 2013-03-08 2016-11-01 Asm Ip Holding B.V. Pulsed remote plasma method and system
US9589770B2 (en) 2013-03-08 2017-03-07 Asm Ip Holding B.V. Method and systems for in-situ formation of intermediate reactive species
US8993054B2 (en) 2013-07-12 2015-03-31 Asm Ip Holding B.V. Method and system to reduce outgassing in a reaction chamber
US9240412B2 (en) 2013-09-27 2016-01-19 Asm Ip Holding B.V. Semiconductor structure and device and methods of forming same using selective epitaxial process
EP3049499B1 (en) 2013-09-27 2020-07-22 L'air Liquide, Société Anonyme Pour L'Étude Et L'exploitation Des Procédés Georges Claude Amine substituted trisilylamine and tridisilylamine compounds
US9605343B2 (en) 2013-11-13 2017-03-28 Asm Ip Holding B.V. Method for forming conformal carbon films, structures conformal carbon film, and system of forming same
US10804094B2 (en) 2016-05-06 2020-10-13 Applied Materials, Inc. Methods of depositing SiCON with C, O and N compositional control
US11549181B2 (en) 2013-11-22 2023-01-10 Applied Materials, Inc. Methods for atomic layer deposition of SiCO(N) using halogenated silylamides
TWI686499B (zh) 2014-02-04 2020-03-01 荷蘭商Asm Ip控股公司 金屬、金屬氧化物與介電質的選擇性沉積
US10683571B2 (en) 2014-02-25 2020-06-16 Asm Ip Holding B.V. Gas supply manifold and method of supplying gases to chamber using same
US10167557B2 (en) 2014-03-18 2019-01-01 Asm Ip Holding B.V. Gas distribution system, reactor including the system, and methods of using the same
US11015245B2 (en) 2014-03-19 2021-05-25 Asm Ip Holding B.V. Gas-phase reactor and system having exhaust plenum and components thereof
US10047435B2 (en) 2014-04-16 2018-08-14 Asm Ip Holding B.V. Dual selective deposition
US10858737B2 (en) 2014-07-28 2020-12-08 Asm Ip Holding B.V. Showerhead assembly and components thereof
US9890456B2 (en) 2014-08-21 2018-02-13 Asm Ip Holding B.V. Method and system for in situ formation of gas-phase compounds
US9657845B2 (en) 2014-10-07 2017-05-23 Asm Ip Holding B.V. Variable conductance gas distribution apparatus and method
US10941490B2 (en) 2014-10-07 2021-03-09 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
WO2016065219A1 (en) * 2014-10-24 2016-04-28 Air Products And Chemicals, Inc. Compositions and methods using same for deposition of silicon-containing film
KR102300403B1 (ko) 2014-11-19 2021-09-09 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
KR102263121B1 (ko) 2014-12-22 2021-06-09 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 및 그 제조 방법
US9490145B2 (en) 2015-02-23 2016-11-08 Asm Ip Holding B.V. Removal of surface passivation
US10529542B2 (en) 2015-03-11 2020-01-07 Asm Ip Holdings B.V. Cross-flow reactor and method
US10276355B2 (en) 2015-03-12 2019-04-30 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
US9777025B2 (en) * 2015-03-30 2017-10-03 L'Air Liquide, Société pour l'Etude et l'Exploitation des Procédés Georges Claude Si-containing film forming precursors and methods of using the same
US11124876B2 (en) 2015-03-30 2021-09-21 L'Air Liquide, Société Anonyme pour l'Etude et l'Exploitation des Procédés Georges Claude Si-containing film forming precursors and methods of using the same
JP6959147B2 (ja) * 2015-06-16 2021-11-02 バーサム マテリアルズ ユーエス,リミティド ライアビリティ カンパニー ハライドシラン化合物及び組成物並びにそれを使用してケイ素含有膜を堆積するためのプロセス
US10458018B2 (en) 2015-06-26 2019-10-29 Asm Ip Holding B.V. Structures including metal carbide material, devices including the structures, and methods of forming same
US10600673B2 (en) 2015-07-07 2020-03-24 Asm Ip Holding B.V. Magnetic susceptor to baseplate seal
US10043661B2 (en) 2015-07-13 2018-08-07 Asm Ip Holding B.V. Method for protecting layer by forming hydrocarbon-based extremely thin film
US10083836B2 (en) 2015-07-24 2018-09-25 Asm Ip Holding B.V. Formation of boron-doped titanium metal films with high work function
US10428421B2 (en) 2015-08-03 2019-10-01 Asm Ip Holding B.V. Selective deposition on metal or metallic surfaces relative to dielectric surfaces
US10087525B2 (en) 2015-08-04 2018-10-02 Asm Ip Holding B.V. Variable gap hard stop design
US10566185B2 (en) 2015-08-05 2020-02-18 Asm Ip Holding B.V. Selective deposition of aluminum and nitrogen containing material
US10121699B2 (en) 2015-08-05 2018-11-06 Asm Ip Holding B.V. Selective deposition of aluminum and nitrogen containing material
US9647114B2 (en) 2015-08-14 2017-05-09 Asm Ip Holding B.V. Methods of forming highly p-type doped germanium tin films and structures and devices including the films
US20170051405A1 (en) * 2015-08-18 2017-02-23 Asm Ip Holding B.V. Method for forming sin or sicn film in trenches by peald
US9960072B2 (en) 2015-09-29 2018-05-01 Asm Ip Holding B.V. Variable adjustment for precise matching of multiple chamber cavity housings
US10343186B2 (en) 2015-10-09 2019-07-09 Asm Ip Holding B.V. Vapor phase deposition of organic films
US10695794B2 (en) 2015-10-09 2020-06-30 Asm Ip Holding B.V. Vapor phase deposition of organic films
US10814349B2 (en) 2015-10-09 2020-10-27 Asm Ip Holding B.V. Vapor phase deposition of organic films
US10211308B2 (en) 2015-10-21 2019-02-19 Asm Ip Holding B.V. NbMC layers
US10322384B2 (en) 2015-11-09 2019-06-18 Asm Ip Holding B.V. Counter flow mixer for process chamber
US9786491B2 (en) 2015-11-12 2017-10-10 Asm Ip Holding B.V. Formation of SiOCN thin films
US9786492B2 (en) 2015-11-12 2017-10-10 Asm Ip Holding B.V. Formation of SiOCN thin films
TWI754626B (zh) * 2015-12-18 2022-02-11 中國大陸商南大光電半導體材料有限公司 高純度三矽烷胺、製造方法、及用途
US9627221B1 (en) 2015-12-28 2017-04-18 Asm Ip Holding B.V. Continuous process incorporating atomic layer etching
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
US10468251B2 (en) 2016-02-19 2019-11-05 Asm Ip Holding B.V. Method for forming spacers using silicon nitride film for spacer-defined multiple patterning
US10529554B2 (en) 2016-02-19 2020-01-07 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
CN109072426B (zh) * 2016-02-26 2021-12-03 弗萨姆材料美国有限责任公司 组合物和使用其沉积含硅膜的方法
US9981286B2 (en) 2016-03-08 2018-05-29 Asm Ip Holding B.V. Selective formation of metal silicides
US10501866B2 (en) 2016-03-09 2019-12-10 Asm Ip Holding B.V. Gas distribution apparatus for improved film uniformity in an epitaxial system
US10343920B2 (en) 2016-03-18 2019-07-09 Asm Ip Holding B.V. Aligned carbon nanotubes
JP6478330B2 (ja) * 2016-03-18 2019-03-06 株式会社Kokusai Electric 半導体装置の製造方法、基板処理装置およびプログラム
US9892913B2 (en) 2016-03-24 2018-02-13 Asm Ip Holding B.V. Radial and thickness control via biased multi-port injection settings
US20170298503A1 (en) * 2016-04-18 2017-10-19 Asm Ip Holding B.V. Combined anneal and selective deposition systems
US10204782B2 (en) 2016-04-18 2019-02-12 Imec Vzw Combined anneal and selective deposition process
US10551741B2 (en) 2016-04-18 2020-02-04 Asm Ip Holding B.V. Method of forming a directed self-assembled layer on a substrate
US10190213B2 (en) 2016-04-21 2019-01-29 Asm Ip Holding B.V. Deposition of metal borides
US10087522B2 (en) 2016-04-21 2018-10-02 Asm Ip Holding B.V. Deposition of metal borides
US10865475B2 (en) 2016-04-21 2020-12-15 Asm Ip Holding B.V. Deposition of metal borides and silicides
US10367080B2 (en) 2016-05-02 2019-07-30 Asm Ip Holding B.V. Method of forming a germanium oxynitride film
US10032628B2 (en) 2016-05-02 2018-07-24 Asm Ip Holding B.V. Source/drain performance through conformal solid state doping
US11081342B2 (en) 2016-05-05 2021-08-03 Asm Ip Holding B.V. Selective deposition using hydrophobic precursors
KR102378021B1 (ko) * 2016-05-06 2022-03-23 에이에스엠 아이피 홀딩 비.브이. SiOC 박막의 형성
KR102592471B1 (ko) 2016-05-17 2023-10-20 에이에스엠 아이피 홀딩 비.브이. 금속 배선 형성 방법 및 이를 이용한 반도체 장치의 제조 방법
US10384944B2 (en) * 2016-05-19 2019-08-20 L'Air Liquide, Société Anonyme pour l'Etude et l'Exploitation des Procédés Georges Claude Preparation of Si—H containing iodosilanes via halide exchange reaction
US11453943B2 (en) 2016-05-25 2022-09-27 Asm Ip Holding B.V. Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor
US10453701B2 (en) 2016-06-01 2019-10-22 Asm Ip Holding B.V. Deposition of organic films
US10373820B2 (en) 2016-06-01 2019-08-06 Asm Ip Holding B.V. Deposition of organic films
US9803277B1 (en) 2016-06-08 2017-10-31 Asm Ip Holding B.V. Reaction chamber passivation and selective deposition of metallic films
US10014212B2 (en) 2016-06-08 2018-07-03 Asm Ip Holding B.V. Selective deposition of metallic films
US10388509B2 (en) 2016-06-28 2019-08-20 Asm Ip Holding B.V. Formation of epitaxial layers via dislocation filtering
US10612137B2 (en) 2016-07-08 2020-04-07 Asm Ip Holdings B.V. Organic reactants for atomic layer deposition
US9859151B1 (en) 2016-07-08 2018-01-02 Asm Ip Holding B.V. Selective film deposition method to form air gaps
US9793135B1 (en) 2016-07-14 2017-10-17 ASM IP Holding B.V Method of cyclic dry etching using etchant film
US10714385B2 (en) 2016-07-19 2020-07-14 Asm Ip Holding B.V. Selective deposition of tungsten
US10381226B2 (en) 2016-07-27 2019-08-13 Asm Ip Holding B.V. Method of processing substrate
US9887082B1 (en) 2016-07-28 2018-02-06 Asm Ip Holding B.V. Method and apparatus for filling a gap
KR102532607B1 (ko) 2016-07-28 2023-05-15 에이에스엠 아이피 홀딩 비.브이. 기판 가공 장치 및 그 동작 방법
US9812320B1 (en) 2016-07-28 2017-11-07 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10395919B2 (en) 2016-07-28 2019-08-27 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10177025B2 (en) 2016-07-28 2019-01-08 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10090316B2 (en) 2016-09-01 2018-10-02 Asm Ip Holding B.V. 3D stacked multilayer semiconductor memory using doped select transistor channel
US10410943B2 (en) 2016-10-13 2019-09-10 Asm Ip Holding B.V. Method for passivating a surface of a semiconductor and related systems
US10464953B2 (en) 2016-10-14 2019-11-05 Versum Materials Us, Llc Carbon bridged aminosilane compounds for high growth rate silicon-containing films
US10643826B2 (en) 2016-10-26 2020-05-05 Asm Ip Holdings B.V. Methods for thermally calibrating reaction chambers
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
US10435790B2 (en) 2016-11-01 2019-10-08 Asm Ip Holding B.V. Method of subatmospheric plasma-enhanced ALD using capacitively coupled electrodes with narrow gap
US11735413B2 (en) * 2016-11-01 2023-08-22 Versum Materials Us, Llc Precursors and flowable CVD methods for making low-k films to fill surface features
US10714350B2 (en) 2016-11-01 2020-07-14 ASM IP Holdings, B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10229833B2 (en) 2016-11-01 2019-03-12 Asm Ip Holding B.V. Methods for forming a transition metal nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10643904B2 (en) 2016-11-01 2020-05-05 Asm Ip Holdings B.V. Methods for forming a semiconductor device and related semiconductor device structures
US10134757B2 (en) 2016-11-07 2018-11-20 Asm Ip Holding B.V. Method of processing a substrate and a device manufactured by using the method
KR102546317B1 (ko) 2016-11-15 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기체 공급 유닛 및 이를 포함하는 기판 처리 장치
US10340135B2 (en) 2016-11-28 2019-07-02 Asm Ip Holding B.V. Method of topologically restricted plasma-enhanced cyclic deposition of silicon or metal nitride
US10186420B2 (en) 2016-11-29 2019-01-22 Asm Ip Holding B.V. Formation of silicon-containing thin films
US11430656B2 (en) 2016-11-29 2022-08-30 Asm Ip Holding B.V. Deposition of oxide thin films
US10192734B2 (en) * 2016-12-11 2019-01-29 L'Air Liquide, Société Anonyme pour l'Etude et l'Exploration des Procédés Georges Claude Short inorganic trisilylamine-based polysilazanes for thin film deposition
US10647578B2 (en) 2016-12-11 2020-05-12 L'Air Liquide, Société Anonyme pour l'Etude et l'Exploitation des Procédés Georges Claude N—H free and SI-rich per-hydridopolysilzane compositions, their synthesis, and applications
KR20180068582A (ko) 2016-12-14 2018-06-22 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11447861B2 (en) 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
US11581186B2 (en) 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
US9916980B1 (en) 2016-12-15 2018-03-13 Asm Ip Holding B.V. Method of forming a structure on a substrate
KR102700194B1 (ko) 2016-12-19 2024-08-28 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10269558B2 (en) 2016-12-22 2019-04-23 Asm Ip Holding B.V. Method of forming a structure on a substrate
US10867788B2 (en) 2016-12-28 2020-12-15 Asm Ip Holding B.V. Method of forming a structure on a substrate
US11390950B2 (en) 2017-01-10 2022-07-19 Asm Ip Holding B.V. Reactor system and method to reduce residue buildup during a film deposition process
TWI700750B (zh) * 2017-01-24 2020-08-01 美商應用材料股份有限公司 用於介電薄膜的選擇性沉積之方法及設備
US10049882B1 (en) * 2017-01-25 2018-08-14 Samsung Electronics Co., Ltd. Method for fabricating semiconductor device including forming a dielectric layer on a structure having a height difference using ALD
JP6857503B2 (ja) * 2017-02-01 2021-04-14 株式会社Kokusai Electric 半導体装置の製造方法、基板処理装置およびプログラム
US10822458B2 (en) 2017-02-08 2020-11-03 Versum Materials Us, Llc Organoamino-functionalized linear and cyclic oligosiloxanes for deposition of silicon-containing films
US11591692B2 (en) 2017-02-08 2023-02-28 Versum Materials Us, Llc Organoamino-polysiloxanes for deposition of silicon-containing films
US10655221B2 (en) 2017-02-09 2020-05-19 Asm Ip Holding B.V. Method for depositing oxide film by thermal ALD and PEALD
JP7169072B2 (ja) 2017-02-14 2022-11-10 エーエスエム アイピー ホールディング ビー.ブイ. 選択的パッシベーションおよび選択的堆積
US10468261B2 (en) 2017-02-15 2019-11-05 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
US10529563B2 (en) 2017-03-29 2020-01-07 Asm Ip Holdings B.V. Method for forming doped metal oxide films on a substrate by cyclical deposition and related semiconductor device structures
US10283353B2 (en) 2017-03-29 2019-05-07 Asm Ip Holding B.V. Method of reforming insulating film deposited on substrate with recess pattern
US10103040B1 (en) 2017-03-31 2018-10-16 Asm Ip Holding B.V. Apparatus and method for manufacturing a semiconductor device
USD830981S1 (en) 2017-04-07 2018-10-16 Asm Ip Holding B.V. Susceptor for semiconductor substrate processing apparatus
US10847529B2 (en) 2017-04-13 2020-11-24 Asm Ip Holding B.V. Substrate processing method and device manufactured by the same
KR102548405B1 (ko) * 2017-04-20 2023-06-28 (주)디엔에프 다이실릴아민 화합물을 포함하는 실리콘 함유 박막증착용 조성물 및 이를 이용하는 실리콘 함유 박막의 제조방법
KR102457289B1 (ko) 2017-04-25 2022-10-21 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10504901B2 (en) 2017-04-26 2019-12-10 Asm Ip Holding B.V. Substrate processing method and device manufactured using the same
US11501965B2 (en) 2017-05-05 2022-11-15 Asm Ip Holding B.V. Plasma enhanced deposition processes for controlled formation of metal oxide thin films
JP7249952B2 (ja) 2017-05-05 2023-03-31 エーエスエム アイピー ホールディング ビー.ブイ. 酸素含有薄膜の制御された形成のためのプラズマ増強堆積プロセス
US10770286B2 (en) 2017-05-08 2020-09-08 Asm Ip Holdings B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
US10446393B2 (en) 2017-05-08 2019-10-15 Asm Ip Holding B.V. Methods for forming silicon-containing epitaxial layers and related semiconductor device structures
US10892156B2 (en) 2017-05-08 2021-01-12 Asm Ip Holding B.V. Methods for forming a silicon nitride film on a substrate and related semiconductor device structures
WO2018213018A1 (en) 2017-05-16 2018-11-22 Asm Ip Holding B.V. Selective peald of oxide on dielectric
US11177127B2 (en) 2017-05-24 2021-11-16 Versum Materials Us, Llc Functionalized cyclosilazanes as precursors for high growth rate silicon-containing films
US10504742B2 (en) 2017-05-31 2019-12-10 Asm Ip Holding B.V. Method of atomic layer etching using hydrogen plasma
US10886123B2 (en) 2017-06-02 2021-01-05 Asm Ip Holding B.V. Methods for forming low temperature semiconductor layers and related semiconductor device structures
US12040200B2 (en) 2017-06-20 2024-07-16 Asm Ip Holding B.V. Semiconductor processing apparatus and methods for calibrating a semiconductor processing apparatus
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
US10685834B2 (en) 2017-07-05 2020-06-16 Asm Ip Holdings B.V. Methods for forming a silicon germanium tin layer and related semiconductor device structures
WO2019012797A1 (ja) * 2017-07-13 2019-01-17 株式会社Kokusai Electric 半導体装置の製造方法、基板処理装置およびプログラム
US10900120B2 (en) 2017-07-14 2021-01-26 Asm Ip Holding B.V. Passivation against vapor deposition
KR20190009245A (ko) 2017-07-18 2019-01-28 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 구조물 형성 방법 및 관련된 반도체 소자 구조물
US11374112B2 (en) 2017-07-19 2022-06-28 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US11018002B2 (en) 2017-07-19 2021-05-25 Asm Ip Holding B.V. Method for selectively depositing a Group IV semiconductor and related semiconductor device structures
US10541333B2 (en) 2017-07-19 2020-01-21 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US10312055B2 (en) 2017-07-26 2019-06-04 Asm Ip Holding B.V. Method of depositing film by PEALD using negative bias
US10605530B2 (en) 2017-07-26 2020-03-31 Asm Ip Holding B.V. Assembly of a liner and a flange for a vertical furnace as well as the liner and the vertical furnace
US10590535B2 (en) 2017-07-26 2020-03-17 Asm Ip Holdings B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US10692741B2 (en) 2017-08-08 2020-06-23 Asm Ip Holdings B.V. Radiation shield
US10770336B2 (en) 2017-08-08 2020-09-08 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US10249524B2 (en) 2017-08-09 2019-04-02 Asm Ip Holding B.V. Cassette holder assembly for a substrate cassette and holding member for use in such assembly
US11139191B2 (en) 2017-08-09 2021-10-05 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US10236177B1 (en) 2017-08-22 2019-03-19 ASM IP Holding B.V.. Methods for depositing a doped germanium tin semiconductor and related semiconductor device structures
USD900036S1 (en) 2017-08-24 2020-10-27 Asm Ip Holding B.V. Heater electrical connector and adapter
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
US11056344B2 (en) 2017-08-30 2021-07-06 Asm Ip Holding B.V. Layer forming method
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
KR102491945B1 (ko) 2017-08-30 2023-01-26 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR102401446B1 (ko) 2017-08-31 2022-05-24 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10607895B2 (en) 2017-09-18 2020-03-31 Asm Ip Holdings B.V. Method for forming a semiconductor device structure comprising a gate fill metal
US11049714B2 (en) * 2017-09-19 2021-06-29 Versum Materials Us, Llc Silyl substituted organoamines as precursors for high growth rate silicon-containing films
KR102630301B1 (ko) 2017-09-21 2024-01-29 에이에스엠 아이피 홀딩 비.브이. 침투성 재료의 순차 침투 합성 방법 처리 및 이를 이용하여 형성된 구조물 및 장치
US10844484B2 (en) 2017-09-22 2020-11-24 Asm Ip Holding B.V. Apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US10658205B2 (en) 2017-09-28 2020-05-19 Asm Ip Holdings B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
US10403504B2 (en) 2017-10-05 2019-09-03 Asm Ip Holding B.V. Method for selectively depositing a metallic film on a substrate
US10319588B2 (en) 2017-10-10 2019-06-11 Asm Ip Holding B.V. Method for depositing a metal chalcogenide on a substrate by cyclical deposition
US10923344B2 (en) 2017-10-30 2021-02-16 Asm Ip Holding B.V. Methods for forming a semiconductor structure and related semiconductor structures
KR102443047B1 (ko) 2017-11-16 2022-09-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 방법 및 그에 의해 제조된 장치
US10910262B2 (en) 2017-11-16 2021-02-02 Asm Ip Holding B.V. Method of selectively depositing a capping layer structure on a semiconductor device structure
US20190157213A1 (en) * 2017-11-20 2019-05-23 Globalfoundries Inc. Semiconductor structure with substantially straight contact profile
US11022879B2 (en) 2017-11-24 2021-06-01 Asm Ip Holding B.V. Method of forming an enhanced unexposed photoresist layer
WO2019103613A1 (en) 2017-11-27 2019-05-31 Asm Ip Holding B.V. A storage device for storing wafer cassettes for use with a batch furnace
JP7206265B2 (ja) 2017-11-27 2023-01-17 エーエスエム アイピー ホールディング ビー.ブイ. クリーン・ミニエンバイロメントを備える装置
US10991573B2 (en) 2017-12-04 2021-04-27 Asm Ip Holding B.V. Uniform deposition of SiOC on dielectric and metal surfaces
US10290508B1 (en) 2017-12-05 2019-05-14 Asm Ip Holding B.V. Method for forming vertical spacers for spacer-defined patterning
US10872771B2 (en) 2018-01-16 2020-12-22 Asm Ip Holding B. V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
KR102695659B1 (ko) 2018-01-19 2024-08-14 에이에스엠 아이피 홀딩 비.브이. 플라즈마 보조 증착에 의해 갭 충진 층을 증착하는 방법
TWI799494B (zh) 2018-01-19 2023-04-21 荷蘭商Asm 智慧財產控股公司 沈積方法
USD903477S1 (en) 2018-01-24 2020-12-01 Asm Ip Holdings B.V. Metal clamp
US11018047B2 (en) 2018-01-25 2021-05-25 Asm Ip Holding B.V. Hybrid lift pin
US10535516B2 (en) 2018-02-01 2020-01-14 Asm Ip Holdings B.V. Method for depositing a semiconductor structure on a surface of a substrate and related semiconductor structures
USD880437S1 (en) 2018-02-01 2020-04-07 Asm Ip Holding B.V. Gas supply plate for semiconductor manufacturing apparatus
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
WO2019158960A1 (en) 2018-02-14 2019-08-22 Asm Ip Holding B.V. A method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
US10896820B2 (en) 2018-02-14 2021-01-19 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
US10731249B2 (en) 2018-02-15 2020-08-04 Asm Ip Holding B.V. Method of forming a transition metal containing film on a substrate by a cyclical deposition process, a method for supplying a transition metal halide compound to a reaction chamber, and related vapor deposition apparatus
US10658181B2 (en) 2018-02-20 2020-05-19 Asm Ip Holding B.V. Method of spacer-defined direct patterning in semiconductor fabrication
KR102636427B1 (ko) 2018-02-20 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 장치
TWI793262B (zh) * 2018-02-21 2023-02-21 法商液態空氣喬治斯克勞帝方法研究開發股份有限公司 全氫聚矽氮烷組成物和用於使用其形成氮化物膜之方法
KR20200119852A (ko) * 2018-02-21 2020-10-20 레르 리키드 쏘시에떼 아노님 뿌르 레뜌드 에렉스뿔라따시옹 데 프로세데 조르즈 클로드 퍼하이드로폴리실라잔 조성물 및 이를 사용하여 산화물 막을 형성하는 방법
US10975470B2 (en) 2018-02-23 2021-04-13 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
US11629406B2 (en) 2018-03-09 2023-04-18 Asm Ip Holding B.V. Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate
US11114283B2 (en) 2018-03-16 2021-09-07 Asm Ip Holding B.V. Reactor, system including the reactor, and methods of manufacturing and using same
KR102646467B1 (ko) 2018-03-27 2024-03-11 에이에스엠 아이피 홀딩 비.브이. 기판 상에 전극을 형성하는 방법 및 전극을 포함하는 반도체 소자 구조
US10510536B2 (en) 2018-03-29 2019-12-17 Asm Ip Holding B.V. Method of depositing a co-doped polysilicon film on a surface of a substrate within a reaction chamber
US11088002B2 (en) 2018-03-29 2021-08-10 Asm Ip Holding B.V. Substrate rack and a substrate processing system and method
US11230766B2 (en) 2018-03-29 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102501472B1 (ko) 2018-03-30 2023-02-20 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
SG10201903201XA (en) 2018-04-11 2019-11-28 Versum Materials Us Llc Organoamino-functionalized cyclic oligosiloxanes for deposition of silicon-containing films
JP7146690B2 (ja) 2018-05-02 2022-10-04 エーエスエム アイピー ホールディング ビー.ブイ. 堆積および除去を使用した選択的層形成
US12025484B2 (en) 2018-05-08 2024-07-02 Asm Ip Holding B.V. Thin film forming method
TWI843623B (zh) 2018-05-08 2024-05-21 荷蘭商Asm Ip私人控股有限公司 藉由循環沉積製程於基板上沉積氧化物膜之方法及相關裝置結構
TW202349473A (zh) 2018-05-11 2023-12-16 荷蘭商Asm Ip私人控股有限公司 用於基板上形成摻雜金屬碳化物薄膜之方法及相關半導體元件結構
US12057310B2 (en) 2018-05-22 2024-08-06 Versum Materials Us, Llc Functionalized cyclosilazanes as precursors for high growth rate silicon-containing films
KR102596988B1 (ko) 2018-05-28 2023-10-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 그에 의해 제조된 장치
TWI840362B (zh) 2018-06-04 2024-05-01 荷蘭商Asm Ip私人控股有限公司 水氣降低的晶圓處置腔室
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
US11286562B2 (en) 2018-06-08 2022-03-29 Asm Ip Holding B.V. Gas-phase chemical reactor and method of using same
KR102568797B1 (ko) 2018-06-21 2023-08-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 시스템
US10797133B2 (en) 2018-06-21 2020-10-06 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
US11499222B2 (en) 2018-06-27 2022-11-15 Asm Ip Holding B.V. Cyclic deposition methods for forming metal-containing material and films and structures including the metal-containing material
TW202409324A (zh) 2018-06-27 2024-03-01 荷蘭商Asm Ip私人控股有限公司 用於形成含金屬材料之循環沉積製程
KR102686758B1 (ko) 2018-06-29 2024-07-18 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10612136B2 (en) 2018-06-29 2020-04-07 ASM IP Holding, B.V. Temperature-controlled flange and reactor system including same
US10388513B1 (en) 2018-07-03 2019-08-20 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10755922B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10767789B2 (en) 2018-07-16 2020-09-08 Asm Ip Holding B.V. Diaphragm valves, valve components, and methods for forming valve components
KR20230088843A (ko) * 2018-07-24 2023-06-20 램 리써치 코포레이션 이종 전구체 상호 작용을 사용한 탄화 실리콘 막의 컨포멀한 증착
US10483099B1 (en) 2018-07-26 2019-11-19 Asm Ip Holding B.V. Method for forming thermally stable organosilicon polymer film
US11053591B2 (en) 2018-08-06 2021-07-06 Asm Ip Holding B.V. Multi-port gas injection system and reactor system including same
US10883175B2 (en) 2018-08-09 2021-01-05 Asm Ip Holding B.V. Vertical furnace for processing substrates and a liner for use therein
US10829852B2 (en) 2018-08-16 2020-11-10 Asm Ip Holding B.V. Gas distribution device for a wafer processing apparatus
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US20200075325A1 (en) * 2018-08-29 2020-03-05 Asm Ip Holding B.V. Film forming method
TWI728456B (zh) 2018-09-11 2021-05-21 荷蘭商Asm Ip私人控股有限公司 相對於基板的薄膜沉積方法
US11024523B2 (en) 2018-09-11 2021-06-01 Asm Ip Holding B.V. Substrate processing apparatus and method
US11049751B2 (en) 2018-09-14 2021-06-29 Asm Ip Holding B.V. Cassette supply system to store and handle cassettes and processing apparatus equipped therewith
CN110970344A (zh) 2018-10-01 2020-04-07 Asm Ip控股有限公司 衬底保持设备、包含所述设备的系统及其使用方法
JP2020056104A (ja) 2018-10-02 2020-04-09 エーエスエム アイピー ホールディング ビー.ブイ. 選択的パッシベーションおよび選択的堆積
US11232963B2 (en) 2018-10-03 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102592699B1 (ko) 2018-10-08 2023-10-23 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 박막 증착 장치와 기판 처리 장치
US10847365B2 (en) 2018-10-11 2020-11-24 Asm Ip Holding B.V. Method of forming conformal silicon carbide film by cyclic CVD
US10811256B2 (en) 2018-10-16 2020-10-20 Asm Ip Holding B.V. Method for etching a carbon-containing feature
KR102605121B1 (ko) 2018-10-19 2023-11-23 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
KR102546322B1 (ko) 2018-10-19 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
JP7487189B2 (ja) 2018-10-19 2024-05-20 ラム リサーチ コーポレーション 間隙充填のためのドープまたは非ドープシリコン炭化物および遠隔水素プラズマ曝露
USD948463S1 (en) 2018-10-24 2022-04-12 Asm Ip Holding B.V. Susceptor for semiconductor substrate supporting apparatus
US10381219B1 (en) 2018-10-25 2019-08-13 Asm Ip Holding B.V. Methods for forming a silicon nitride film
US11087997B2 (en) 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
KR20200051105A (ko) 2018-11-02 2020-05-13 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 기판 처리 장치
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US11031242B2 (en) 2018-11-07 2021-06-08 Asm Ip Holding B.V. Methods for depositing a boron doped silicon germanium film
US10847366B2 (en) 2018-11-16 2020-11-24 Asm Ip Holding B.V. Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process
US10818758B2 (en) 2018-11-16 2020-10-27 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US10559458B1 (en) 2018-11-26 2020-02-11 Asm Ip Holding B.V. Method of forming oxynitride film
CN109487233A (zh) * 2018-11-27 2019-03-19 合肥安德科铭半导体科技有限公司 一种氧化硅薄膜的低温制备方法
US12040199B2 (en) 2018-11-28 2024-07-16 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
US11217444B2 (en) 2018-11-30 2022-01-04 Asm Ip Holding B.V. Method for forming an ultraviolet radiation responsive metal oxide-containing film
KR102636428B1 (ko) 2018-12-04 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치를 세정하는 방법
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
JP7504584B2 (ja) 2018-12-14 2024-06-24 エーエスエム・アイピー・ホールディング・ベー・フェー 窒化ガリウムの選択的堆積を用いてデバイス構造体を形成する方法及びそのためのシステム
JP7164789B2 (ja) * 2018-12-21 2022-11-02 レール・リキード-ソシエテ・アノニム・プール・レテュード・エ・レクスプロワタシオン・デ・プロセデ・ジョルジュ・クロード 550℃以上の温度でALDを使用してSi含有膜を堆積させるための前駆体及びプロセス
TWI819180B (zh) 2019-01-17 2023-10-21 荷蘭商Asm 智慧財產控股公司 藉由循環沈積製程於基板上形成含過渡金屬膜之方法
KR20200091543A (ko) 2019-01-22 2020-07-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
CN111524788B (zh) 2019-02-01 2023-11-24 Asm Ip私人控股有限公司 氧化硅的拓扑选择性膜形成的方法
CN113383108A (zh) 2019-02-05 2021-09-10 弗萨姆材料美国有限责任公司 碳掺杂氧化硅的沉积
TW202044325A (zh) 2019-02-20 2020-12-01 荷蘭商Asm Ip私人控股有限公司 填充一基板之一表面內所形成的一凹槽的方法、根據其所形成之半導體結構、及半導體處理設備
TWI838458B (zh) 2019-02-20 2024-04-11 荷蘭商Asm Ip私人控股有限公司 用於3d nand應用中之插塞填充沉積之設備及方法
TWI845607B (zh) 2019-02-20 2024-06-21 荷蘭商Asm Ip私人控股有限公司 用來填充形成於基材表面內之凹部的循環沉積方法及設備
KR102626263B1 (ko) 2019-02-20 2024-01-16 에이에스엠 아이피 홀딩 비.브이. 처리 단계를 포함하는 주기적 증착 방법 및 이를 위한 장치
TWI842826B (zh) 2019-02-22 2024-05-21 荷蘭商Asm Ip私人控股有限公司 基材處理設備及處理基材之方法
KR20200108242A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. 실리콘 질화물 층을 선택적으로 증착하는 방법, 및 선택적으로 증착된 실리콘 질화물 층을 포함하는 구조체
US11742198B2 (en) 2019-03-08 2023-08-29 Asm Ip Holding B.V. Structure including SiOCN layer and method of forming same
KR20200108243A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOC 층을 포함한 구조체 및 이의 형성 방법
KR20200116033A (ko) 2019-03-28 2020-10-08 에이에스엠 아이피 홀딩 비.브이. 도어 개방기 및 이를 구비한 기판 처리 장치
KR20200116855A (ko) 2019-04-01 2020-10-13 에이에스엠 아이피 홀딩 비.브이. 반도체 소자를 제조하는 방법
US20210017198A1 (en) 2019-04-05 2021-01-21 Versum Materials Us, Llc Organoamino-Functionalized Cyclic Oligosiloxanes For Deposition Of Silicon-Containing Films
US11965238B2 (en) 2019-04-12 2024-04-23 Asm Ip Holding B.V. Selective deposition of metal oxides on metal surfaces
KR20200123380A (ko) 2019-04-19 2020-10-29 에이에스엠 아이피 홀딩 비.브이. 층 형성 방법 및 장치
KR20200125453A (ko) 2019-04-24 2020-11-04 에이에스엠 아이피 홀딩 비.브이. 기상 반응기 시스템 및 이를 사용하는 방법
KR20200130121A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 딥 튜브가 있는 화학물질 공급원 용기
KR20200130118A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 비정질 탄소 중합체 막을 개질하는 방법
KR20200130652A (ko) 2019-05-10 2020-11-19 에이에스엠 아이피 홀딩 비.브이. 표면 상에 재료를 증착하는 방법 및 본 방법에 따라 형성된 구조
JP2020188255A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
JP2020188254A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
USD935572S1 (en) 2019-05-24 2021-11-09 Asm Ip Holding B.V. Gas channel plate
USD922229S1 (en) 2019-06-05 2021-06-15 Asm Ip Holding B.V. Device for controlling a temperature of a gas supply unit
KR20200141002A (ko) 2019-06-06 2020-12-17 에이에스엠 아이피 홀딩 비.브이. 배기 가스 분석을 포함한 기상 반응기 시스템을 사용하는 방법
KR20200143254A (ko) 2019-06-11 2020-12-23 에이에스엠 아이피 홀딩 비.브이. 개질 가스를 사용하여 전자 구조를 형성하는 방법, 상기 방법을 수행하기 위한 시스템, 및 상기 방법을 사용하여 형성되는 구조
USD944946S1 (en) 2019-06-14 2022-03-01 Asm Ip Holding B.V. Shower plate
USD931978S1 (en) 2019-06-27 2021-09-28 Asm Ip Holding B.V. Showerhead vacuum transport
KR20210005515A (ko) 2019-07-03 2021-01-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치용 온도 제어 조립체 및 이를 사용하는 방법
JP7499079B2 (ja) 2019-07-09 2024-06-13 エーエスエム・アイピー・ホールディング・ベー・フェー 同軸導波管を用いたプラズマ装置、基板処理方法
CN112216646A (zh) 2019-07-10 2021-01-12 Asm Ip私人控股有限公司 基板支撑组件及包括其的基板处理装置
KR20210010307A (ko) 2019-07-16 2021-01-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210010816A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 라디칼 보조 점화 플라즈마 시스템 및 방법
KR20210010820A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 실리콘 게르마늄 구조를 형성하는 방법
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
TWI839544B (zh) 2019-07-19 2024-04-21 荷蘭商Asm Ip私人控股有限公司 形成形貌受控的非晶碳聚合物膜之方法
CN112309843A (zh) 2019-07-29 2021-02-02 Asm Ip私人控股有限公司 实现高掺杂剂掺入的选择性沉积方法
CN112309899A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
CN112309900A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
CN118422165A (zh) 2019-08-05 2024-08-02 Asm Ip私人控股有限公司 用于化学源容器的液位传感器
KR102342285B1 (ko) * 2019-08-05 2021-12-22 한양대학교 산학협력단 봉지 구조체 및 그 제조 방법
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
JP2021031769A (ja) 2019-08-21 2021-03-01 エーエスエム アイピー ホールディング ビー.ブイ. 成膜原料混合ガス生成装置及び成膜装置
USD949319S1 (en) 2019-08-22 2022-04-19 Asm Ip Holding B.V. Exhaust duct
KR20210024423A (ko) 2019-08-22 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 홀을 구비한 구조체를 형성하기 위한 방법
USD940837S1 (en) 2019-08-22 2022-01-11 Asm Ip Holding B.V. Electrode
USD930782S1 (en) 2019-08-22 2021-09-14 Asm Ip Holding B.V. Gas distributor
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
KR20210024420A (ko) 2019-08-23 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 비스(디에틸아미노)실란을 사용하여 peald에 의해 개선된 품질을 갖는 실리콘 산화물 막을 증착하기 위한 방법
US11186909B2 (en) * 2019-08-26 2021-11-30 Applied Materials, Inc. Methods of depositing low-K films
KR102138149B1 (ko) * 2019-08-29 2020-07-27 솔브레인 주식회사 박막 형성용 성장 억제제, 이를 이용한 박막 형성 방법 및 이로부터 제조된 반도체 기판
KR20210029090A (ko) 2019-09-04 2021-03-15 에이에스엠 아이피 홀딩 비.브이. 희생 캡핑 층을 이용한 선택적 증착 방법
KR20210029663A (ko) 2019-09-05 2021-03-16 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
US11164776B2 (en) 2019-09-30 2021-11-02 International Business Machines Corporation Metallic interconnect structure
CN112593212B (zh) 2019-10-02 2023-12-22 Asm Ip私人控股有限公司 通过循环等离子体增强沉积工艺形成拓扑选择性氧化硅膜的方法
KR20210042810A (ko) 2019-10-08 2021-04-20 에이에스엠 아이피 홀딩 비.브이. 활성 종을 이용하기 위한 가스 분배 어셈블리를 포함한 반응기 시스템 및 이를 사용하는 방법
CN112635282A (zh) 2019-10-08 2021-04-09 Asm Ip私人控股有限公司 具有连接板的基板处理装置、基板处理方法
KR20210043460A (ko) 2019-10-10 2021-04-21 에이에스엠 아이피 홀딩 비.브이. 포토레지스트 하부층을 형성하기 위한 방법 및 이를 포함한 구조체
US12009241B2 (en) 2019-10-14 2024-06-11 Asm Ip Holding B.V. Vertical batch furnace assembly with detector to detect cassette
TWI834919B (zh) 2019-10-16 2024-03-11 荷蘭商Asm Ip私人控股有限公司 氧化矽之拓撲選擇性膜形成之方法
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
KR20210047808A (ko) 2019-10-21 2021-04-30 에이에스엠 아이피 홀딩 비.브이. 막을 선택적으로 에칭하기 위한 장치 및 방법
KR20210050453A (ko) 2019-10-25 2021-05-07 에이에스엠 아이피 홀딩 비.브이. 기판 표면 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
US11139163B2 (en) 2019-10-31 2021-10-05 Asm Ip Holding B.V. Selective deposition of SiOC thin films
KR20210054983A (ko) 2019-11-05 2021-05-14 에이에스엠 아이피 홀딩 비.브이. 도핑된 반도체 층을 갖는 구조체 및 이를 형성하기 위한 방법 및 시스템
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
KR20210062561A (ko) 2019-11-20 2021-05-31 에이에스엠 아이피 홀딩 비.브이. 기판의 표면 상에 탄소 함유 물질을 증착하는 방법, 상기 방법을 사용하여 형성된 구조물, 및 상기 구조물을 형성하기 위한 시스템
KR20210065848A (ko) 2019-11-26 2021-06-04 에이에스엠 아이피 홀딩 비.브이. 제1 유전체 표면과 제2 금속성 표면을 포함한 기판 상에 타겟 막을 선택적으로 형성하기 위한 방법
CN112951697A (zh) 2019-11-26 2021-06-11 Asm Ip私人控股有限公司 基板处理设备
CN112885693A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
CN112885692A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
JP7527928B2 (ja) 2019-12-02 2024-08-05 エーエスエム・アイピー・ホールディング・ベー・フェー 基板処理装置、基板処理方法
KR20210070898A (ko) 2019-12-04 2021-06-15 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
TW202125596A (zh) 2019-12-17 2021-07-01 荷蘭商Asm Ip私人控股有限公司 形成氮化釩層之方法以及包括該氮化釩層之結構
KR20210080214A (ko) 2019-12-19 2021-06-30 에이에스엠 아이피 홀딩 비.브이. 기판 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
JP2021111783A (ja) 2020-01-06 2021-08-02 エーエスエム・アイピー・ホールディング・ベー・フェー チャネル付きリフトピン
TW202140135A (zh) 2020-01-06 2021-11-01 荷蘭商Asm Ip私人控股有限公司 氣體供應總成以及閥板總成
US11993847B2 (en) 2020-01-08 2024-05-28 Asm Ip Holding B.V. Injector
US20210225633A1 (en) * 2020-01-17 2021-07-22 Asm Ip Holding B.V. FORMATION OF SiOCN THIN FILMS
US20210225634A1 (en) * 2020-01-17 2021-07-22 Asm Ip Holding B.V. FORMATION OF SiCN THIN FILMS
KR102675856B1 (ko) 2020-01-20 2024-06-17 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법 및 박막 표면 개질 방법
WO2021153986A1 (ko) * 2020-01-31 2021-08-05 주식회사 유피케미칼 실리콘 전구체 화합물, 이를 포함하는 실리콘-함유 막 형성용 조성물 및 실리콘-함유 막 형성 방법
TW202130846A (zh) 2020-02-03 2021-08-16 荷蘭商Asm Ip私人控股有限公司 形成包括釩或銦層的結構之方法
TW202146882A (zh) 2020-02-04 2021-12-16 荷蘭商Asm Ip私人控股有限公司 驗證一物品之方法、用於驗證一物品之設備、及用於驗證一反應室之系統
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
US11781243B2 (en) 2020-02-17 2023-10-10 Asm Ip Holding B.V. Method for depositing low temperature phosphorous-doped silicon
TW202203344A (zh) 2020-02-28 2022-01-16 荷蘭商Asm Ip控股公司 專用於零件清潔的系統
KR20210116249A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 록아웃 태그아웃 어셈블리 및 시스템 그리고 이의 사용 방법
KR20210116240A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 조절성 접합부를 갖는 기판 핸들링 장치
CN113394086A (zh) 2020-03-12 2021-09-14 Asm Ip私人控股有限公司 用于制造具有目标拓扑轮廓的层结构的方法
TW202140833A (zh) 2020-03-30 2021-11-01 荷蘭商Asm Ip私人控股有限公司 相對於金屬表面在介電表面上之氧化矽的選擇性沉積
TW202204658A (zh) 2020-03-30 2022-02-01 荷蘭商Asm Ip私人控股有限公司 在兩不同表面上同時選擇性沉積兩不同材料
TW202140832A (zh) 2020-03-30 2021-11-01 荷蘭商Asm Ip私人控股有限公司 氧化矽在金屬表面上之選擇性沉積
KR20210124042A (ko) 2020-04-02 2021-10-14 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법
CN115443347A (zh) 2020-04-02 2022-12-06 弗萨姆材料美国有限责任公司 用于沉积含硅膜的有机氨基官能化环状低聚硅氧烷
TW202146689A (zh) 2020-04-03 2021-12-16 荷蘭商Asm Ip控股公司 阻障層形成方法及半導體裝置的製造方法
TW202145344A (zh) 2020-04-08 2021-12-01 荷蘭商Asm Ip私人控股有限公司 用於選擇性蝕刻氧化矽膜之設備及方法
KR20210128343A (ko) 2020-04-15 2021-10-26 에이에스엠 아이피 홀딩 비.브이. 크롬 나이트라이드 층을 형성하는 방법 및 크롬 나이트라이드 층을 포함하는 구조
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
US11996289B2 (en) 2020-04-16 2024-05-28 Asm Ip Holding B.V. Methods of forming structures including silicon germanium and silicon layers, devices formed using the methods, and systems for performing the methods
KR20210132600A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐, 질소 및 추가 원소를 포함한 층을 증착하기 위한 방법 및 시스템
KR20210132576A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐 나이트라이드 함유 층을 형성하는 방법 및 이를 포함하는 구조
TW202146831A (zh) 2020-04-24 2021-12-16 荷蘭商Asm Ip私人控股有限公司 垂直批式熔爐總成、及用於冷卻垂直批式熔爐之方法
KR20210134226A (ko) 2020-04-29 2021-11-09 에이에스엠 아이피 홀딩 비.브이. 고체 소스 전구체 용기
KR20210134869A (ko) 2020-05-01 2021-11-11 에이에스엠 아이피 홀딩 비.브이. Foup 핸들러를 이용한 foup의 빠른 교환
JP2021177545A (ja) 2020-05-04 2021-11-11 エーエスエム・アイピー・ホールディング・ベー・フェー 基板を処理するための基板処理システム
KR20210141379A (ko) 2020-05-13 2021-11-23 에이에스엠 아이피 홀딩 비.브이. 반응기 시스템용 레이저 정렬 고정구
TW202146699A (zh) 2020-05-15 2021-12-16 荷蘭商Asm Ip私人控股有限公司 形成矽鍺層之方法、半導體結構、半導體裝置、形成沉積層之方法、及沉積系統
TW202147383A (zh) 2020-05-19 2021-12-16 荷蘭商Asm Ip私人控股有限公司 基材處理設備
KR20210145078A (ko) 2020-05-21 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 다수의 탄소 층을 포함한 구조체 및 이를 형성하고 사용하는 방법
TW202200837A (zh) 2020-05-22 2022-01-01 荷蘭商Asm Ip私人控股有限公司 用於在基材上形成薄膜之反應系統
TW202201602A (zh) 2020-05-29 2022-01-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202218133A (zh) 2020-06-24 2022-05-01 荷蘭商Asm Ip私人控股有限公司 形成含矽層之方法
TW202217953A (zh) 2020-06-30 2022-05-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202202649A (zh) 2020-07-08 2022-01-16 荷蘭商Asm Ip私人控股有限公司 基板處理方法
KR20220010438A (ko) 2020-07-17 2022-01-25 에이에스엠 아이피 홀딩 비.브이. 포토리소그래피에 사용하기 위한 구조체 및 방법
TW202204662A (zh) 2020-07-20 2022-02-01 荷蘭商Asm Ip私人控股有限公司 用於沉積鉬層之方法及系統
US12040177B2 (en) 2020-08-18 2024-07-16 Asm Ip Holding B.V. Methods for forming a laminate film by cyclical plasma-enhanced deposition processes
KR20220027026A (ko) 2020-08-26 2022-03-07 에이에스엠 아이피 홀딩 비.브이. 금속 실리콘 산화물 및 금속 실리콘 산질화물 층을 형성하기 위한 방법 및 시스템
TW202229601A (zh) 2020-08-27 2022-08-01 荷蘭商Asm Ip私人控股有限公司 形成圖案化結構的方法、操控機械特性的方法、裝置結構、及基板處理系統
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
US12009224B2 (en) 2020-09-29 2024-06-11 Asm Ip Holding B.V. Apparatus and method for etching metal nitrides
CN114293174A (zh) 2020-10-07 2022-04-08 Asm Ip私人控股有限公司 气体供应单元和包括气体供应单元的衬底处理设备
TW202229613A (zh) 2020-10-14 2022-08-01 荷蘭商Asm Ip私人控股有限公司 於階梯式結構上沉積材料的方法
TW202217037A (zh) 2020-10-22 2022-05-01 荷蘭商Asm Ip私人控股有限公司 沉積釩金屬的方法、結構、裝置及沉積總成
TW202223136A (zh) 2020-10-28 2022-06-16 荷蘭商Asm Ip私人控股有限公司 用於在基板上形成層之方法、及半導體處理系統
US11447865B2 (en) 2020-11-17 2022-09-20 Applied Materials, Inc. Deposition of low-κ films
TW202235649A (zh) 2020-11-24 2022-09-16 荷蘭商Asm Ip私人控股有限公司 填充間隙之方法與相關之系統及裝置
KR20220076343A (ko) 2020-11-30 2022-06-08 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치의 반응 챔버 내에 배열되도록 구성된 인젝터
US11946137B2 (en) 2020-12-16 2024-04-02 Asm Ip Holding B.V. Runout and wobble measurement fixtures
TW202231903A (zh) 2020-12-22 2022-08-16 荷蘭商Asm Ip私人控股有限公司 過渡金屬沉積方法、過渡金屬層、用於沉積過渡金屬於基板上的沉積總成
TWI753739B (zh) 2021-01-08 2022-01-21 閎康科技股份有限公司 物性分析方法、物性分析試片及其製備方法
US20220262649A1 (en) * 2021-02-18 2022-08-18 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor Device and Method
CN116802776A (zh) * 2021-02-26 2023-09-22 株式会社国际电气 半导体器件的制造方法、衬底处理装置及程序
US11942426B2 (en) 2021-05-06 2024-03-26 International Business Machines Corporation Semiconductor structure having alternating selective metal and dielectric layers
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
USD1023959S1 (en) 2021-05-11 2024-04-23 Asm Ip Holding B.V. Electrode for substrate processing apparatus
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate
KR20240113410A (ko) * 2023-01-13 2024-07-22 주식회사 유피케미칼 실리콘-함유 막의 형성 방법, 이에 사용되는 조성물 및 실리콘 전구체 화합물

Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR20070113113A (ko) * 2006-05-23 2007-11-28 에어 프로덕츠 앤드 케미칼스, 인코오포레이티드 유기아미노실란 전구체로부터 산화규소 막을 형성시키는방법
US20120213940A1 (en) * 2010-10-04 2012-08-23 Applied Materials, Inc. Atomic layer deposition of silicon nitride using dual-source precursor and interleaved plasma
KR20140133597A (ko) * 2012-03-05 2014-11-19 어플라이드 머티어리얼스, 인코포레이티드 대체 규소 전구체를 사용한 유동성 필름

Family Cites Families (148)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US2907785A (en) 1957-10-07 1959-10-06 Du Pont Organic compounds of silicon and phosphorus and their preparation
DE1158972B (de) 1961-03-25 1963-12-12 Franz Josef Carduck Dipl Chem Verfahren zur Herstellung von Trisilylaminen
GB1006803A (en) 1963-05-10 1965-10-06 Standard Telephones Cables Ltd Improvements in or relating to semiconductor devices
US3532728A (en) 1965-01-27 1970-10-06 Monsanto Co Process for preparing high temperature resistant 1,3 - diaza-2-sila-cycloalkane derivatives
US4200666A (en) 1978-08-02 1980-04-29 Texas Instruments Incorporated Single component monomer for silicon nitride deposition
US4397828A (en) 1981-11-16 1983-08-09 Massachusetts Institute Of Technology Stable liquid polymeric precursor to silicon nitride and process
JPS6172614A (ja) 1984-09-18 1986-04-14 Toshiba Silicone Co Ltd 水素化ケイ素化合物の製造方法
JPH0629123B2 (ja) 1984-09-18 1994-04-20 東芝シリコーン株式会社 ケイ素セラミックスの製造方法
JPS61234534A (ja) 1985-04-11 1986-10-18 Semiconductor Energy Lab Co Ltd 窒化珪素被膜作成方法
US4675424A (en) 1986-03-19 1987-06-23 Union Carbide Corporation Method for making polysilazanes
US4720395A (en) 1986-08-25 1988-01-19 Anicon, Inc. Low temperature silicon nitride CVD process
EP0264104B1 (en) 1986-10-14 1995-12-27 Minolta Co., Ltd. Electrophotographic photosensitive member having an overcoat layer
JPH0211587A (ja) 1988-06-29 1990-01-16 Shin Etsu Chem Co Ltd シリル化方法
EP0423884A1 (en) 1989-10-16 1991-04-24 Koninklijke Philips Electronics N.V. Method for deposition of silicon nitride layers on glass substrates
US5132354A (en) 1990-06-15 1992-07-21 Ethyl Corporation Silicon nitride precursor polymer
JP2551901B2 (ja) 1991-07-26 1996-11-06 エフ エム シー コーポレーション 接触アルキル化方法
US5211888A (en) 1991-07-26 1993-05-18 Fmc Corporation Catalyzed hydrocarbyllithium process
US5340507A (en) 1991-07-26 1994-08-23 Fmc Corporation Catalyzed hydrocarbyllithium process
US5304622A (en) 1992-01-08 1994-04-19 Nippon Oil Company, Ltd. Process for producing polysilanes
US5932286A (en) 1993-03-16 1999-08-03 Applied Materials, Inc. Deposition of silicon nitride thin films
JP2508581B2 (ja) 1993-05-28 1996-06-19 日本電気株式会社 化学気相成長法
FR2708924B1 (fr) 1993-08-12 1995-10-20 Saint Gobain Vitrage Int Procédé de dépôt d'une couche de nitrure métallique sur un substrat transparent.
US5413813A (en) 1993-11-23 1995-05-09 Enichem S.P.A. CVD of silicon-based ceramic materials on internal surface of a reactor
US5663398A (en) 1996-05-17 1997-09-02 Fmc Corporation Processes for preparing functionalized alkyllithium compounds
US5888579A (en) 1996-07-29 1999-03-30 Texas Instruments-Acer Incorporated Method and apparatus for preventing particle contamination in a process chamber
JP3516815B2 (ja) 1996-08-06 2004-04-05 触媒化成工業株式会社 シリカ系被膜形成用塗布液および被膜付基材
FR2759362B1 (fr) 1997-02-10 1999-03-12 Saint Gobain Vitrage Substrat transparent muni d'au moins une couche mince a base de nitrure ou d'oxynitrure de silicium et son procede d'obtention
JPH1174485A (ja) 1997-06-30 1999-03-16 Toshiba Corp 半導体装置およびその製造方法
US5874368A (en) 1997-10-02 1999-02-23 Air Products And Chemicals, Inc. Silicon nitride from bis(tertiarybutylamino)silane
US6566281B1 (en) 1997-10-15 2003-05-20 International Business Machines Corporation Nitrogen-rich barrier layer and structures formed
US5968611A (en) 1997-11-26 1999-10-19 The Research Foundation Of State University Of New York Silicon nitrogen-based films and method of making the same
US6365231B2 (en) 1998-06-26 2002-04-02 Kabushiki Kaisha Toshiba Ammonium halide eliminator, chemical vapor deposition system and chemical vapor deposition process
JP2001168092A (ja) 1999-01-08 2001-06-22 Toshiba Corp 半導体装置およびその製造方法
US6645884B1 (en) 1999-07-09 2003-11-11 Applied Materials, Inc. Method of forming a silicon nitride layer on a substrate
US6630413B2 (en) 2000-04-28 2003-10-07 Asm Japan K.K. CVD syntheses of silicon nitride materials
JP2002009072A (ja) 2000-06-23 2002-01-11 Tokyo Electron Ltd シリコン窒化膜の形成方法及び形成装置
US7049308B2 (en) 2000-10-26 2006-05-23 Duke University C-nitroso compounds and use thereof
WO2002080244A2 (en) 2001-02-12 2002-10-10 Asm America, Inc. Improved process for deposition of semiconductor films
KR20030018134A (ko) * 2001-08-27 2003-03-06 한국전자통신연구원 조성과 도핑 농도의 제어를 위한 반도체 소자의 절연막형성 방법
JP4116283B2 (ja) 2001-11-30 2008-07-09 レール・リキード−ソシエテ・アノニム・プール・レテュード・エ・レクスプロワタシオン・デ・プロセデ・ジョルジュ・クロード ヘキサキス(モノヒドロカルビルアミノ)ジシランおよびその製造方法
JP4021653B2 (ja) 2001-11-30 2007-12-12 レール・リキード−ソシエテ・アノニム・プール・レテュード・エ・レクスプロワタシオン・デ・プロセデ・ジョルジュ・クロード Cvd法によるシリコン窒化物膜またはシリコンオキシ窒化物膜の製造方法
JP2003209110A (ja) 2002-01-17 2003-07-25 Sony Corp 金属酸窒化膜の製造方法および絶縁ゲート型電界効果トランジスタおよびその製造方法
DE10208822A1 (de) 2002-03-01 2003-09-11 Solvent Innovation Gmbh Halogenfreie ionische Flüssigkeiten
BR0308970A (pt) 2002-04-04 2005-01-11 Degussa Bisfosfinas como ligandos bidentados
JP4358492B2 (ja) 2002-09-25 2009-11-04 レール・リキード−ソシエテ・アノニム・プール・レテュード・エ・レクスプロワタシオン・デ・プロセデ・ジョルジュ・クロード 熱化学気相成長法によるシリコン窒化物膜またはシリコンオキシ窒化物膜の製造方法
US7972663B2 (en) 2002-12-20 2011-07-05 Applied Materials, Inc. Method and apparatus for forming a high quality low temperature silicon nitride layer
US7122222B2 (en) 2003-01-23 2006-10-17 Air Products And Chemicals, Inc. Precursors for depositing silicon containing films and processes thereof
JP4403824B2 (ja) 2003-05-26 2010-01-27 東京エレクトロン株式会社 シリコン窒化膜の成膜方法
JP4259247B2 (ja) 2003-09-17 2009-04-30 東京エレクトロン株式会社 成膜方法
US6974781B2 (en) 2003-10-20 2005-12-13 Asm International N.V. Reactor precoating for reduced stress and uniform CVD
US7005317B2 (en) * 2003-10-27 2006-02-28 Intel Corporation Controlled fracture substrate singulation
TW200526804A (en) 2003-10-30 2005-08-16 Tokyo Electron Ltd Method of manufacturing semiconductor device, film-forming apparatus, and storage medium
US20050227017A1 (en) 2003-10-31 2005-10-13 Yoshihide Senzaki Low temperature deposition of silicon nitride
US20050181633A1 (en) 2004-02-17 2005-08-18 Hochberg Arthur K. Precursors for depositing silicon-containing films and processes thereof
JP4279176B2 (ja) 2004-03-02 2009-06-17 株式会社アルバック シリコン窒化膜の形成方法
JP2006016641A (ja) 2004-06-30 2006-01-19 L'air Liquide Sa Pour L'etude & L'exploitation Des Procede S Georges Claude 金属シリコンオキサイドの製造方法、金属シリコンオキシナイトライドの製造方法、およびシリコンドープされた金属ナイトライドの製造方法
US7098150B2 (en) * 2004-03-05 2006-08-29 Air Liquide America L.P. Method for novel deposition of high-k MSiON dielectric films
KR100569220B1 (ko) * 2004-04-06 2006-04-10 한국과학기술원 플라즈마 디스플레이 패널용 유전체 조성물
JP4470023B2 (ja) 2004-08-20 2010-06-02 レール・リキード−ソシエテ・アノニム・プール・レテュード・エ・レクスプロワタシオン・デ・プロセデ・ジョルジュ・クロード シリコン窒化物膜の製造方法
US8163261B2 (en) 2005-04-05 2012-04-24 Voltaix, Llc System and method for making Si2H6 and higher silanes
US7875556B2 (en) 2005-05-16 2011-01-25 Air Products And Chemicals, Inc. Precursors for CVD silicon carbo-nitride and silicon nitride films
JP4554446B2 (ja) 2005-06-21 2010-09-29 ルネサスエレクトロニクス株式会社 半導体装置の製造方法
US7601652B2 (en) 2005-06-21 2009-10-13 Applied Materials, Inc. Method for treating substrates and films with photoexcitation
KR101283835B1 (ko) 2005-06-29 2013-07-08 레르 리키드 쏘시에떼 아노님 뿌르 레?드 에렉스뿔라따시옹 데 프로세데 조르즈 클로드 3원 막의 증착 방법
JP2009500857A (ja) * 2005-07-08 2009-01-08 アヴィザ テクノロジー インコーポレイテッド シリコン含有膜の堆積方法
EP1904493B1 (en) 2005-07-08 2013-03-27 Shangai Institute of Materia Medica, Chinese Academy of Sciences Tetrahydroprotoberberine compounds, the synthetic method and the use thereof
US20070010072A1 (en) 2005-07-09 2007-01-11 Aviza Technology, Inc. Uniform batch film deposition process and films so produced
WO2007044429A2 (en) 2005-10-05 2007-04-19 Nanogram Corporation Linear and cross-linked high molecular weight polysilanes, polygermanes, and copolymers thereof, compositions containing the same, and methods of making and using such compounds and compositions
DE602006019499D1 (de) 2006-04-03 2011-02-17 Air Liquide Eine pentakis(dimethylamino)disilanvorstufe enthaltende verbindung, und verfahren zu deren herstellung
EP2007917B1 (en) 2006-04-03 2009-07-29 L'Air Liquide Société Anon. à Directoire et Conseil de Surveillance pour l'Etude et l'Exploitation des Procédés Georges Claude Method for depositing silicon nitride films and/or silicon oxynitride films by chemical vapor deposition
US8530361B2 (en) * 2006-05-23 2013-09-10 Air Products And Chemicals, Inc. Process for producing silicon and oxide films from organoaminosilane precursors
US7638645B2 (en) 2006-06-28 2009-12-29 President And Fellows Of Harvard University Metal (IV) tetra-amidinate compounds and their use in vapor deposition
BRPI0603210A (pt) 2006-08-15 2008-04-08 Petroleo Brasileiro Sa método de preparação de lìquidos iÈnicos isentos de halogenetos e lìquidos iÈnicos assim preparados
US9245739B2 (en) 2006-11-01 2016-01-26 Lam Research Corporation Low-K oxide deposition by hydrolysis and condensation
KR101279925B1 (ko) 2006-11-02 2013-07-08 어드밴스드 테크놀러지 머티리얼즈, 인코포레이티드 금속 박막의 cvd/ald용으로 유용한 안티몬 및 게르마늄 착체
US20080241575A1 (en) 2007-03-28 2008-10-02 Lavoie Adrein R Selective aluminum doping of copper interconnects and structures formed thereby
WO2008129508A2 (en) 2007-04-20 2008-10-30 L'air Liquide, Societe Anonyme Pour L'etude Et L'exploitation Des Procedes Georges Claude Deposition of transition metal carbide containing films
TWI398541B (zh) 2007-06-05 2013-06-11 羅門哈斯電子材料有限公司 有機金屬化合物
US7605092B2 (en) 2007-06-29 2009-10-20 Silicon Storage Technology, Inc. Passive elements, articles, packages, semiconductor composites, and methods of manufacturing same
US20090075490A1 (en) 2007-09-18 2009-03-19 L'air Liquite Societe Anonyme Pour L'etude Et L'exploitation Des Procedes Georges Claude Method of forming silicon-containing films
US7867923B2 (en) * 2007-10-22 2011-01-11 Applied Materials, Inc. High quality silicon oxide films by remote plasma CVD from disilane precursors
US7960205B2 (en) 2007-11-27 2011-06-14 Air Products And Chemicals, Inc. Tellurium precursors for GST films in an ALD or CVD process
US20090162973A1 (en) 2007-12-21 2009-06-25 Julien Gatineau Germanium precursors for gst film deposition
JP5575582B2 (ja) 2007-12-26 2014-08-20 株式会社日立国際電気 半導体装置の製造方法、基板処理方法および基板処理装置
US9034105B2 (en) 2008-01-10 2015-05-19 American Air Liquide, Inc. Solid precursor sublimator
US8193388B2 (en) 2008-04-15 2012-06-05 American Air Liquide, Inc. Compounds for depositing tellurium-containing films
MX2010012733A (es) 2008-05-21 2011-05-23 Univ Colorado Liquidos ionicos y metodos para usar los mismos.
US20090291872A1 (en) 2008-05-21 2009-11-26 The Regents Of The University Of Colorado Ionic Liquids and Methods For Using the Same
KR20110014160A (ko) 2008-05-29 2011-02-10 레르 리키드 쏘시에떼 아노님 뿌르 레드 에렉스뿔라따시옹 데 프로세데 조르즈 클로드 필름 증착을 위한 텔루륨 전구체
US8636845B2 (en) 2008-06-25 2014-01-28 L'Air Liquide, Société Anonyme pour l'Etude et l'Exploitation des Procédés Georges Claude Metal heterocyclic compounds for deposition of thin films
US8236381B2 (en) 2008-08-08 2012-08-07 L'air Liquide Societe Anonyme Pour L'etude Et L'exploitation Des Procedes Georges Claude Metal piperidinate and metal pyridinate precursors for thin film deposition
US8772524B2 (en) * 2008-10-20 2014-07-08 Dow Corning Corporation CVD precursors
US8409513B2 (en) 2009-06-04 2013-04-02 Voltaix, Inc. Apparatus and method for the production of trisilylamine
JP2011023718A (ja) * 2009-07-15 2011-02-03 Asm Japan Kk PEALDによってSi−N結合を有するストレス調節された誘電体膜を形成する方法
US8980382B2 (en) 2009-12-02 2015-03-17 Applied Materials, Inc. Oxygen-doping for non-carbon radical-component CVD films
JP5467007B2 (ja) * 2009-09-30 2014-04-09 株式会社日立国際電気 半導体装置の製造方法および基板処理装置
US8173554B2 (en) 2009-10-14 2012-05-08 Asm Japan K.K. Method of depositing dielectric film having Si-N bonds by modified peald method
US8415259B2 (en) 2009-10-14 2013-04-09 Asm Japan K.K. Method of depositing dielectric film by modified PEALD method
KR101829380B1 (ko) 2009-10-26 2018-02-19 에이에스엠 인터내셔널 엔.브이. 5a족 원소 함유 박막의 원자 층 증착용 전구체의 합성 및 용도
EP2524954A4 (en) * 2010-01-13 2013-06-12 Dow Corning Toray Co Ltd A RECLAIMABLE SILICONE-BASED ADHESIVE COMPOSITION, SHEET-BASED BASE MATERIAL HAVING A RECLAIMABLE ADHESIVE LAYER OBTAINED BY CURING THE SAME, AND USE OF THE BASIC MATERIAL AS A PROTECTIVE FILM OR FIXING SHEET
EP2553141A4 (en) 2010-04-01 2013-08-21 Air Liquide DEPOSITION OF FILMS CONTAINING METAL NITRIDES USING A COMBINATION OF AMINOUS AND HALOGENATED METAL PRECURSORS
US8993460B2 (en) 2013-01-10 2015-03-31 Novellus Systems, Inc. Apparatuses and methods for depositing SiC/SiCN films via cross-metathesis reactions with organometallic co-reactants
US9228120B2 (en) 2010-06-07 2016-01-05 Central Glass Company, Limited Liquid chemical for forming protecting film
US8318584B2 (en) 2010-07-30 2012-11-27 Applied Materials, Inc. Oxide-rich liner layer for flowable CVD gapfill
US8394466B2 (en) 2010-09-03 2013-03-12 Asm Japan K.K. Method of forming conformal film having si-N bonds on high-aspect ratio pattern
CN102173398A (zh) 2011-01-14 2011-09-07 中国人民解放军国防科学技术大学 一种低分子无碳聚硅氮烷及其液相合成方法
US8771807B2 (en) * 2011-05-24 2014-07-08 Air Products And Chemicals, Inc. Organoaminosilane precursors and methods for making and using same
EP3330404B1 (en) * 2011-06-03 2021-09-29 Versum Materials US, LLC Compositions and processes for depositing carbon-doped siliconcontaining films
EP3590888B1 (en) 2011-10-07 2022-02-16 Air Liquide Advanced Materials LLC Apparatus and method for the condensed phase production of trisilylamine
WO2013058061A1 (ja) 2011-10-20 2013-04-25 東レバッテリーセパレータフィルム株式会社 多孔質膜の製造方法及びその多孔質膜、電池用セパレーター及び電池
KR20140105503A (ko) 2011-12-02 2014-09-01 사빅 글로벌 테크놀러지스 비.브이. 코팅된 중합체 필름
US8592328B2 (en) 2012-01-20 2013-11-26 Novellus Systems, Inc. Method for depositing a chlorine-free conformal sin film
US20130209343A1 (en) 2012-02-10 2013-08-15 American Air Liquide, Inc. Liquid phase synthesis of trisilylamine
US8846536B2 (en) 2012-03-05 2014-09-30 Novellus Systems, Inc. Flowable oxide film with tunable wet etch rate
JP6092902B2 (ja) * 2012-03-09 2017-03-08 エア プロダクツ アンド ケミカルズ インコーポレイテッドAir Products And Chemicals Incorporated 薄膜トランジスター機器上にケイ素含有膜を製造する方法
US9978585B2 (en) 2012-06-01 2018-05-22 Versum Materials Us, Llc Organoaminodisilane precursors and methods for depositing films comprising same
US9337018B2 (en) 2012-06-01 2016-05-10 Air Products And Chemicals, Inc. Methods for depositing films with organoaminodisilane precursors
JP5874546B2 (ja) 2012-06-21 2016-03-02 富士通株式会社 半導体装置の実装構造
EP2875166B1 (en) * 2012-07-20 2018-04-11 L'Air Liquide Société Anonyme pour l'Etude et l'Exploitation des Procédés Georges Claude Organosilane precursors for ald/cvd silicon-containing film applications
KR101361454B1 (ko) 2012-08-23 2014-02-21 이근수 반도체 소자의 실리콘 산화막 형성 방법
JP6101467B2 (ja) 2012-10-04 2017-03-22 東京エレクトロン株式会社 成膜方法及び成膜装置
US9330899B2 (en) * 2012-11-01 2016-05-03 Asm Ip Holding B.V. Method of depositing thin film
KR20140057908A (ko) 2012-11-05 2014-05-14 삼성전자주식회사 무선 통신 시스템에서 섹터 스위핑을 수행하는 방법 및 장치
US10279959B2 (en) 2012-12-11 2019-05-07 Versum Materials Us, Llc Alkoxysilylamine compounds and applications thereof
KR101583232B1 (ko) * 2012-12-31 2016-01-07 제일모직 주식회사 중합체 제조 방법 및 실리카계 절연막 형성용 조성물
JP6414938B2 (ja) * 2013-03-15 2018-10-31 デノブクス,エルエルシー 表面エネルギーを改質した非晶質を使用した溶液からの意図された核形成及び結晶成長
DE102013209802A1 (de) 2013-05-27 2014-11-27 Evonik Industries Ag Verfahren zur gekoppelten Herstellung von Trisilylamin und Polysilazanen mit einer Molmasse bis 500 g/mol
US9245740B2 (en) 2013-06-07 2016-01-26 Dnf Co., Ltd. Amino-silyl amine compound, method for preparing the same and silicon-containing thin-film using the same
WO2014196827A2 (en) 2013-06-07 2014-12-11 Dnf Co., Ltd. Novel amino-silyl amine compound, method for perparing the 'same and silicon-containing thin-film using the same
EP3049499B1 (en) * 2013-09-27 2020-07-22 L'air Liquide, Société Anonyme Pour L'Étude Et L'exploitation Des Procédés Georges Claude Amine substituted trisilylamine and tridisilylamine compounds
WO2015045426A1 (en) * 2013-09-30 2015-04-02 Toyo Gosei Co., Ltd. Compounders for Enhancing Generation of Chemical Species
KR101720017B1 (ko) 2014-01-08 2017-03-27 (주)디엔에프 신규한 트리실릴아민 유도체, 이의 제조방법 및 이를 이용한 실리콘 함유 박막
WO2015105337A1 (en) 2014-01-08 2015-07-16 Dnf Co.,Ltd. Novel trisilyl amine derivative, method for preparing the same and silicon-containing thin film using the same
US9233990B2 (en) 2014-02-28 2016-01-12 Air Products And Chemicals, Inc. Organoaminosilanes and methods for making same
DE102014204785A1 (de) 2014-03-14 2015-09-17 Evonik Degussa Gmbh Verfahren zur Herstellung von reinem Trisilylamin
KR101875183B1 (ko) * 2014-06-11 2018-07-06 (주)디엔에프 신규한 아미노실릴아민 화합물 및 원자층 증착법을 이용한 Si-N 결합을 포함하는 절연막의 제조방법
WO2015190749A1 (en) 2014-06-11 2015-12-17 Dnf Co., Ltd. Novel amino-silyl amine compound and the manufacturing method of dielectric film containing si-n bond by using atomic layer deposition
WO2016065219A1 (en) 2014-10-24 2016-04-28 Air Products And Chemicals, Inc. Compositions and methods using same for deposition of silicon-containing film
US10354860B2 (en) * 2015-01-29 2019-07-16 Versum Materials Us, Llc Method and precursors for manufacturing 3D devices
US9777025B2 (en) * 2015-03-30 2017-10-03 L'Air Liquide, Société pour l'Etude et l'Exploitation des Procédés Georges Claude Si-containing film forming precursors and methods of using the same
US20170051405A1 (en) 2015-08-18 2017-02-23 Asm Ip Holding B.V. Method for forming sin or sicn film in trenches by peald
CN108026637A (zh) * 2015-09-11 2018-05-11 弗萨姆材料美国有限责任公司 用于沉积保形的金属或准金属氮化硅膜的方法和所得的膜
KR102692947B1 (ko) 2015-10-22 2024-08-06 어플라이드 머티어리얼스, 인코포레이티드 SiO 및 SiN을 포함하는 유동성 막들을 증착시키는 방법들
CN109072426B (zh) 2016-02-26 2021-12-03 弗萨姆材料美国有限责任公司 组合物和使用其沉积含硅膜的方法
US10384944B2 (en) 2016-05-19 2019-08-20 L'Air Liquide, Société Anonyme pour l'Etude et l'Exploitation des Procédés Georges Claude Preparation of Si—H containing iodosilanes via halide exchange reaction
US10647578B2 (en) 2016-12-11 2020-05-12 L'Air Liquide, Société Anonyme pour l'Etude et l'Exploitation des Procédés Georges Claude N—H free and SI-rich per-hydridopolysilzane compositions, their synthesis, and applications
US10192734B2 (en) 2016-12-11 2019-01-29 L'Air Liquide, Société Anonyme pour l'Etude et l'Exploration des Procédés Georges Claude Short inorganic trisilylamine-based polysilazanes for thin film deposition
US11078569B2 (en) 2017-09-19 2021-08-03 Versum Materials Us, Llc Trisilylamine derivatives as precursors for high growth rate silicon-containing films

Patent Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR20070113113A (ko) * 2006-05-23 2007-11-28 에어 프로덕츠 앤드 케미칼스, 인코오포레이티드 유기아미노실란 전구체로부터 산화규소 막을 형성시키는방법
US20120213940A1 (en) * 2010-10-04 2012-08-23 Applied Materials, Inc. Atomic layer deposition of silicon nitride using dual-source precursor and interleaved plasma
KR20140133597A (ko) * 2012-03-05 2014-11-19 어플라이드 머티어리얼스, 인코포레이티드 대체 규소 전구체를 사용한 유동성 필름

Also Published As

Publication number Publication date
JP6537633B2 (ja) 2019-07-03
TWI757922B (zh) 2022-03-11
CN107667187B (zh) 2019-07-02
US20210225635A1 (en) 2021-07-22
CN111041456B (zh) 2021-12-10
WO2016160990A1 (en) 2016-10-06
JP6441498B2 (ja) 2018-12-19
JP2021088764A (ja) 2021-06-10
JP6827457B2 (ja) 2021-02-10
US20190362961A1 (en) 2019-11-28
EP3277698A1 (en) 2018-02-07
KR101845279B1 (ko) 2018-04-04
TWI718136B (zh) 2021-02-11
SG11201707756WA (en) 2017-10-30
KR102113412B1 (ko) 2020-05-20
JP2018524464A (ja) 2018-08-30
KR101803245B1 (ko) 2017-11-29
TW201641505A (zh) 2016-12-01
KR20170021379A (ko) 2017-02-27
EP3277698A4 (en) 2019-03-20
EP3277698B1 (en) 2021-04-28
US10403494B2 (en) 2019-09-03
US20150376211A1 (en) 2015-12-31
US11699584B2 (en) 2023-07-11
JP2019060024A (ja) 2019-04-18
WO2016201320A1 (en) 2016-12-15
KR20180034710A (ko) 2018-04-04
WO2016201314A1 (en) 2016-12-15
JP6494137B2 (ja) 2019-04-03
US9777025B2 (en) 2017-10-03
CN107667187A (zh) 2018-02-06
JP7320544B2 (ja) 2023-08-03
KR20170029581A (ko) 2017-03-15
TWI710566B (zh) 2020-11-21
TW202108596A (zh) 2021-03-01
JP2018518598A (ja) 2018-07-12
KR102092447B1 (ko) 2020-03-24
US20180022761A1 (en) 2018-01-25
SG10201810679XA (en) 2019-01-30
TW201638098A (zh) 2016-11-01
CN107636198A (zh) 2018-01-26
CN107636198B (zh) 2020-01-31
JP2018510968A (ja) 2018-04-19
CN111041456A (zh) 2020-04-21
CN107429389A (zh) 2017-12-01
CN107429389B (zh) 2019-09-24

Similar Documents

Publication Publication Date Title
KR101803245B1 (ko) 실리콘-포함 및 산소-포함 박막을 형성하기 위한 기상 증착 방법
EP3307744B1 (en) Vapor deposition processes for forming silicon- and oxygen-containing thin films
US9719167B2 (en) Cobalt-containing film forming compositions, their synthesis, and use in film deposition
EP3307745B1 (en) Vapor deposition processes for forming silicon- and nitrogen-containing thin films

Legal Events

Date Code Title Description
A201 Request for examination
E902 Notification of reason for refusal
E701 Decision to grant or registration of patent right
GRNT Written decision to grant