JP6827457B2 - Si含有膜形成組成物およびその使用方法 - Google Patents

Si含有膜形成組成物およびその使用方法 Download PDF

Info

Publication number
JP6827457B2
JP6827457B2 JP2018218183A JP2018218183A JP6827457B2 JP 6827457 B2 JP6827457 B2 JP 6827457B2 JP 2018218183 A JP2018218183 A JP 2018218183A JP 2018218183 A JP2018218183 A JP 2018218183A JP 6827457 B2 JP6827457 B2 JP 6827457B2
Authority
JP
Japan
Prior art keywords
sih
forming composition
containing film
precursor
film
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Active
Application number
JP2018218183A
Other languages
English (en)
Other versions
JP2019060024A (ja
Inventor
ジャン・マルク・ジラード
ペン・ツァン
アントニオ・サンチェス
マニッシュ・カンデルウォール
ゲンナジー・アイトフ
リノ・ペザレージ
Original Assignee
レール・リキード−ソシエテ・アノニム・プール・レテュード・エ・レクスプロワタシオン・デ・プロセデ・ジョルジュ・クロード
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by レール・リキード−ソシエテ・アノニム・プール・レテュード・エ・レクスプロワタシオン・デ・プロセデ・ジョルジュ・クロード filed Critical レール・リキード−ソシエテ・アノニム・プール・レテュード・エ・レクスプロワタシオン・デ・プロセデ・ジョルジュ・クロード
Publication of JP2019060024A publication Critical patent/JP2019060024A/ja
Priority to JP2021006237A priority Critical patent/JP7320544B2/ja
Application granted granted Critical
Publication of JP6827457B2 publication Critical patent/JP6827457B2/ja
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/0228Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition deposition by cyclic CVD, e.g. ALD, ALE, pulsed CVD
    • CCHEMISTRY; METALLURGY
    • C01INORGANIC CHEMISTRY
    • C01BNON-METALLIC ELEMENTS; COMPOUNDS THEREOF; METALLOIDS OR COMPOUNDS THEREOF NOT COVERED BY SUBCLASS C01C
    • C01B21/00Nitrogen; Compounds thereof
    • C01B21/082Compounds containing nitrogen and non-metals and optionally metals
    • C01B21/087Compounds containing nitrogen and non-metals and optionally metals containing one or more hydrogen atoms
    • CCHEMISTRY; METALLURGY
    • C01INORGANIC CHEMISTRY
    • C01BNON-METALLIC ELEMENTS; COMPOUNDS THEREOF; METALLOIDS OR COMPOUNDS THEREOF NOT COVERED BY SUBCLASS C01C
    • C01B21/00Nitrogen; Compounds thereof
    • C01B21/082Compounds containing nitrogen and non-metals and optionally metals
    • C01B21/087Compounds containing nitrogen and non-metals and optionally metals containing one or more hydrogen atoms
    • C01B21/088Compounds containing nitrogen and non-metals and optionally metals containing one or more hydrogen atoms containing also one or more halogen atoms
    • CCHEMISTRY; METALLURGY
    • C07ORGANIC CHEMISTRY
    • C07FACYCLIC, CARBOCYCLIC OR HETEROCYCLIC COMPOUNDS CONTAINING ELEMENTS OTHER THAN CARBON, HYDROGEN, HALOGEN, OXYGEN, NITROGEN, SULFUR, SELENIUM OR TELLURIUM
    • C07F7/00Compounds containing elements of Groups 4 or 14 of the Periodic System
    • C07F7/02Silicon compounds
    • C07F7/025Silicon compounds without C-silicon linkages
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/308Oxynitrides
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/34Nitrides
    • C23C16/345Silicon nitride
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/40Oxides
    • C23C16/401Oxides containing silicon
    • C23C16/402Silicon dioxide
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/4401Means for minimising impurities, e.g. dust, moisture or residual gas, in the reaction chamber
    • C23C16/4408Means for minimising impurities, e.g. dust, moisture or residual gas, in the reaction chamber by purging residual gases from the reaction chamber or gas lines
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45553Atomic layer deposition [ALD] characterized by the use of precursors specially adapted for ALD
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/50Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges
    • C23C16/515Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges using pulsed discharges
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02205Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition
    • H01L21/02208Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si
    • H01L21/02219Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si the compound comprising silicon and nitrogen
    • H01L21/02222Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si the compound comprising silicon and nitrogen the compound being a silazane
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/02164Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material being a silicon oxide, e.g. SiO2

Description

関連出願の相互参照
本出願は、2015年6月12日に出願された米国特許出願第14/738,039号明細書および2015年3月30日に出願された米国仮特許出願第62/140,248号明細書の利益を主張するものであり、どちらもあらゆる目的のためにその全体を本明細書に援用する。
一置換トリシリルアミン前駆体(mono−substituted trisilylamine precursors)を含むSi含有膜形成組成物、一置換トリシリルアミン前駆体の合成方法、およびそれを使用して、半導体、光起電装置、LCD−TFT、フラットパネル型装置、耐火材料、または航空材料を製造するための蒸着プロセスでSi含有膜を付着させる方法が開示されている。
蒸着プロセスによって様々な基板にSi含有薄膜を付着させるために、様々なケイ素含有前駆体が用いられてきた。好適なケイ素前駆体の選択(また該当する場合、共反応物の選択)は一般に、目的とする膜の組成および特性、ならびに膜を付着させる基板による制約に左右される。基板によっては、低温付着プロセスが必要とされることがある。例えば、有機膜で被覆されたプラスチック基板またはSi基板に付着させるには、工業的に有用と思われる適度な付着速度を維持しながら、付着温度は100℃未満(すなわち、20℃〜100℃)でなければならないことがある。そのような膜は、半導体製造におけるスペ−サーで画定されるリソグラフィー用途として使用できるだけでなく、有機発光ダイオード(OLED)デバイスの封入または膜の水分拡散バリヤーの作製にも使用できる。様々な温度範囲における同様の制約が、半導体製造の様々な工程(金属のキャッピング層、ゲートスぺーサーなど)において生じる。
DNF Co.,Ltd.へ付与された国際公開第2015/190749号パンフレットは、アミノシリルアミン化合物ならびにSi−N結合を含む誘電体膜の原子層堆積による製造方法(Amino−silyl Amine Compounds and the Manufacturing Method of Dielectric Film Containing Si−N Bond by Using Atomic Layer Deposition)を開示している。SanchezおよびGirardに付与された国際公開第2015/047914号パンフレットは、アミン置換されたトリシリルアミンおよびトリジシリルアミン化合物(Amine Substituted Trisilylamine and Tridisilylamine Compounds)を開示している。DNF Co.,Ltd.に付与された米国特許出願公開第2014/0363985号明細書は、アミノシリルアミン化合物、その製造方法およびそれを用いたケイ素含有薄膜(Amino−silyl Amine Compounds,Methods for Preparing the Same and Silicon−containing Thin−Film Using the Same)を開示している。Cruseらに付与された米国特許第5,413,813号明細書は、特にRSi−N(X)−SiR[式中、各Rは、H、C1−20アルキル、ハロゲン(好ましくはCl)またはNRであり、Xは、H、Li、またはSiRである]を用いた、反応器の内部表面へのケイ素系セラミック物質のCVDを開示している。Air Products and Chemicals,Inc.へ付与された米国特許出願公開第2014/0158580A号明細書は、アルコキシシリルアミン化合物およびその用途(Alkoxysilylamine Compounds and Appl
ications Thereof)について記載している。米国特許第7,122,222号明細書(これもAir Products and Chemicals,Inc.へ付与されている)は、ケイ素含有膜を付着させるための前駆体およびその方法(Precursors for Depositing Silicon Containing Films and Processes Thereof)を開示している。国際公開第2013/058061号パンフレットに開示されているシラザン化合物N−(SiR 3−mは、コーティングガスとして使用される。米国特許第5,332,853号明細書に開示されている(RRA(Rは、官能基化されたアルキルアルカリ金属化合物を製造するための触媒化合物として使用される。類似の特許として、米国特許第5,663,398A号明細書、米国特許第5,332,853A号明細書、米国特許第5,340,507A号明細書、欧州特許出願公開第525881A1号明細書がある。
蒸気に基づく付着プロセス(CVDまたはALD(LPCVD、SACVD、PECVD、PEALDなど、すべての可能な意味を含む)など)を用いる業界では、用途にとって理想的な前駆体(すなわち、プロセス、基板および目標膜の制約内において可能な最も速い付着速度を有するもの)を、依然として探し求めている。
式(SiHNSiH−Xを有する一置換TSA前駆体を含むSi含有膜形成組成物が開示されており、式中、Xは、Cl、BrまたはIから選択されるハロゲン原子;イソシアナト基[−NCO];アミノ基[−NR];C−C10の飽和または不飽和の窒素含有複素環;またはアルコキシ基[−O−R]であり、ここで、R、RおよびRは独立に、H;シリル基[−SiR’];またはC−Cの直鎖または分枝の飽和または不飽和ヒドロカルビル基から選択され、ここで、各R’は独立に、H;Cl、Br、またはIから選択されるハロゲン原子;C−Cの飽和または不飽和ヒドロカルビル基;C−Cの飽和または不飽和アルコキシ基;またはアミノ基[−NR][式中、RおよびRはそれぞれ独立に、HおよびC−Cの直鎖または分枝の飽和または不飽和ヒドロカルビル基から選択される]から選択される。但し、ここで、R=Hである場合、R≠H、MeまたはEtである。開示されているSi含有膜形成組成物は、1つまたは複数の以下の態様を含みうる:
・一置換TSA前駆体において、Xがハロゲン原子である;
・一置換TSA前駆体が(SiHN−SiH−Clである;
・一置換TSA前駆体が(SiHN−SiH−Brである;
・一置換TSA前駆体が(SiHN−SiH−Iである;
・一置換TSA前駆体において、Xがイソシアネート(−NCO)である一置換TSA前駆体(すなわち、(SiHN−SiH−NCO);
・Xがアミノ基[−NR]である;
・一置換TSA前駆体が(SiHN−SiH−NMeである;
・一置換TSA前駆体が(SiHN−SiH−NMeEtである;
・一置換TSA前駆体が(SiHN−SiH−NEtである;
・一置換TSA前駆体が(SiHN−SiH−NiPrである;
・一置換TSA前駆体が(SiHN−SiH−NHiPrである;
・一置換TSA前駆体が(SiHN−SiH−NMeiPrである;
・一置換TSA前駆体が(SiHN−SiH−NEtiPrである;
・一置換TSA前駆体が(SiHN−SiH−NHtBuである;
・一置換TSA前駆体が(SiH−N−SiH−N(SiH)(SiH(NHEt))(すなわち、X=NRであり、RがSiHであり、RがNHE
tである)ではない;
・一置換TSA前駆体において、Xが−N(SiRである[式中、各Rは、独立に、ハロゲン、H、またはC−Cのアルキル基から選択される];
・一置換TSA前駆体が(SiHN−SiH−N(SiClである;
・一置換TSA前駆体が(SiHN−SiH−N(SiBrである;
・一置換TSA前駆体が(SiHN−SiH−N(SiIである;
・一置換TSA前駆体が(SiHN−SiH−N(SiHである;
・一置換TSA前駆体が(SiH−N−SiH−N(SiH)(SiHCl)である;
・一置換TSA前駆体が(SiH−N−SiH−N(SiH)(SiH(NEt)である;
・一置換TSA前駆体が(SiH−N−SiH−N(SiH)(SiH(NiPr)である;
・一置換TSA前駆体が(SiH−N−SiH−N(SiH)(SiH(NHtBu)である;
・一置換TSA前駆体が(SiH−N−SiH−N(SiH)(SiHOEt)である;
・一置換TSA前駆体が(SiH−N−SiH−N(SiH)(SiHOiPr)である;
・一置換TSA前駆体が(SiHN−SiH−N(SiMeである;
・一置換TSA前駆体が(SiHN−SiH−NH(SiMe)である;
・一置換TSA前駆体が(SiHN−SiH−N(SiEtである;
・一置換TSA前駆体が(SiH−N−SiH−N(SiMeEt)である;
・一置換TSA前駆体が(SiH−N−SiH−N(SiMeiPr)である;
・一置換TSA前駆体が(SiH−N−SiH−N(SiMenPr)である;
・一置換TSA前駆体において、XがC−C10の窒素含有複素環である;
・一置換TSA前駆体において、C−C10の窒素含有複素環がピロリジン、ピロール、およびピペリジンから選択される;
・一置換TSA前駆体が(SiHN−SiH−(ピロリジン)である;
・一置換TSA前駆体が(SiHN−SiH−(ピロール)である;
・一置換TSA前駆体が(SiHN−SiH−(ピペリジン)である;
・一置換TSA前駆体において、Xがアルコキシ基[−O−R]である;
・一置換TSA前駆体が(SiHN−SiH−(OH)である;
・一置換TSA前駆体が(SiHN−SiH−(OMe)である;
・一置換TSA前駆体が(SiHN−SiH−(OEt)である;
・一置換TSA前駆体が(SiHN−SiH−(OiPr)である;
・一置換TSA前駆体が(SiHN−SiH−(OnPr)である;
・一置換TSA前駆体が(SiHN−SiH−(OtBu)である;
・一置換TSA前駆体において、Xが−O−SiRであり、各Rは独立に、H、ハロゲン、またはC−Cのヒドロカルビル基から選択される;
・一置換TSA前駆体が(SiHN−SiH−(OSiH)である;
・一置換TSA前駆体が(SiHN−SiH−(OSiCl)である;
・一置換TSA前駆体が(SiHN−SiH−(OSiBr)である;
・一置換TSA前駆体が(SiHN−SiH−(OSiI)である;
・一置換TSA前駆体が(SiHN−SiH−(OSiMe)である;
・Si含有膜形成組成物がおよそ95% w/w〜およそ100% w/wの前駆体を含む;
・Si含有膜形成組成物がおよそ5% w/w〜およそ50% w/wの前駆体を含む;
・Si含有膜形成組成物がおよそ0ppbw〜およそ500ppbwのAlを含む;
・Si含有膜形成組成物がおよそ0ppbw〜およそ500ppbwのAsを含む;
・Si含有膜形成組成物がおよそ0ppbw〜およそ500ppbwのBaを含む;
・Si含有膜形成組成物がおよそ0ppbw〜およそ500ppbwのBeを含む;
・Si含有膜形成組成物がおよそ0ppbw〜およそ500ppbwのBiを含む;
・Si含有膜形成組成物がおよそ0ppbw〜およそ500ppbwのCdを含む;
・Si含有膜形成組成物がおよそ0ppbw〜およそ500ppbwのCaを含む;
・Si含有膜形成組成物がおよそ0ppbw〜およそ500ppbwのCrを含む;
・Si含有膜形成組成物がおよそ0ppbw〜およそ500ppbwのCoを含む;
・Si含有膜形成組成物がおよそ0ppbw〜およそ500ppbwのCuを含む;
・Si含有膜形成組成物がおよそ0ppbw〜およそ500ppbwのGaを含む;
・Si含有膜形成組成物がおよそ0ppbw〜およそ500ppbwのGeを含む;
・Si含有膜形成組成物がおよそ0ppbw〜およそ500ppbwのHfを含む;
・Si含有膜形成組成物がおよそ0ppbw〜およそ500ppbwのZrを含む;
・Si含有膜形成組成物がおよそ0ppbw〜およそ500ppbwのInを含む;
・Si含有膜形成組成物がおよそ0ppbw〜およそ500ppbwのFeを含む;
・Si含有膜形成組成物がおよそ0ppbw〜およそ500ppbwのPbを含む;
・Si含有膜形成組成物がおよそ0ppbw〜およそ500ppbwのLiを含む;
・Si含有膜形成組成物がおよそ0ppbw〜およそ500ppbwのMgを含む;
・Si含有膜形成組成物がおよそ0ppbw〜およそ500ppbwのMnを含む;
・Si含有膜形成組成物がおよそ0ppbw〜およそ500ppbwのWを含む;
・Si含有膜形成組成物がおよそ0ppbw〜およそ500ppbwのNiを含む;
・Si含有膜形成組成物がおよそ0ppbw〜およそ500ppbwのKを含む;
・Si含有膜形成組成物がおよそ0ppbw〜およそ500ppbwのNaを含む;
・Si含有膜形成組成物がおよそ0ppbw〜およそ500ppbwのSrを含む;
・Si含有膜形成組成物がおよそ0ppbw〜およそ500ppbwのThを含む;
・Si含有膜形成組成物がおよそ0ppbw〜およそ500ppbwのSnを含む;
・Si含有膜形成組成物がおよそ0ppbw〜およそ500ppbwのTiを含む;
・Si含有膜形成組成物がおよそ0ppbw〜およそ500ppbwのUを含む;
・Si含有膜形成組成物がおよそ0ppbw〜およそ500ppbwのVを含む;
・Si含有膜形成組成物がおよそ0ppbw〜およそ500ppbwのZnを含む;
・Si含有膜形成性オルガノシラン組成物がおよそ0ppmw〜およそ500ppmwのClを含む;
・Si含有膜形成組成物がおよそ0ppmw〜およそ500ppmwのBrを含む;
・Si含有膜形成組成物がおよそ0ppmw〜およそ500ppmwのIを含む;
・Si含有膜形成組成物がおよそ0.0% w/w〜0.1% w/wのTSAを含む;
・Si含有膜形成組成物がおよそ0.0% w/w〜0.1% w/wの(SiH−N−SiHX[式中、XはCl、Br、またはIである]を含む;
・Si含有膜形成組成物がおよそ0.0% w/w〜0.1% w/wの(SiH−N−SiHX[式中、XはCl、Br、またはIである]を含む;
・Si含有膜形成組成物がおよそ0.0% w/w〜0.1% w/wのSiHを含む;
・Si含有膜形成組成物がおよそ0.0% w/w〜0.1% w/wのSiHX[式中、XはCl、Br、またはIである]を含む;
・Si含有膜形成組成物がおよそ0.0% w/w〜0.1% w/wのSiH[式中、XはCl、Br、またはIである]を含む;
・Si含有膜形成組成物がおよそ0.0% w/w〜0.1% w/wのSnX[式
中、XはCl、Br、またはIである]を含む;
・Si含有膜形成組成物がおよそ0.0% w/w〜0.1% w/wのSnX[式中、XはCl、Br、またはIである]を含む;
・Si含有膜形成組成物がおよそ0.0% w/w〜0.1% w/wのHX[式中、XはCl、Br、またはIである]を含む;
・Si含有膜形成組成物がおよそ0.0% w/w〜0.1% w/wのNHを含む;
・Si含有膜形成組成物がおよそ0.0% w/w〜0.1% w/wのNHX[式中、XはCl、Br、またはIである]を含む;
・Si含有膜形成組成物がおよそ0.0% w/w〜0.1% w/wのROH[式中、RはC−Cのアルキル基である]を含む;
・Si含有膜形成組成物がおよそ0.0% w/w〜0.1% w/wのNHR[式中、RはC−Cのアルキル基である]を含む;
・Si含有膜形成組成物がおよそ0.0% w/w〜0.1% w/wのNRH[式中、RはC−Cのアルキル基である]を含む;
・Si含有膜形成組成物がおよそ0.0% w/w〜0.1% w/wのHN=R[式中、RはC−Cのアルキル基である]を含む;
・Si含有膜形成組成物がおよそ0.0% w/w〜0.1% w/wのテトラヒドロフラン(THF)を含む;
・Si含有膜形成組成物がおよそ0.0% w/w〜0.1% w/wのエーテルを含む;
・Si含有膜形成組成物がおよそ0.0% w/w〜0.1% w/wのペンタンを含む;
・Si含有膜形成組成物がおよそ0.0% w/w〜0.1% w/wのシクロヘキサンを含む;
・Si含有膜形成組成物がおよそ0.0% w/w〜0.1% w/wのヘプタンを含む;または
・Si含有膜形成組成物がおよそ0.0% w/w〜0.1% w/wのトルエンを含む。
注入管と排出管とを有するキャニスターを具備し、上に開示したSi含有膜形成組成物のいずれかを含んでいるSi含有膜形成組成物送出装置も開示されている。開示されている装置は、1つまたは複数の以下の態様を含むことができる:
・Si含有膜形成組成物が、10ppmw未満の金属不純物の総濃度を有する;
・注入管側の先端がSi含有膜形成組成物の表面の上に位置し、排出管の先端がSi含有膜形成組成物の表面の下に位置する;
・注入管側の先端がSi含有膜形成組成物の表面の下に位置し、排出管の先端がSi含有膜形成組成物の表面の上に位置する;
・注入管および排出管に、隔膜バルブをさらに含む;
・Si含有膜形成組成物が(HSi)N−SiH(NEt)である;
・Si含有膜形成組成物が(HSi)N−SiH(NiPr)である;
・Si含有膜形成組成物が(HSi)N−SiHClである;
・Si含有膜形成組成物が(HSi)N−SiH(N(SiMeである。
Si含有層を基板上に付着させる方法も開示されている。上に開示されている組成物を、内部に基板が配置された反応器に送り込む。蒸着法により、一置換TSA前駆体の少なくとも一部が基板上に付着して、Si含有層が形成される。開示されている方法は、1つまたは複数の以下の態様を含みうる:
・第2前駆体を含む蒸気を反応器に送り込む;
・第2前駆体の元素が、第2族、第13族、第14族、遷移金属、ランタニド、および
これらの組合せからなる群から選択される;
・第2前駆体の元素が、As、B、P、Si、Ge、Al、Zr、Hf、Ti、Nb、Ta、またはランタニドから選択される;
・反応物を反応器に送り込む;
・反応物が、O、O、HO、H、NO、NO、カルボン酸、アルコール、ジオール、それらのラジカル、およびそれらの組合せからなる群から選択される;
・反応物がプラズマ処理酸素である;
・Si含有層が酸化ケイ素含有層である;
・反応物が、N、H、NH、ヒドラジン類(N、MeHNNH、MeHNNHMeなど)、有機アミン(NMeH、NEtH、NMeH、NEtH、NMe、NEt、(SiMeNHなど)、ピラゾリン、ピリジン、ジアミン(エチレンジアミンなど)、それらのラジカル種、およびそれらの混合物からなる群から選択される;
・蒸着法が化学蒸着プロセスである;
・蒸着法がALDプロセスである;
・蒸着法が空間的ALDプロセス(spatial ALD process)である;
・蒸着プロセスが流動性CVDプロセス(flowable CVD process)である;
・ケイ素含有層がSiである;
・ケイ素含有層がSiOである;
・ケイ素含有層がSiNである;
・ケイ素含有層がSiONである;
・ケイ素含有層がSiOCである;
・ケイ素含有層がSiOCNである;
・ケイ素含有層がSiCNである;
・Si含有層を熱アニールする;
・反応性雰囲気下でSi含有層を熱アニールする;
・Si含有層をUV硬化させる;
・Si含有層を電子ビーム硬化させる。
基板を含んでいる反応器に、一置換TSA前駆体を含む蒸気を送り込んで基板上にケイ素含有層を形成する工程と;酸化剤を反応器に送り込むことにより、酸化剤をケイ素含有層と反応させて酸化ケイ素含有層を形成する工程と;一置換TSA前駆体を反応器に送り込むことにより、一置換TSA前駆体と酸化ケイ素含有層とを反応させて、ケイ素を多く含む酸化ケイ素含有層を形成する工程と;窒素含有反応物を反応器に送り込むことにより、窒素含有反応物とケイ素含有層とを反応させて、窒素をドープした酸化ケイ素膜を形成する工程とのプロセスによって形成される、窒素をドープした酸化ケイ素膜も開示されている。一置換TSA前駆体は、式(SiHN−SiH−Xを有し、式中、Xは、Cl、BrまたはIから選択されるハロゲン原子;イソシアナト基[−NCO];アミノ基[−NR];C−C10の飽和または不飽和の窒素含有複素環;またはアルコキシ基[−O−R]から選択され;ここで、R、RおよびRはそれぞれ、H;C−Cの直鎖または分枝の飽和または不飽和ヒドロカルビル基;またはシリル基SiR’から選択され、ここで、各R’は独立に、H;Cl、Br、またはIから選択されるハロゲン原子;C−Cの飽和または不飽和ヒドロカルビル基;C−Cの飽和または不飽和アルコキシ基;またはアミノ基−NR[式中、RおよびRはそれぞれ、HまたはC−Cの直鎖または分枝の飽和または不飽和ヒドロカルビル基から選択される]から選択されるが、但し、R=Hである場合、R≠HまたはMeである。開示されている窒素をドープした酸化ケイ素膜を製造するためのプロセスは、1つまたは複数の以下の態様を含むことができる:
・送り込む工程と送り込む工程との間に不活性ガスで反応器をパージする;
・一置換TSA前駆体において、Xがハロゲン原子である;
・一置換TSA前駆体が(SiHN−SiH−Clである;
・一置換TSA前駆体が(SiHN−SiH−Brである;
・一置換TSA前駆体が(SiHN−SiH−Iである;
・一置換TSA前駆体において、Xがイソシアネート−NCOである(すなわち、(SiHN−SiH−NCO);
・一置換TSA前駆体において、Xがアミノ基[−NR]である;
・一置換TSA前駆体が(SiHN−SiH−NiPrである;
・一置換TSA前駆体が(SiHN−SiH−NHiPrである;
・一置換TSA前駆体が(SiHN−SiH−NHtBuである;
・一置換TSA前駆体が(SiH−N−SiH−N(SiH)(SiH(NHEt))(すなわち、X=NRであり、RがSiHであり、RがNHEt)ではない;
・一置換TSA前駆体が(SiHN−SiH−NEtである;
・一置換TSA前駆体が(SiHN−SiHNEtMeである;
・一置換TSA前駆体が(SiHN−SiHNMeである;
・一置換TSA前駆体が(SiHN−SiHNMeiPrである;
・一置換TSA前駆体が(SiHN−SiHNEtiPrである;
・一置換TSA前駆体において、Xが−N(SiR[式中、各Rは独立に、ハロゲン、H、またはC−Cのアルキル基から選択される]である;
・一置換TSA前駆体が(SiHN−SiH−N(SiClである;
・一置換TSA前駆体が(SiHN−SiH−N(SiBrである;
・一置換TSA前駆体が(SiHN−SiH−N(SiIである;
・一置換TSA前駆体が(SiHN−SiH−N(SiHである;
・一置換TSA前駆体が(SiH−N−SiH−N(SiH)(SiHCl)である;
・一置換TSA前駆体が(SiH−N−SiH−N(SiH)(SiH(NEt)である;
・一置換TSA前駆体が(SiH−N−SiH−N(SiH)(SiH(NiPr)である;
・一置換TSA前駆体が(SiH−N−SiH−N(SiH)(SiH(NHtBu)である;
・一置換TSA前駆体が(SiH−N−SiH−N(SiH)(SiHOEt)である;
・一置換TSA前駆体が(SiH−N−SiH−N(SiH)(SiHOiPr)である;
・一置換TSA前駆体が(SiHN−SiH−N(SiMeである;
・一置換TSA前駆体が(SiHN−SiH−NH(SiMe)である;
・一置換TSA前駆体が(SiHN−SiH−N(SiEtである;
・一置換TSA前駆体が(SiH−N−SiH−N(SiMeEt)である;
・一置換TSA前駆体が(SiH−N−SiH−N(SiMeiPr)である;
・一置換TSA前駆体が(SiH−N−SiH−N(SiMenPr)である;
・一置換TSA前駆体において、XがC−C10の窒素含有複素環である;
・一置換TSA前駆体において、C−C10の窒素含有複素環が、ピロリジン、ピロール、およびピペリジンから選択される;
・一置換TSA前駆体が(SiHN−SiH−(ピロリジン)である;
・一置換TSA前駆体が(SiHN−SiH−(ピロール)である;
・一置換TSA前駆体が(SiHN−SiH−(ピペリジン)である;
・一置換TSA前駆体において、Xがアルコキシ基[−O−R]である;
・一置換TSA前駆体が(SiHN−SiH−(OH)である;
・一置換TSA前駆体が(SiHN−SiH−(OMe)である;
・一置換TSA前駆体が(SiHN−SiH−(OEt)である;
・一置換TSA前駆体が(SiHN−SiH−(OiPr)である;
・一置換TSA前駆体が(SiHN−SiH−(OnPr)である;
・一置換TSA前駆体が(SiHN−SiH−(OtBu)である;
・一置換TSA前駆体において、Xが−O−SiRであり、各Rが独立に、H、ハロゲン、またはC−Cのヒドロカルビル基から選択される;
・一置換TSA前駆体が(SiHN−SiH−(OSiH)である;
・一置換TSA前駆体が(SiHN−SiH−(OSiCl)である;
・一置換TSA前駆体が(SiHN−SiH−(OSiBr)である;
・一置換TSA前駆体が(SiHN−SiH−(OSiI)である;
・一置換TSA前駆体が(SiHN−SiH−(OSiMe)である;
・反応物が、O、O、HO、H、NO、NO、カルボン酸、アルコール、ジオール、それらのラジカル、およびそれらの組合せからなる群から選択される;
・反応物が、N、H、NH、ヒドラジン類(N、MeHNNH、MeHNNHMeなど)、有機アミン(NMeH、NEtH、NMeH、NEtH、NMe、NEt、(SiMeNHなど)、ピラゾリン、ピリジン、ジアミン(エチレンジアミンなど)、それらのラジカル種、およびそれらの混合物からなる群から選択される。
表記法および命名法
ある特定の略号、記号、および用語は、以下に続く記述および請求項全体で使用されており、それには以下のものが含まれる。
本明細書で使用される不定冠詞「1つの(a)」または「1つの(an)」は、「1つまたは複数の」を意味する。
本明細書で使用される「およそ」または「約」という用語は、示されている値の±10%を意味する。
本明細書で使用される「独立に」という用語は、R基のことを述べている文脈で使用される場合、対象となるR基が、同一または異なる下付き文字または上付き文字を持っている別のR基との関連で独立に選択されるというだけでなく、その同じR基の任意の更なる化学種との関連で独立に選択されることも表すと理解すべきである。例えば、式MR1x(NR2R3)(4−x)[式中、xは2または3である]の場合、2つまたは3つのR1基は、互いに同じであっても、R2と同じであっても、R3と同じであっても構わない(但し、同じである必要があるわけではない)。さらに、特に断りがなければ、R基の意味は、異なる式で使用されている場合、互いに無関係であることを理解すべきである。
本明細書で使用される「アルキル基」という用語は、もっぱら炭素および水素原子だけを含む飽和官能基を表す。さらに、「アルキル基」という用語は、直鎖、分岐、または環状のアルキル基を表す。直鎖アルキル基の例としては、メチル基、エチル基、n−プロピル基、n−ブチル基などがあるが、これらに限定されない。分岐アルキル基の例としては、イソプロピル、t−ブチルがあるが、これらに限定されない。環状アルキル基の例としては、シクロプロピル基、シクロペンチル基、シクロヘキシル基などがあるが、これらに限定されない。
本明細書で使用される「アリール」という用語は、環から1個の水素原子が取り除かれた形の芳香環化合物を表す。本明細書で使用される「複素環」という用語は、少なくとも2種類の異なる元素の原子をその環員として有する環状化合物を表す。
本明細書で使用される「Me」という略号はメチル基を表し、「Et」という略号はエチル基を表し、「Pr」という略号は任意のプロピル基(すなわち、n−プロピルまたはイソプロピル)を表し、「iPr」という略号はイソプロピル基を表し、「Bu」という略号は任意のブチル基(n−ブチル、イソブチル、t−ブチル、sec−ブチル)を表し、「tBu」という略号はtert−ブチル基を表し、「sBu」という略号はsec−ブチル基を表し、「iBu」という略号はイソブチル基を表し、「Ph」という略号はフェニル基を表し、「Am」という略号は任意のアミル基(イソアミル、sec−アミル、tert−アミル)を表し、「Cy」という略号は環状アルキル基(シクロブチル、シクロペンチル、シクロヘキシルなど)を表し、さらに「amd」という略号は、R−N−C(Me)−N−Rアミジン化配位子[式中、Rはアルキル基(例えば、iPramdはiPr−N−C(Me)−N−iPr)である]を表す。
本明細書で使用される「SRO」という頭字語はストロンチウムルテニウム酸化物膜を表し、「HCDS」という頭字語はヘキサクロロジシランを表し、「PCDS」という頭字語はペンタクロロジシランを表し、「OCTS」という頭字語はn−オクチルトリメトキシシランを表し、「TSA」という頭字語はトリシリルアミンまたはN(SiHを表し、「DSA」という頭字語はジシリルアミンまたはHN(SiHを表し、「PTFE」という頭字語はポリテトラフルオロエチレンを表す。
本明細書で使用される「LCD−TFT」という頭字語は液晶ディプレイ−薄膜トランジスターを表し、「MIM」という頭字語は金属−絶縁体−金属を表し、「DRAM」という頭字語はダイナミックランダムアクセスメモリを表し、「FeRAM」という頭字語は強誘電体ランダムアクセスメモリを表し、「OLED」という頭字語は有機発光ダイオードを表し、「sccm」という頭字語は標準立方センチメートルを表し、「GCMS」という頭字語はガスクロマトグラフィー−質量分析法を表す。
元素周期表における元素の標準的な略号が本明細書では使用されている。元素はこれらの略号で表されうることを理解すべきである(例えば、Siはケイ素を表し、Nは窒素を表し、Oは酸素を表し、Cは炭素を表すなど)。
付着させる膜または層(酸化ケイ素など)は、本明細書および請求項全体を通じて適切な化学量論比(すなわち、SiO)を示さずに挙げてあることに留意されたい。層としては、純粋な(Si)層、ケイ化物(MSi)層、カーバイド(Si)層、窒化物(Si)層、酸化物(Si)層、またはそれらの混合物を挙げることができ、ここで、Mは元素であり、k、l、m、n、o、およびpは、1〜6の範囲(境界値を含む)である。例えば、ケイ化コバルトはCoSi[ここで、kおよびlはそれぞれ0.5〜5の範囲である]である。同様に、示されている層はいずれも、酸化ケイ素層(Si[式中、nは0.5〜1.5の範囲であり、mは1.5〜3.5の範囲である])を含むこともできる。より好ましくは、酸化ケイ素層はSiOまたはSiOである。酸化ケイ素層は、酸化ケイ素系の誘電体(有機系または酸化ケイ素系のローk(low−k)誘電体など)であってよく、Applied Materials,Inc.のBlack Diamond IIまたはIII物質などがある。あるいはまた、示されているケイ素含有層はいずれも純粋なケイ素であってよい。ケイ素含有層はいずれも、ドーパント(B、C、P、Asおよび/またはGeなど)を含んでもよい。
本明細書に示されている範囲はどれも、「境界値を含む」という言葉が使用されているかどうかにかかわらず境界値を含む(すなわち、x=1〜4は、x=1、x=4、およびx=それらの間の任意の数値を含む)。
本発明の本質および目的をいっそう理解するには、添付図に関連して以下に行う詳細な説明を参照する必要がある。
Si含有膜形成組成物送出装置1の一実施形態の側面図である。 Si含有膜形成組成物送出装置1の別の実施形態の側面図である。 実施例4〜6の付着を実施するのに使用されたPicosun R200 PEALD 8インチ付着器具の図である。 前駆体(SiHN−SiH−NiPr(TSA−NiPr)を使用した場合の、前駆体パルスの数との関係で示した酸化ケイ素膜のALD成長速度を表すグラフである。 前駆体TSA−NiPrを使用した場合の、温度との関係で示した酸化ケイ素薄膜のALD成長速度を表すグラフである。 前駆体(SiHN−SiH−N(SiHを使用した場合の、前駆体パルスの数および温度との関係で示した酸化ケイ素膜のALD成長速度を表すグラフである。 前駆体(SiHN−SiH−Cl(TSA−Cl)を使用した場合の、基板温度との関係で示した酸化ケイ素膜のALD成長速度を表すグラフである。 低圧熱CVD(thermal low vapor pressure CVD)(TSA−ClおよびNH(1トル)、550℃、前駆体とNHとの比率1:20を使用)によって付着させた膜の膜組成を示すX線光電子分光法(XPS)グラフである。Clは、分析機器の検出限界未満(<約1%)であった。付着速度は、10Å/分であり、得られた膜の屈折率は2.1であった。比較すると、TSAの場合に同じ条件で得られた膜は、RIが2.2(ケイ素を多く含む)であり、似たような付着速度であった。 TSA−NiPrおよびTSA−NEt[(HSi)−N−SiH−NEt]それぞれの熱的スクリーニング装置による熱安定性を表すグラフである。こうした測定では、調整温度(ここでは、65℃)で24時間の間、密封した試料の圧力を連続的に測定する。著しい圧力変化がなければ、生成物が安定していることを示す。観察された圧力変動はここでは大きなものではなく、わずかな温度変動に関連したものである。 TSA−NiPrおよびTSA−NEt[(HSi)−N−SiH−NEt]それぞれの熱的スクリーニング装置による熱安定性を表すグラフである。こうした測定では、調整温度(ここでは、65℃)で24時間の間、密封した試料の圧力を連続的に測定する。著しい圧力変化がなければ、生成物が安定していることを示す。観察された圧力変動はここでは大きなものではなく、わずかな温度変動に関連したものである。 60サイクル/分および100℃においてTSA−NiPr/Oプラズマを用いた空間的ALDによって得た0.135μmの膜を示す走査型電子顕微鏡(SEM)画像であり、22:1という高アスペクト比構造体での完全な工程カバレージを示している。コントラストを向上させるために下に透明なTa層を加えた。写真は、溝構造体の上部および下部で取られたものである。 60サイクル/分および100℃においてTSA−NiPr/Oプラズマを用いた空間的ALDによって得た0.135μmの膜を示す走査型電子顕微鏡(SEM)画像であり、22:1という高アスペクト比構造体での完全な工程カバレージを示している。コントラストを向上させるために下に透明なTa層を加えた。写真は、溝構造体の上部および下部で取られたものである。
Si−C結合のない主鎖と単一化学官能基化部位(これにより表面反応性が高くなる)とを有する一置換TSA前駆体を含む、Si含有膜形成組成物が開示されている。1個より多い(好ましく2個より多い)いくつかのケイ素原子を有し(但し、Si−C直接結合のない)極性分子である一置換TSA前駆体は、基板表面に対して反応性が高くなって、付着速度が速くなるであろう。一置換TSA前駆体は次の一般式を有する:
(SiHN−SiH−X
[式中、Xは、Cl、BrまたはIから選択されるハロゲン原子;イソシアナト基[−NCO];アミノ基[−NR];C−C10の飽和または不飽和の窒素含有複素環;またはアルコキシ基[−O−R]から選択され;ここで、R、RおよびRはそれぞれ、H;シリル基(SiR’);またはC−Cの直鎖または分枝の飽和または不飽和ヒドロカルビル基から選択され、ここで、各R’は独立に、H;Cl、Br、またはIから選択されるハロゲン原子;C−Cの飽和または不飽和ヒドロカルビル基;C−Cの飽和または不飽和アルコキシ基;またはアミノ基[−NR](式中、RおよびRはそれぞれ、HまたはC−Cの直鎖または分枝の飽和または不飽和ヒドロカルビル基から選択される)から選択されるが、但し、R=Hである場合、R≠H、MeまたはEtである]。C−Cの直鎖または分枝の飽和または不飽和ヒドロカルビル基は、アミンまたはエーテルを含みうる。あるいはまた、RおよびRは独立に、Me、Et、iPr、nPr、tBu、nBu、およびsecBuから選択できる。
本発明者らは、一置換TSA前駆体がほとんど炭素を含んでいないので、開示されているSi含有膜形成組成物が流動性CVDプロセスにとりわけ十分に適していると考えている。さらに、一置換TSA前駆体は、TSAよりも蒸気圧が低いので、そのため、いっそう容易に凝縮しうるし、付着速度も速くなる。最後の点として、一置換TSA構造は、流動性プロセスの間に形成されるオリゴマーの構造に近い。
開示されている一置換TSA前駆体は、各Si原子に直接結合している2個または3個の水素原子を含む。こうしたSi−H結合により、前駆体は揮発し易くなり、そのことは蒸着プロセスにとって重要である。
Xがハロゲン化物である場合、例示的なSi含有膜形成組成物は、(SiH−N−SiHCl、(SiH−N−SiHBr、または(SiH−N−SiHIを含む。ハロゲン化物は反応性が高いことで知られている。本出願者らは、開示されている一置換TSA前駆体のハロゲン化物が、非ハロゲン化類似体と比べて、揮発性および付着速度を向上させるのに役立ちうると考えている。こうした組成物は、次の反応にしたがって合成できる:SnX+N(SiH→N(SiH(SiHX)+SnX↓+HX[ここで、Xは、Cl、Br、またはIである](J.Chem.Soc.Dalton Trans.1975,p.1624を参照)。あるいはまた、Millerによる米国特許第8,669,387号明細書に記載されているように、ジハロシラン[SiH(式中、Xは、Cl、Br、またはIである)]およびモノハロシラン[SiHX(式中、Xは、Cl、Br、またはIである)]は、貫流型管型反応器中で400sccmのNHを流しつつ、室温において、1/20〜1/4の比率で気相として連続的に送り込むことができる。NHと2当量のモノハロシランとを反応させると、生成するのはほとんどがジシリルアミン(DSA)である。次いで、DSAはジハロシランと反応して、(SiH−N−SiHXおよびHX[ここで、Xは、Cl、Br、またはIである]を形成する。反応が、1または2工程(最初、モノハロシランおよびNHからDSAを形成し、次いでジハロシランを付加する)あるいは1工程(モノハロシラン、ジクロロシラン、およびNHを1工程で結合する)で行われうることを
、当業者なら理解するであろう。
Xがイソシアナト基[−NCO]である場合、例示的なSi含有膜形成組成物は、(SiH−N−SiH(NCO)を含む。この組成物は、TaniguchiらによるAngewandte Communications,Angew.Chem.Int.Ed.2013,52,1−5に開示されている方法にしたがって、脱水素結合(dehydrogenerative coupling)によって合成できる。その教示を本明細書に援用する。さらに詳細には、(SiHNを、アルミナに担持された金ナノ粒子の存在下で、尿素(NHCONH)と反応させて(SiH−N−SiH(NCO)+Hを形成することができる。
Xがアミノ基[−NR]である場合、例示的なSi含有膜形成組成物は、(SiH−N−SiH(NMe)、(SiH−N−SiH(NMeEt)、(SiH−N−SiH(NEt)、(SiH−N−SiH(NiPr)、(SiH−N−SiH(NHiPr)、(SiH−N−SiH(NMeiPr)、(SiH−N−SiH(NEtiPr)、(SiH−N−SiH(NHtBu)、(SiH−N−SiH[N(SiH]、(SiH−N−SiH[N(SiH)(SiHCl)]、(SiH−N−SiH[N(SiH)(SiH(NEt))]、(SiH−N−SiH[N(SiH)(SiH(NiPr))]、(SiH−N−SiH[N(SiH)(SiH(NHtBu))]、(SiH−N−SiH[N(SiH)(SiHOEt)]、(SiH−N−SiH[N(SiH)(SiHOiPr)]、(SiH−N−SiH[N(SiMe]、(SiH−N−SiH[NH(SiMe)]、(SiH−N−SiH[N(SiEt)、(SiH−N−SiH[N(SiMeEt))、(SiH−N−SiH[N(SiMeiPr))、(SiH−N−SiH[N(tBu)(SiH))、(SiH−N−SiH[N(SiMenPr))、(SiHN−SiHNEtMe、(SiHN−SiHNMe、(SiHN−SiHNMeiPr、または(SiHN−SiHNEtiPrを含む。アミノ基は熱安定性の向上にも役立ちうる。アミノ基は、N原子およびC原子を、得られる膜に組み込むのにも役立ちうる。それにより、得られた層は後で行われるエッチングプロセスに対していっそう耐えられるようにすることができる。
およびRが窒素含有環状複素環を形成する場合、得られる複素環が離脱基を形成し、その離脱基は容易に一置換TSA前駆体から離脱しうるので、非環式のジアルキルアミノ基と比べて、得られた膜の炭素汚染が少なくなる、と本出願人らは考えている。
Si−H結合によってもたらされる揮発性と、アミノ基によってもたらされる熱安定性との釣り合いがたもたれることを、当業者なら理解するであろう。少なくとも(HSi)−N−SiH−NEt、(HSi)−N−SiH−SiH−NiPr、および(HSi)−N−SiH−N(SiHによって、こうした相反する特性の釣り合いがうまく保たれて、特別の蒸着前駆体が生じる、と本出願人らは考えている。以下に続く実施例に示されるように、得られたSi含有膜の特性は、先行技術に開示されている特性よりも向上している。
アミノ置換されたSi含有膜形成組成物は、上に開示したハロ置換されたSi含有膜形成組成物と同様にして合成できる。さらに詳細には、米国特許第8,669,387号明細書に記載されているように、貫流型管型反応器において、400sccmのNHを流しながら、気相として室温で200sccmのモノハロシランおよび50sccmのジハロシランを連続的に送り込んで、様々なシリルアミンとハロゲン化アンモニウムとからな
る流れを形成することができる。その流れから、当業者が容易に考え出す方法(分別蒸留といった方法)によって(SiH−N−SiH[N(SiH]を分離できる。
さらに詳細には、(SiH−N−SiH[N(SiMe]は次のようにして合成できる:SiMe−NH−SiMeとtBuLiとの反応→(MeSi)NLi、および(MeSi)NLiと(SiH−N−SiH−Clとの反応→(SiH−N−SiH−N(SiMe+LiCl
同様に、(SiH−N−SiH−NH(SiMe)は、次のようにして合成できる:SiMe−NH−SiMe+(SiH−N−SiH−Clの反応→(SiH−N−SiH−NH−SiMe+MeSiClが得られる。
(SiH−N−SiH−N(SiH)(SiHX)は、(SiH−N−SiH−N(SiHとSnX[式中、Xは、Cl、Br、またはIである]とを反応させて合成できる(J.Chem.Soc.Dalton Trans.1975,p.1624を参照)。反応時間を増大させ、かつ/または化学量論比を調整することで、(SiH−N−SiH−N(SiHをさらに置換できる。
(SiH−N−SiH−N(SiH)(SiH(NEt))は、(SiH−N−SiH−N(SiH)(SiHX)とHNEtとの反応から合成できる。反応時間を増大させ、かつ/または化学量論比を調整することで、(SiH−N−SiH−N(SiH)(SiH(NEt))をさらに置換できる。
(SiH−N−SiH−N(SiH)(SiH(NiPr))は、(SiH−N−SiH−N(SiH)(SiHX)とHNiPrとの反応から合成できる。反応時間を増大させ、かつ/または化学量論比を調整することで、(SiH−N−SiH−N(SiH)(SiH(NiPr))をさらに置換できる。
(SiH−N−SiH−N(SiH)(SiH(NHtBu))は、(SiH−N−SiH−N(SiH)(SiHX)とHNtBuとの反応によって合成できる。HNEtを使用した類似反応では、(SiH−N−SiH−N(SiH)(SiH(NHEt))の収率が低くなりうることに留意されたい。
(SiH−N−SiH−N(SiH)(SiH(OEt))は、(NEtまたはピリジンのような)HCl掃去剤の存在下で、(SiH−N−SiH−N(SiH)(SiHX)とエタノール(EtOH)とを反応させて合成できる。
(SiH−N−SiH−N(SiH)(SiH(OiPr))は、(NEtまたはピリジンのような)HCl掃去剤の存在下で、(SiH−N−SiH−N(SiH)(SiHX)とイソプロパノール(iPrOH)とを反応させて合成できる。
XがC−C10の飽和または不飽和の窒素含有複素環である場合、例示的なSi含有膜形成組成物は、(SiH−N−SiH−ピロリジン、(SiH−N−SiH−ピロール、または(SiH−N−SiH−ピペリジンを含む。あるいはまた、C−C10の飽和または不飽和の窒素含有複素環は、ヘテロ元素(P、B、As、Ge、および/またはSiなど)を含むこともできる。
Xがアルコキシ基である場合、例示的なSi含有膜形成組成物は、(SiH−N−SiH(OEt)、(SiH−N−SiH(OiPr)、(SiHN−SiH−OSiMe、(SiH−N−SiH−OSiMeOEt、または(SiH−N−SiH−OSiHMeを含む。
N(SiH(SiHOEt)は、酸掃去剤(EtNまたはピリジンなど)の存在下で、(SiH−N−SiHClとEtOHとから合成することもできる。
N(SiH+EtOH→N(SiH(SiHOEt)
好ましくは、開示されているSi含有膜形成組成物は、高蒸気圧、低融点(好ましくは、室温で液状)、低昇華点、および/または高い熱安定性など、蒸着法に適した特性を有する。
プロセス信頼性を確保するため、開示されているSi含有膜形成組成物は、使用する前に、およそ95% w/w〜およそ100% w/w、好ましくはおよそ98% w/w〜およそ100% w/wの範囲の純度になるまで、連続蒸留または分別バッチ蒸留で精製することができる。純度は、H NMR、あるいはガスまたは液体クロマトグラフィー(質量分析法と併用)を用いて測定できることを、当業者なら理解するであろう。Si含有膜形成組成物は、以下の不純物のいずれかを含むことがある:ハロゲン化物(X)、トリシリルアミン、モノハロトリシリルアミン、ジハロトリシリルアミン、SiH、SiHX、SnX、SnX、HX、NH、NHX、モノクロロシラン、ジクロロシラン、アルコール、アルキルアミン、ジアルキルアミン、アルキルイミン、THF、エーテル、ペンタン、シクロヘキサン、ヘプタン類、またはトルエン(ここで、Xは、Cl、Br、またはIである)。好ましくは、こうした不純物の総量は0.1% w/w未満である。精製組成物は、再結晶、昇華、蒸留により、および/または、気体または液体を、好適な吸着剤(4Aモレキュラーシーブまたは炭素系の吸着剤(例えば、活性炭)など)の中に通すことにより、得ることができる。
精製された一置換TSA前駆体組成物中の各溶媒(THF、エーテル、ペンタン、シクロヘキサン、ヘプタン類、および/またはトルエンなど)の濃度は、およそ0% w/w〜およそ5% w/w、好ましくはおよそ0% w/w〜およそ0.1% w/wの範囲であってよい。溶媒は、前駆体組成物の合成で使用できる。前駆体組成物からの溶媒の分離は、両方が似たような沸点を有する場合、難しくなりうる。混合物を冷却すると、液体溶媒中に固体前駆体が生成されることがあり、それは濾過で分離できる。前駆体組成物をその分解点近くより上まで加熱しないのであれば、真空蒸留も使用できる。
開示されているSi含有膜形成組成物は、そのモノ−、ジ−またはトリス類似体または他の反応生成物のいずれかを、5% v/v未満、好ましくは1% v/v未満、より好ましくは0.1% v/v未満、さらにより好ましくは0.01% v/v未満含む。この実施形態は、プロセス繰返し精度がいっそう向上しうる。この実施形態は、Si含有膜形成組成物の蒸留によってもたらされうる。
また開示されているSi含有膜形成組成物を精製すると、希少金属および半金属の濃度は、およそ0ppbw〜およそ500ppbw、より好ましくはおよそ0ppbw〜およそ100ppbwの範囲になりうる。こうした金属または半金属の不純物としては、アルミニウム(Al)、ヒ素(As)、バリウム(Ba)、ベリリウム(Be)、ビスマス(Bi)、カドミウム(Cd)、カルシウム(Ca)、クロム(Cr)、コバルト(Co)、銅(Cu)、ガリウム(Ga)、ゲルマニウム(Ge)、ハフニウム(Hf)、ジルコニウム(Zr)、インジウム(In)、鉄(Fe)、鉛(Pb)、リチウム(Li)、マグネシウム(Mg)、マンガン(Mn)、タングステン(W)、ニッケル(Ni)、カリ
ウム(K)、ナトリウム(Na)、ストロンチウム(Sr)、トリウム(Th)、スズ(Sn)、チタン(Ti)、ウラン(U)、バナジウム(V)および亜鉛(Zn)があるが、これらに限定されない。精製された一置換TSA前駆体組成物中のX(ここで、X=Cl、Br、I)の濃度は、およそ0ppmw〜およそ100ppmw、より好ましくはおよそ0ppmw〜およそ10ppmwの範囲であり得る。
開示されているSi含有膜形成組成物は、開示されているSi含有膜形成組成物の送出装置によって半導体加工手段に送ることができる。図1および2は、開示されている送出装置1の2つの実施形態を示す。
図1は、Si含有膜形成組成物送出装置1の一実施形態の側面図である。図1では、開示されているSi含有膜形成組成物10は、2つの管(注入管30および排出管40)を有する容器20内に入れられている。高温高圧であっても気体状のSi含有膜形成組成物10が逃げないように、容器20、注入管30、および排出管40が作られていることを、前駆体分野の当業者なら理解するであろう。
好適なバルブとしては、バネ式(spring−loaded or tied)隔膜バルブがある。バルブは、制限フローオリフィス(RFO:restrictive flow orifice)をさらに含むことができる。送出装置は、ガスマニホールドに接続されていて、エンクロージャ内になければならない。ガスマニホールドは、安全に排気が行えなければならず、また送出装置を交換するときに空気にさらされうる配管のパージが行えなければならない。これは、自然発火性材料が残っていた場合に反応しないようにするためである。エンクロージャは、センサーを備え、また自然発火性材料(SiHなど)が放出された場合に火災を制御できる火災制御機能を備えていなければならない。ガスマニホールドは、遮断弁、真空発生装置も備えていなければならず、少なくともパージガスを導入できなければならない。
送出装置は耐漏洩性でなければならず、微量であってもこれらの材料が漏れないようにするバルブを備えていなければならない。送出装置は、半導体加工手段の他の構成部分(上に開示したガスキャビネットなど)と、バルブ35および45を介して流体連通している。好ましくは、送出装置20、注入管30、バルブ35、排出管40、およびバルブ45は、316L EPまたは304ステンレス鋼で作られている。しかし、本明細書の教示では他の非反応性物質も使用できること、また腐食性のSi含有膜形成組成物10ではより耐食性のある材料(HastelloyまたはInconelなど)を使用しなければならない場合があることを、当業者なら理解するであろう。
図1では、注入管30の端部31はSi含有膜形成組成物10の表面の上に位置しているが、排出管40の端部41は、Si含有膜形成組成物10の表面の下に位置している。この実施形態では、Si含有膜形成組成物10は、好ましくは液状である。不活性ガス(窒素、アルゴン、ヘリウム、およびそれらの混合物があるが、それらに限定されない)を、注入管30に送り込むことができる。液体Si含有膜形成組成物10が強制的に排出管40を通って半導体加工手段(図示せず)内の構成部分に送られるよう、不活性ガスにより送出装置20に圧力が加えられる。キャリヤーガス(ヘリウム、アルゴン、窒素またはそれらの混合物など)を使用して、あるいは使用せずに、液体のSi含有膜形成組成物10を蒸気に変換する蒸発器を、半導体加工手段は具備することができるが、それは、修復するウェーハが置かれていて蒸気相で処理が行われるチャンバーに、蒸気を送り込むためである。あるいはまた、液体のSi含有膜形成組成物10は、噴流またはエアロゾルとしてウェーハ表面に直接送り出すこともできる。
図2は、Si含有膜形成組成物送出装置1の別の実施形態の側面図である。図2では、
注入管30の端部31はSi含有膜形成組成物10の表面の下に位置しているが、排出管40の端部41は、Si含有膜形成組成物10の表面の上に位置している。図2はまた、Si含有膜形成組成物10の温度を上昇させることができる任意選択の加熱エレメント25を含む。Si含有膜形成組成物10は、固体状であっても液体状であってもよい。不活性ガス(窒素、アルゴン、ヘリウム、およびそれらの混合物があるが、それらに限定されない)は、注入管30に送り込まれる。不活性ガスは、Si含有膜形成組成物10の中を流れ、不活性ガスと蒸気化されたSi含有膜形成組成物10との混合物を、排出管40および半導体加工手段内の構成部分に運ぶ。
図1および2はどちらもバルブ35および45を含んでいる。管30および40内をそれぞれ流れるようにするために、バルブ35および45を開放位置または閉鎖位置にすることができることを、当業者なら理解するであろう。Si含有膜形成組成物10が蒸気形態である場合、または十分な蒸気圧が固相/液相の上にある場合、図1または2の送出装置1か、または存在する固体または液体の表面の上で終了する単一導管を有するより簡単な送出装置のいずれかを使用できる。十分な蒸気圧がある場合、Si含有膜形成組成物10は、バルブ35(図1)または45(図2)をそれぞれ開くだけで、導管30または40から蒸気形態で送り出される。送出装置1は、Si含有膜形成組成物10が蒸気形態で送り出されるのに十分な蒸気圧となるように、例えば、任意選択の加熱エレメント25を用いて好適な温度に維持できる。
図1および2は、Si含有膜形成組成物送出装置1の2つの実施形態を開示しているが、当業者なら、本明細書の開示の範囲内において、注入管30および排出管40の両方をSi含有膜形成組成物10の表面の上または下に配置できることを理解するであろう。さらに、注入管30は注入口であってもよい。最後の点として、本明細書の教示の範囲内で、他の送出装置(Jurcikらに付与された国際公開第2006/059187号パンフレットに開示されているアンプルなど)を用いて、開示されているSi含有膜形成組成物を半導体加工手段に送ることができることを、当業者なら理解するであろう。
開示されているSi含有膜形成組成物は、様々なALDまたはCVDプロセスでSi含有膜を付着させるのに好適でありうるし、次のような利点があるであろう:
・室温で液体であるか、融点が50℃より低い;
・粒子を発生することなくふさわしく分配(気相注入または直接液体注入)できるよう熱的に安定している;および/または
・広い自己制御ALDウィンドウとなるような、基板との適切な反応性(これにより、様々なSi含有膜の付着が可能になる)。
窒化ケイ素および酸化ケイ素含有膜(SiOと呼ばれる)は、N、H、NH、O、HO、H、O、NO、NO、NO、カルボン酸、アルコール、ジオール、ヒドラジン類(N、MeHNNH、MeHNNHMeなど)、有機アミン(NMeH、NEtH、NMeH、NEtH、NMe、NEt、(SiMeNHなど)、ピラゾリン、ピリジン、ジアミン(エチレンジアミンなど)、それらの組合せ、およびそれらのプラズマ生成物からなる群から選択される反応物の1種またはそれらの組合せを用いて、CVDまたはALDによって、付着させることができる。
As、B、P、Ga、Ge、Sn、Sb、Al、Inから選択される元素を含む1種または数種の他の前駆体または遷移金属の前駆体(およびおそらく上にリストした1種または複数種の反応物)と一緒に、Si含有膜形成組成物を用いて、三成分または四成分膜を付着させることができる。開示されているSi含有膜形成組成物と一緒に使用できる典型的な前駆体は、以下のファミリーから選択される:
・ハロゲン化金属(例えば、TiCl、TiI、TaCl、HfCl、ZrC
、AlCl、NbFなど);
・アルキル(Al、Ge、Ga、In、Sb、Sn、Zn)(トリメチルアルミニウム、ジエチル亜鉛、トリエチルガリウムなど);
・水素化物(GeH、アランなど);
・アルキルアミド(第IV族および第V族遷移金属の金属);
・イミド基(第V族および第VI族の金属);
・アルコキシド(第IV族、第V族の金属);
・シクロペンタジエニル(Ru、Co、Fe、第IV族の遷移金属、ランタニドなど);
・カルボニル(例:Ru、Co、Fe、Ni);
・アミジナートおよびグアニジネート(例:Co、Mn、Ni、Cu、Scなど);
・ベータ−ジケトナート(例:Sc、Cu、ランタニド);
・ベータ−ジケトイミン(Cu、Ni、Coなど);
・ビス−トリアルキルシリルアミド(Ni、Co、Feなど);
・オキソ基(RuO、WOCl、PO(OEt)、AsO(OEt)など);
・または上記の配位子の組合せを有するヘテロレプチック分子。
開示されているSi含有膜形成組成物は、別のケイ素源と一緒に使用してもよく、別のケイ素源としては、ハロシラン(多分、SiHCl、SiHCl、SiHCl、SiCl、SiBr、SiI、SiHI、SiH、SiHI、SiFから選択される)、ポリシランSiH2x+2、または環状ポリシランSiH2x、ハロポリシラン(SiCl2x+2、SiCl2x+2−y(HCDS、OCTS、PCDS、MCDS(モノクロロジシランまたはSiCl)、DCDS(ジクロロジシランまたはSiCl))、またはSi−(CH−Si主鎖(ここで、n=1または2)を有するカルボシランなどがある。
蒸着法(様々なCVDおよびALD法を含む)のための開示されているSi含有膜形成組成物の使用方法も開示されている。開示されている方法により、ケイ素含有膜(好ましくは、窒化ケイ素(SiN)膜、酸化ケイ素(SiO)膜、および窒素をドープした酸化ケイ素膜)を付着させるために、開示されているSi含有膜形成組成物を使うことが可能である。開示されている方法は、半導体、光起電力、LCD−TFT、フラットパネル型装置、耐火材料、または航空材料の製造に有用であろう。
ケイ素含有層を基板上に形成するための開示されている方法は、基板を反応器内に入れる工程、Si含有膜形成組成物を含んでいる蒸気を反応器内に送る工程、および蒸気を基板と接触させて(さらに典型的には、蒸気を基板に向けて)基板の表面にケイ素含有層を形成する工程を含む。あるいはまた、前駆体蒸気を含んでいるチャンバーに基板を移し(空間的ALD)、その後、反応物を含んでいる別の領域に移す。他の物理的処理工程は、前駆体および反応物にさらす間に実施できる(瞬間焼きなまし、紫外線キュアなど)。
こうした方法は、蒸着プロセスを用いて、バイメタル含有層を基板上に形成することを含むことができ、さらに具体的には、SiMOx膜[式中、xは4であり、Mは、Ti、Hf、Zr、Ta、Nb、V、Al、Sr、Y、Ba、Ca、As、B、P、Sb、Bi、Sn、ランタニド(Erなど)、またはそれらの組合せである]を付着させるために蒸着プロセスを用いることを含む。開示されている方法は、半導体、光起電力、LCD−TFT、またはフラットパネル型装置の製造に有用であろう。O、O、HO、NO、H、酢酸、ホルマリン、パラ−ホルムアルデヒド、アルコール、ジオール、それらの酸素ラジカル、およびこれらの組合せ(好ましくは、Oまたはプラズマ処理Oである)などの酸素源も、反応器中に送り込むことができる。
当業者に知られている任意の付着法を用いてケイ素含有膜を付着させるのに、開示されているSi含有膜形成組成物を使用できる。好適な付着法の例としては、化学蒸着(CVD)または原子層堆積(ALD)がある。例示的なCVD法としては、熱CVD、パルスCVD(PCVD)、低圧CVD(LPCVD)、準大気圧CVD(SACVD)または大気圧CVD(APCVD)、熱線CVD(HWCVD。cat−CVDとしても知られていており、熱線が付着プロセスのエネルギー源としての役割を果たす)、ラジカル導入(radicals incorporated)CVD、プラズマ促進CVD(PECVD)(流動性CVD(FCVD)を含むが、これに限定されない)、およびこれらの組合せがある。例示的なALD法としては、熱ALD、プラズマ促進ALD(PEALD)、空間分離ALD、熱線ALD(HWALD)、ラジカル導入ALD、およびこれらの組合せがある。超臨界流体付着も使用できる。付着法は、適切な工程カバレージおよび膜厚さの制御を行えるようにするために、好ましくは、FCVD、ALD、PE−ALD、または空間的ALDである。
Si含有膜形成組成物は、従来の手段(管および/または流量計など)によって蒸気形態で反応器に送られる。蒸気形態の組成物は、従来の気化工程(直接気化、蒸留、バブリングなど)で、純粋な組成物または混合された組成物溶液を気化することにより生み出すことができる。組成物は液体状態で蒸発器に供給でき、そこで蒸気にされてから、反応器に送り込まれる。蒸気化する前に、組成物を任意選択で1種または複数種の溶媒と混合することができる。溶媒は、トルエン、エチルベンゼン、キシレン、メシチレン、デカン、ドデカン、オクタン、ヘキサン、ペンタンなどからなる群から選択できる。得られる濃度は、およそ0.05M〜およそ2Mの範囲であってよい。
あるいはまた、前駆体が入れられている容器内にキャリヤーガスを送るか、あるいはキャリヤーガスを前駆体中に送って泡立たせることにより、Si含有膜形成組成物を蒸気化することができる。組成物は、任意選択で、容器内で1種または複数種の溶媒と混ぜ合わせてもよい。溶媒は、トルエン、エチルベンゼン、キシレン、メシチレン、デカン、ドデカン、オクタン、ヘキサン、ペンタンなどからなる群から選択できる。得られる濃度は、およそ0.05M〜およそ2Mの範囲であってよい。キャリヤーガスとしては、Ar、He、またはN、およびそれらの混合物があるが、それらに限定されない。キャリヤーガスで泡立たせると、純粋組成物または混合組成物中に存在するあらゆる溶存酸素を除去することもできる。その後、キャリヤーガスおよび組成物を蒸気として反応器中に送り込む。
必要であれば、容器は、Si含有膜形成組成物が液相になって十分な蒸気圧を有するようになる温度まで加熱してよい。容器は、例えば、0〜150℃の範囲の温度に維持できる。当業者なら、蒸気化される組成物の量を制御する既知の方法で、容器の温度を調節できることを理解している。典型的には、0.1〜100トル、好ましくはおよそ1〜20トルの蒸気圧に達するように温度を調節する。
Si含有膜形成組成物の蒸気を発生させ、その後、基板を含んでいる反応チャンバーに送り込む。反応チャンバーの温度および圧力、ならびに基板温度は、一置換TSA前駆体の少なくとも一部を基板に蒸着させるのに適した条件に維持する。言い換えれば、蒸気化された組成物を反応チャンバーに送り込んだ後、反応チャンバー内の条件を、蒸気化された前駆体の少なくとも一部が基板に付着してSi含有層が形成されるように調節する。「蒸気化された前駆体の少なくとも一部が付着する」とは、化合物の一部または全部が基板と反応するかまたは基板に接着するという意味であることを、当業者なら理解するであろう。本明細書では、反応物は、Si含有層の形成をし易くするのにも使用できる。さらに、Si含有層は、UVおよび電子ビームで硬化できる。
反応チャンバーは、付着法が行われる装置(平行板型反応器、コールドウォール型反応器、ホットウォール型反応器、単一ウェーハ反応器、複数ウェーハ反応器、または他のそのようなタイプの付着装置などがあるが、これらに限定されない)の任意のエンクロージャまたはチャンバーであってよい。これらの例示的な反応チャンバーはすべて、ALDまたはCVDの反応チャンバーとしての役割を果たすことができる。反応チャンバーは、すべてのALDおよび準大気圧CVDにおいて、約0.5ミリトル〜約20トルの範囲の圧力に維持できる。準大気圧CVDおよび大気圧CVDの圧力は、最高760トル(大気圧)までの範囲にすることができる。さらに、反応チャンバー内の温度は、約0℃〜約800℃の範囲にすることができる。ごく普通の実験によって、所望の結果が得られるように温度を最適化できることを、当業者なら理解するであろう。
反応器の温度は、基板保持器の温度を制御するか、または反応器壁の温度を制御することにより制御できる。基板の加熱に使用される装置は当該技術分野において知られている。反応器壁を、所望の物理的状態および組成かつ十分な成長速度で所望の膜を得るのに十分な温度まで加熱する。反応器壁についての非限定的な例示的温度範囲は、およそ20℃〜およそ800℃に保つことができる。プラズマ蒸着プロセスを使用する場合、付着温度は、およそ0℃〜およそ550℃の範囲にすることができる。あるいはまた、熱プロセスを実施する場合、付着温度はおよそ200℃〜およそ800℃の範囲にすることができる。
あるいはまた、基板を、所望の物理的状態および組成かつ十分な成長速度で所望のケイ素含有膜を得るのに十分な温度まで加熱する。基板を加熱させることのできる非限定的な例示的温度範囲としては、50℃〜600℃がある。好ましくは、基板の温度は、500℃以下に維持される。
あるいはまた、ALDプロセスは、前駆体の自己分解温度より下の基板温度で実施できる。当業者なら、前駆体の自己分解温度の測定方法が分かるであろう。
反応器は、膜を付着させる1枚または複数枚の基板を含む。基板は一般に、プロセスを実施する対象となる物質と定義される。基板は、半導体、光起電力、フラットパネル、またはLCD−TFTデバイスの製造で用いられる任意の好適な基板であってよい。好適な基板の例としては、ケイ素、シリカ、ガラス、プラスチックまたはGaAsのウェーハなどのウェーハがある。ウェーハは、前の製造工程で付着された様々な物質の1つまたは複数の層を有することができる。例えば、ウェーハは、ケイ素層(結晶質、非結晶質、多孔質など)、酸化ケイ素層、窒化ケイ素層、ケイ素オキシ窒化物層、炭素をドープした酸化ケイ素(SiCOH)層、フォトレジスト層、反射防止層、またはそれらの組合せを含むことができる。さらに、ウェーハは、銅層または貴金属層(例えば、白金、パラジウム、ロジウム、または金)を含むことができる。層は、MIM、DRAM、STT RAM、PC−RAMまたはFeRam技術において誘電体として使用される酸化物を含むことができる(例えば、ZrO系の物質、HfO系の物質、TiO系の物質、希土類酸化物系の物質、三元酸化物系の物質(ストロンチウムルテニウム酸化物(SRO)など)か、あるいは窒化物系の膜(例えば、TaN)(銅とローk層との間の酸素バリヤーとして使用される)からを含むことができる。ウェーハは、バリヤー層(マンガン、酸化マンガンなど)を含むことができる。ポリ(3,4−エチレンジオキシチオフェン)ポリ(スチレンスルホネート)(PEDOT:PSS)などのプラスチック層を使用してもよい。層は、平面であっても、パターン化されていてもよい。例えば、層は、水素化炭素(例えば、CH(式中、xはゼロより大きい))で作られたパターン化フォトレジスト膜であってよい。開示されているプロセスでは、ケイ素含有層を、ウェーハ上に直接、またはウェーハの上部の層の1つまたは複数(パターン化層が基板を形成する場合)に直接付着させることができる。さらに、本明細書で使用される「膜」または「層」という用語は、表面
の上に配置された(あるいは広げられた)ある物質の厚さを表すこと、またその表面は溝または線であってよいことを、当業者なら理解するであろう。本明細書および請求項全体を通じて、ウェーハおよびその上にある関連した層はいずれも、基板と呼ばれる。但し、多くの例で、使用される好ましい基板は、銅、酸化ケイ素、フォトレジスト、水素化炭素、スズ、SRO、Ru、およびSiタイプの基板(ポリシリコン基板または結晶シリコン基板など)から選択できる。例えば、窒化ケイ素膜は、Si層の上に付着させることができる。その後の処理では、酸化ケイ素層と窒化ケイ素層とを交互に、窒化ケイ素層に付着させて、3D NANDゲートで使用される複数のSiO/SiN層のスタックを形成することができる。さらに、基板は、パターン化された有機または無機膜、あるいはパターン化されていない有機または無機膜で被覆することができる。
開示されているSi含有膜形成組成物に加えて、反応物も反応器中に送り込むことができる。反応物は、酸化剤(O、O、HO、Hのうちの1つなど);酸素含有ラジカル(O・またはOH・、NO、NOなど);カルボン酸(ギ酸、酢酸、プロピオン酸など)、ラジカル種(NO、NO、またはカルボン酸のもの);パラ−ホルムアルデヒド;およびそれらの混合物であってよい。好ましくは、酸化剤は、O、O、HO、H、その酸素含有ラジカル(O・またはOH・など)、およびそれらの混合物からなる群から選択される。好ましくは、ALDプロセスを実施する場合、反応物はプラズマ処理された酸素、オゾン、またはそれらの組合せである。酸化剤を使用する場合、得られるケイ素含有膜は酸素も含むであろう。
あるいはまた、反応物は、N、NH、ヒドラジン類(例えば、N、MeHNNH、MeHNNHMe)、有機アミン(例えば、N(CH)H、N(C)H、N(CHH、N(CH、N(CH、N(C、(SiMeNH)、ピラゾリン、ピリジン、ジアミン(エチレンジアミンなど)、それらのラジカル、またはそれらの混合物の一種といったものなどの窒素含有反応物であってよい。窒素を含有する原料剤を使用すると、得られるケイ素含有膜も窒素を含有するであろう。
還元剤(H、Hラジカル、また他のH含有気体および前駆体(金属水素化物および半金属水素化物など))を使用すると、得られるケイ素含有膜は純粋なSiとなりうる。
反応物を分解してラジカル形態にするために、反応物をプラズマで処理できる。プラズマで処理する際に、Nも利用してよい。例えば、プラズマは、約50W〜約2000W、好ましくは約100W〜約500Wの範囲の電力で生じさせることができる。プラズマは、反応器そのものの中で発生または存在しうる。あるいはまた、プラズマは一般に、反応器から取り出された場所(例えば、離れた場所に置かれたプラズマシステム)にあるであろう。当業者なら、そのようなプラズマ処理に適した方法および装置が分かるであろう。
Si含有膜形成組成物は、ハロシランまたはポリハロジシラン(ヘキサクロロジシラン、ペンタクロロジシラン、またはテトラクロロジシランなど)、および1種または複数種の反応物と一緒に使用して、Si、SiCN、またはSiCOH膜を形成することもできる。PCTの国際公開第2011/123792号パンフレットは、SiN層(Si層でも、SiCOH層でもない)を開示しており、その内容全体をすべて本明細書に援用する。
所望のケイ素含有膜が他の元素(例えば、Ti、Hf、Zr、Ta、Nb、V、Al、Sr、Y、Ba、Ca、As、B、P、Sb、Bi、Sn、Ge ランタニド(Erなど)、またはそれらの組合せがあるが、これらに限定されない)も含む場合、別の前駆体は
、以下のもの(但し、それらに限定されない)から選択される金属含有前駆体を含むことができる:
・ハロゲン化金属(例えば、TiCl、TiI、TaCl、HfCl、ZrCl、AlCl、NbFなど);
・アルキル(Al、Ge、Ga、In、Sb、Sn、Zn)(トリメチルアルミニウム、ジエチル亜鉛、トリエチルガリウムなど);
・水素化物(GeH、アランなど);
・アルキルアミド(第IV族および第V族遷移金属の金属);
・イミド基(第V族および第VI族の金属);
・アルコキシド(第IV族、第V族の金属);
・シクロペンタジエニル(Ru、Co、Fe、第IV族遷移金属、ランタニドなど);
・カルボニル(例:Ru、Co、Fe、Ni);
・アミジナートおよびグアニジネート(例:Co、Mn、Ni、Cu、Scなど);
・ベータ−ジケトナート(例えば、Sc、Cu、ランタニド);
・ベータ−ジケトイミン(Cu、Ni、Coなど);
・ビス−トリアルキルシリルアミド(Ni、Co、Feなど);
・オキソ基(RuO、WOCl、PO(OEt)、AsO(OEt)など);
・上記のファミリーから選択される配位子の組合せを有するヘテロレプチック分子。
Si含有膜形成組成物および1種または複数種の反応物は、反応チャンバーに、同時に(例えば、CVD)、順次に(例えば、ALD)、または他の組合せで送り込むことができる。例えば、Si含有膜形成組成物を1つのパルスで送り、さらなる2種類の金属源を一緒に別のパルスで送ることができる(例えば、変形ALD)。あるいはまた、Si含有膜形成組成物を送り込む前に、反応チャンバーに反応物をもとから含めておくことができる。反応物は、局所にあるプラズマシステムまたは反応チャンバーとは離れたプラズマシステムを通して送って、ラジカルに分解することができる。あるいはまた、Si含有膜形成組成物は、他の金属源がパルス(例えば、パルスCVD)によって送られている間に、反応チャンバーに連続的に送り込むことができる。各例において、パルスの後に、パージ工程または排気工程を行って、送り込まれた成分の過剰量を除去できる。各例において、パルスは、約0.01秒〜約20秒、あるいは約0.3秒〜約3秒、あるいは約0.5秒〜約2秒の時間、持続しうる。別のやり方では、Si含有膜形成組成物および1種または複数種の反応物を、シャワーヘッドから同時に吹き付けることができ、その場合、いくつかのウェーハを保持するサセプタが回転される(例えば、空間的ALD)。
非限定的な例示的ALDタイプのプロセスでは、Si含有膜形成組成物の蒸気相を反応チャンバーに送り込み、そこで、好適な基板と接触させて、ケイ素含有層を基板上に形成する。その後、過剰の組成物は、反応チャンバーのパージおよび/または排気により反応チャンバーから除去することができる。酸素源を反応チャンバーに送り込み、そこで、酸素源は、自己制御的な仕方でケイ素含有層と反応する。過剰の酸素源はいずれも、反応チャンバーのパージおよび/または排気により反応チャンバーから除去する。所望の膜が酸化ケイ素膜である場合、この2段階プロセスにより、所望の膜厚さを得ることができるか、または必要な厚さの膜が得られるまでそれを繰り返すことができる。
あるいはまた、所望の膜がケイ素金属酸化物(silicon metal oxide)膜(すなわち、SiMO[式中、xは4であってよく、Mは、Ti、Hf、Zr、Ta、Nb、V、Al、Sr、Y、Ba、Ca、As、B、P、Sb、Bi、Sn、Ge、ランタニド(Erなど)、またはそれらの組合せである])である場合、上の2段階プロセスの後、金属含有前駆体の別の蒸気を反応チャンバーに送り込むことができる。金属含有前駆体は、付着させるケイ素金属酸化物膜の性質に基づいて選択されるであろう。反応チャンバーに送り込んだ後、金属含有前駆体を基板上の酸化ケイ素層と接触させる。過
剰の金属含有前駆体はいずれも、反応チャンバーのパージおよび/または排気により反応チャンバーから除去する。もう一度、酸素源を反応チャンバーに送り込んで金属含有前駆体と反応させることができる。過剰の酸素源は、反応チャンバーのパージおよび/または排気により反応チャンバーから除去する。所望の厚さの膜が得られたなら、プロセスを終了させることができる。しかし、より厚い膜が望ましいなら、4段階プロセス全体を繰り返すことができる。Si含有膜形成組成物、金属含有前駆体、および酸素源の供給量を変えることにより、所望の組成および厚さの膜を付着させることができる。
さらに、パルスの数を変えることにより、M:Siの所望の化学量論比を有する膜を得ることができる。例えば、一置換TSA前駆体の1パルスと金属含有前駆体の1パルスとによってSiMO膜を得ることができ、各パルスの後に酸素源のパルスを送る。しかし、所望の膜を得るのに必要なパルスの数は、得られる膜の化学量論比と同じではないことがあることを、当業者なら理解するであろう。
非限定的な例示的PE−ALDタイプのプロセスでは、Si含有膜形成組成物の蒸気相を反応チャンバーに送り込み、そこで、反応性の低い酸素源(Oなど)が連続的にチャンバーに向かって流れるようにさせながら、好適な基板と接触させる。その後、過剰の組成物は、反応チャンバーのパージおよび/または排気により反応チャンバーから除去することができる。その後、プラズマを発生させて酸素源を活性化し、吸収された一置換TSA前駆体と自己制御的な仕方で反応させる。その後、プラズマをオフにする。Si含有膜形成組成物の流れはその直後に開始しうる。この2工程のプロセスにより、所望の膜厚さを得ることができるか、または必要な厚さの酸化ケイ素膜が得られるまでこのプロセスを繰り返すことができる。酸化ケイ素膜は、いくらかのC不純物(典型的には、0.005%〜2%)を含みうる。酸素気体源および基板温度は、プラズマがオフのときに酸素源と一置換TSAとの間の反応が起こらないように、当業者が選ぶことができる。ジアルキルアミノ置換TSAはそのようなプロセスに特に適しており、好ましくは(SiHN−SiH−NEt、(SiHN−SiH−NiPrまたは(SiHN−SiH−NHR[式中、Rは、−tBuまたは−SiMeである]である。
別の非限定的な例示的PE−ALDタイプのプロセスでは、Si含有膜形成組成物の蒸気相を反応チャンバーに送り込み、そこで、反応性の低い窒素源(Nなど)が連続的にチャンバーに向かって流れるようにさせながら、好適な基板と接触させる。その後、過剰の組成物は、反応チャンバーのパージおよび/または排気により反応チャンバーから除去することができる。その後、プラズマを発生させて窒素源を活性化し、吸収された一置換TSA前駆体と自己制御的な仕方で反応させる。その後、プラズマをオフにする。Si含有膜形成組成物の流れはその直後に開始しうる。この2工程のプロセスにより、所望の膜厚さを得ることができるか、または必要な厚さの窒化ケイ素膜が得られるまでこのプロセスを繰り返すことができる。窒化ケイ素膜は、いくらかのC不純物(典型的には、0.5%〜10%)を含みうる。窒素気体源および基板温度は、プラズマがオフのときに窒素源と一置換TSAとの間の反応が起こらないように、当業者が選ぶことができる。アミノ置換TSAおよびモノハロTSAは、そのようなプロセスに特に適しており、それらは好ましくは、(SiHN−SiH−Cl、(SiHN−SiH−NEt、(SiHN−SiH−NiPr、(SiHN−SiH−NHR[式中、Rは、−tBuまたは−SiMeである]、または(SiHN−SiH−N(SiHである。
非限定的な例示的LPCVDタイプのプロセスでは、Si含有膜形成組成物の蒸気相(好ましくはモノハロ置換TSA前駆体を含む)は、基板を保持している反応チャンバー(典型的には、0.1〜10トル、より好ましくは0.3〜3トルの圧力、250℃〜800℃、好ましくは350℃〜600℃の温度に維持されている)に送り込み、そこで、反
応物(典型的にはNH)と混合される。このようにして、薄いなじみやすいSiN膜を基板に付着させることができる。一置換TSA前駆体およびN源の流量を調整することにより、膜におけるSi/N比率を調整できることを、当業者なら理解するであろう。
別のやり方では、ヘキサクロロジシラン(HCDS)、ペンタクロロジシラン(PCDS)、モノクロロジシラン(MCDS)、ジクロロジシラン(DCDS)またはモノクロロシラン(MCS)、開示されているSi含有膜形成組成物、およびアンモニア反応物を用いたALD法によって、厚いSiN膜を付着させることができる。反応チャンバーは、5トル、550℃にし、Arが55sccmで連続的に流れる状況に制御できる。およそ10秒間のパルスの開示されているSi含有膜形成組成物を、およそ1sccmの流量で、反応チャンバーに送り込む。およそ55sccmのAr流で、組成物を反応チャンバーからおよそ30秒間パージする。およそ10秒間のパルスのHCDSをおよそ1sccmの流量で、反応チャンバーに送り込む。およそ55sccmのAr流で、HCDSを反応チャンバーからおよそ30秒間パージする。およそ10秒間のパルスのNHをおよそ50sccmの流量で、反応チャンバーに送り込む。およそ55sccmのAr流で、NHを反応チャンバーからおよそ10秒間パージする。付着層が適当な厚さになるまで、これらの6つの工程を繰り返す。空間的ALD装置を使用するときに、パルスの送り込みは同時に行われてもよいことを、当業者なら理解するであろう。PCTの国際公開第2011/123792号パンフレットに記載されているように、前駆体を送り込む順序は変えることができ、SiCN膜中の炭素および窒素の量を調整するために、付着は、NH反応物と一緒かまたはそれなしで行うことができる。流量およびパルスの回数は、異なる蒸着チャンバーの間で変えることができ、装置ごとに必要なパラメータを決めることができることを、当業者ならさらに理解するであろう。
非限定的な例示的プロセスにおいて、開示されているSi含有膜形成組成物(好ましくは、モノハロ置換TSAを含む)の蒸気相を、多孔質ローk膜を有する基板を保持している反応チャンバーに送り込む。多孔性シーリング膜を、米国特許出願公開第2015/0004806号明細書に記載されている条件下で付着させることができる(すなわち、開示されているケイ素含有膜形成組成物、酸化剤(オゾン、過酸化水素、酸素、水、メタノール、エタノール、イソプロパノール、酸化窒素、二酸化窒素(nitrous dioxide)、亜酸化窒素、一酸化炭素、または二酸化炭素など)、およびハロゲンフリー触媒化合物(硝酸、リン酸、硫酸、エチレンジアミン四酢酸、ピクリン酸、または酢酸など)を、反応チャンバーに送り込み、基板を流動性凝縮膜が基板上に形成するような条件下でプロセスガスにさらすことによって付着させることができる)。
さらに別のやり方では、ケイ素含有膜を、米国特許出願公開第2014/0051264号明細書に開示されている流動性PECVD法によって、開示されている組成物およびラジカル窒素含有またはラジカル酸素含有の反応物を用いて付着させることができる。ラジカル窒素含有またはラジカル酸素含有の反応物(それぞれ、NHまたはHOなど)は、遠隔プラズマシステムにおいて発生する。ラジカル反応物および開示されている前駆体の蒸気相を、反応チャンバーに送り込み、そこでそれらは反応し、初期流動性の膜が基板上に付着する。(SiHN−(SiH−X)構造における窒素原子が、付着膜の流動性をさらに高めるのに役立ち、その結果、空隙の少ない膜が得られる(特に、Xがアミノ基である場合、さらに具体的には、Xが−N(SiHのようなジシリルアミノ基である場合)と、本発明者らは考えている。
上に述べたプロセスによって得られるケイ素含有膜は、SiO、窒素をドープした酸化ケイ素、SiN、SiON、SiCN、SiCOH、またはMSiN[式中、Mは、Ti、Hf、Zr、Ta、Nb、V、Al、Sr、Y、Ba、Ca、As、B、P、Sb、Bi、Sn、Geなどの元素であり、x、yは、0〜4であってよく、y+x=4
であるが、当然ながらMの酸化状態によって異なる]を含むことができる。適切な一置換TSA前駆体および反応物をふさわしく判断して選ぶなら、所望の膜組成物を得られることを、当業者なら理解するであろう。
所望の膜厚さを得たなら、熱焼きなまし、加熱炉焼きなまし、高速熱焼きなまし、UVまたは電子ビームによる硬化、および/またはプラズマガス暴露などのさらなる処理を、膜に対して実施することができる。こうしたさらなる処理工程を実施するのに利用されるシステムおよび方法を、当業者なら理解している。例えば、ケイ素含有膜は、不活性雰囲気、水素含有雰囲気、窒素含有雰囲気、酸素含有雰囲気、またはそれらの組合せの下で、およそ0.1秒〜およそ7200秒の間、およそ200℃〜およそ1000℃の範囲の温度にさらすことができる。最も好ましくは、反応性水素含有雰囲気下において、温度は、3600秒未満の間600℃である。得られる膜は、含まれる不純物が少なくなりうるので、性能特性が改善される可能性がある。焼きなまし工程は、付着プロセスを行うのと同じ反応チャンバー内で実施できる。付着プロセスがFCVDである場合、硬化工程は好ましくは酸素硬化工程であり、これは、600℃未満の温度で行われる。酸素含有雰囲気は、HOまたはOを含みうる。あるいはまた、基板は反応チャンバーから取り出すことができ、焼きなまし/瞬間焼きなましプロセスは、別個の装置で行われる。
本発明の実施形態をさらに説明するために、以下に非限定的な例を示す。しかし、実施例は、あらゆるものを示すことを意図しているわけではなく、本明細書に記載する本発明の範囲を限定することを意図するものでもない。
本明細書に記載する実施例は、TSAをベースにした前駆体(すなわち、一置換TSA)である。
実施例1:(SiHN−SiH−NiPrおよび(SiHN−SiH−NEtの合成
オーバーヘッド機械式撹拌器(overhead mechanical stirrer)と窒素バブラーと冷却装置と水素化物スクラバーとを備えた、反応器である1リットルのフィルターフラスコに、300gのジイソプロピルアミン(3.0mol)を充填した。60g(0.4mol)のクロロトリシリルアミン(chlorotrisilylamine)を滴下漏斗に注いだ。滴下漏斗は、反応器に取り付けられていた。滴下漏斗を窒素洗浄して、漏斗の先端に塩が形成されないようにした。冷却装置を18℃に設定し、1.5時間かけて滴下漏斗を介してクロロトリシリルアミンを添加した。添加の間、反応器温度は22〜23℃に設定した。添加を終えた後、0.5時間の間、反応器が撹拌されるようにした。
その後、アミン塩酸塩を濾過した。濾過ケークは、50ml×2の分量のジイソプロピルアミンで洗浄した。ジイソプロピルアミンの大部分を留去すると、72gの粗生成物が残った。この粗生成物を、同様にして行われたより小規模のいくらかの(SiHN−SiH−NiPrの調製からの別の粗生成物と一緒にした。その後、(SiHN−SiH−NiPrを、86℃において−28inHgの真空下で蒸留し、純度が99%を超える79gの生成物を回収した。全収率は56%であった。表1は、蒸留およびTSUデータから概算した(SiHN−SiH−NiPrの蒸気圧データを示す。
(SiHN−SiH−NEtの合成は、同じモル比で同様に行われるが、ジイソプロピルアミンがジエチルアミンに置き換わる。
実施例2:(SiHN−SiH−NHiPrの合成
オーバーヘッド機械式撹拌器と窒素バブラーと冷却装置と水素化物スクラバーとを備えた、反応器である1リットルのフィルターフラスコに、300gのイソプロピルアミン(3.0mol)を充填した。60g(0.4mol)のクロロトリシリルアミンを滴下漏斗に注いだ。滴下漏斗は、反応器に取り付けられていた。滴下漏斗を窒素洗浄して、漏斗の先端に塩が形成されないようにした。冷却装置を18℃に設定し、1.5時間かけて滴下漏斗を介してクロロトリシリルアミンを添加した。添加の間、反応器温度は22〜23℃に設定した。添加を終えた後、0.5時間の間、反応器が撹拌されるようにした。その後、アミン塩酸塩を濾過した。濾過ケークは、50ml×2の分量のイソプロピルアミンで洗浄した。イソプロピルアミンの大部分を留去すると、72gの粗生成物が残った。この粗生成物を、同様にして行われたより小規模のいくらかの(SiHN−SiH−NHiPrの調製からの別の粗生成物と一緒にした。その後、(SiHN−SiH−NHiPrを、86℃において−28inHgの真空下で蒸留し、純度が99%を超える79gの生成物を回収した。
実施例3:(SiHN−SiH−Brおよび(SiHN−SiH−N(SiHの合成
(SiHN−SiH−Brおよび(SiHN−SiH−N(SiHは、SnBrをTSAと反応させて得ることができる:SnBr+HSiN(SiH=BrHSiN(SiH+(SiHN−SiH−N(SiH+SnBr+HBr。次いで、上記の反応の副生成物(HBr)を、出発物質TSAと反応させて除去できる。すなわち、N(SiH+4HBr=NHBr+3BrSiH。合成プロセスは以下の通りである。
PTFEで被覆された磁気撹拌子(magnetic stir egg)を備えた丸底フラスコに、化学量論的に過剰のTSAを充填した。必要であれば、TSAを加える前に、溶媒(例えば、ドデカン)およびHBr掃去剤(例えば、トリブチルアミン)をフラスコに加えてもよい。フラスコには、コールドフィンガーコンデンサーまたは蒸留ヘッドが取り付けられていた。液体滴下漏斗をフラスコに取り付け、溶媒(アニソールまたはドデカンなど)中にSnBrを溶かした溶液を充填する。その後、フラスコを冷却することができる。そして、SnBr溶液をフラスコに滴加した。フラスコのヘッドスペースは、窒素で大気圧の状態に保つか、または形成されるHBrを除去するために減圧下に保つことができる。
加え終えた後、トラップを介して減圧して、揮発性生成物を回収できる。その後、回収した揮発性生成物をGCMSで分析できる。TSAをSnBrで処理すると、(SiHN(SiHBr)および(SiHN(SiHN(SiH)が形成されることが分かった。シラン、ブロモシラン、ジブロモトリシリルアミン(dibromotrisilylamine)といった副産物も確認された。溶媒および(場合によっては)未反応のSnBrも検出された。
得られた(SiHN−SiH−N(SiHは、室温(約22℃)では液体であり、融点がおよそ−106℃、沸点がおよそ131℃であった。蒸気圧を計算すると、27℃で約8hPaであった。
比較例1
DNF Co.,Ltd.に付与された国際公開第2015/190749号パンフレットでは、実施例1は、n−ペンタン中のクロロジメチルシラン[MeHSiCl]をNHと反応させて、テトラメチルジシラザン[(MeSiH)NH]を形成することにより、ジメチルアミノジメチルシリルビスジメチルシリルアミン[(MeSiH)NSiMe(NMe)]を合成することを開示している。その後、テトラメチルジシラザンをn−ヘキサン溶媒中のn−BuLiと反応させて、(MeSiH)N−Liを形成する。クロロジメチルジメチルアミノシラン[ClSiMe(NMe)]を混合物に加えると、(MeSiH)NSiMe(NMe)生成物が形成される。
実施例1に記載されている合成法は、(SiHN−部分を含んでいる本明細書に開示されている分子を生成させるのに適していないであろうことを、当業者なら理解するであろう。DNFの提案合成法では、アミン(SiHNHの合成および分離の後に、液相中において強塩基(tBuLi)で処理しなければならないであろう。(SiHNHアミンは気相中で製造され、取り扱えるが、液相では不安定であり、0℃であっても分解することが文献でよく知られている。例えば、“Silicon−nitrogen compounds.VI.Preparation and properties of disilazane”;Aylett,Bernard J.;Hakim,M.J.;Journal of the Chemical Society[Section]A:Inorganic,Physical,Theoretical,1969,4,639−642を参照されたい。さらに詳細には、弱塩基(液体NH.Idなど)の存在下で、(SiHNHは急速に不均化を起こす。それゆえに、ずっと強い塩基(BuLiなど)では、ずっと速い不均化が生じるであろうことを当業者なら理解するであろう。結果として、当業者なら、必要とされる(SiHNLi中間体塩(合成の次の工程に必要なもの)の合成が成功することなど期待しないであろう。
国際公開第2015/190749号パンフレットの実施例1に記載された式(SiHRNHを有するアミンの製造方法は、クロロシランSiHRClとNHとが化学量論量的に反応することを示している。SiHX(X=Cl、Br、I)の場合、Stockらは、この反応は二置換(bis−substituted)生成物では停止せず、三置換されたアミン(SiHNを形成したので、この方法では、気体状の(SiHNHを分離できないことを報告している。例えば、Stock,A.and Somieski,C.;Chem.Ber.,1921,54B,740を参照されたい。前述の論議すべて、および各ケイ素原子にある複数の水素化物によってリチウムシリルアミドが形成されることを述べている文献がまったくないことは、国際公開第2015/190749号パンフレットに記載されている合成経路では(SiHN−または(SiHR)Nを含有するアミノシランを調製することはできないことを証明している。
それに対して、実施例1〜3に示すように、本出願者らは、(SiHN−SiHClを出発物質として用いることにより、開示されている(SiH窒素含有化合物を首尾よく合成した。
実施例4
以下のPEALD試験を、4インチのウェーハを備えたPicosun R200 PEALD 8インチ付着器具を用いて実施した。一置換TSA前駆体の蒸気を、図3に示すようにPicosun器具に送った。
Si含有膜形成組成物10として(SiHN−SiH−NiPr(これは、70℃に加熱したアンプル内に入れた)を用い、酸化反応物としてOプラズマを用いて、ALD試験を実施した。反応器50の圧力を約9hPa(1hPa=100Pa=1mbar)に固定して、典型的なALD条件を使用した。3方向空気圧弁51を用いて、前駆体蒸気の2つの0.1秒パルスを、アンプル内での過剰圧力によって蒸着チャンバーに送り込んだ。0.5秒の休止を入れて、0.1秒パルスと0.1秒パルスの間を分けた。4秒間のNパージによって過剰の前駆体はいずれも除去した。16秒間のプラズマOパルスの後に、3秒間のNパージを行った。300Åの最小厚さが得られるまで、このプロセスを繰り返した。基板を70℃、150℃、および300℃に加熱した状態で、付着を行った。所与のサイクル内の前駆体パルスの数を増やすことにより、図4に示されているとおり、実際の自己制御ALD成長挙動を確認した。
Si含有膜形成組成物10として先行技術のSiH(NEt前駆体(60℃に加熱されたアンプル中に置いた)を用い、酸化反応物としてOプラズマを用いたALD試験も実施した。本出願人らは、いくつかの工業プロセスにおいてSiOを付着させるのにSiH(NEtが現在使用されていると考えている。反応器の圧力を約9hPa(1hPa=100Pa=1mbar)に固定して、典型的なALD条件を使用した。3方向空気圧弁を用いて、前駆体蒸気の2つの0.1秒パルスを、アンプル内での過剰圧力によって蒸着チャンバーに送り込んだ。0.5秒の休止を入れて、0.1秒パルスと0.1秒パルスの間を分けた。4秒間のNパージによって過剰の前駆体を除去した。16秒間のプラズマOパルスの後に、3秒間のNパージを行った。最小厚さである300Åに達するまで、このプロセスを繰り返した。付着は、70℃、150℃、200℃、および300℃で実施した。図5に示すように、1サイクル当たりの成長は、温度が上昇するにつれて減少した。
見て分かるように、(SiHN−SiH−NiPrで作られる膜の成長速度は、70℃および300℃の両方で、SiH(NEtの場合よりずっと優れている。70℃では、(SiHN−SiH−NiPrは、SiH(NEtよりもウェットエッチング速度および屈折率がずっと優れている。これらはどちらも、より優れた厚みのある酸化膜が形成されたことを示す。
実施例5
70℃に加熱されたアンプル中に置かれた(SiHN−SiH−NiPr、酸化反応物であるOプラズマ、および追加反応物であるNHプラズマを用いて、窒素をドープした酸化ケイ素を付着させるALD試験を実施した。反応器の圧力を約9hPaに固定して、典型的なALD条件を使用した。3方向空気圧弁を用いて、前駆体蒸気の2つの0.1秒パルスを、アンプル内の過剰圧力によって蒸着チャンバーに送り込んだ。0.5秒の休止を入れて、0.1秒パルスと0.1秒パルスの間を分けた。4秒間のNパージによって過剰の前駆体を除去した。16秒間のプラズマOパルスの後に、3秒間のNパージを行った。3方向空気圧弁を用いて、前駆体蒸気の2つの0.1秒パルスを、アンプル内の過剰圧力によって蒸着チャンバーに送り込んだ。0.5秒の休止を入れて、
0.1秒パルスと0.1秒パルスの間を分けた。4秒間のNパージによって過剰の前駆体を除去した。11秒間のプラズマNHパルスの後に、3秒間のパージを行った。厚さが少なくとも300Åに達するまで、プロセス全体(前駆体−プラズマO−前駆体−プラズマNH)を繰り返した。付着は150℃で行った。
得られたSiO膜は、ウェットエッチング速度が3.2Å/秒であり、N濃度が約1%であった。そのように遅いエッチング速度は、ALD付着酸化ケイ素膜をマスクとして使用する場合、移動層においてエッジ粗さを小さくすることができるようにするために、スペ−サーをベースにした二重パターン化にとって有利であることが分かっている。得られる膜中の酸素と窒素の含有量は、酸素含有反応物およびN含有反応物のパルスの数、順序および/または時間を調整することにより調整できることを当業者なら理解するであろう。SiO膜においてN濃度がおよそ0.5%〜およそ5%であると、スペ−サーで画定されるパターン化用途にとって有利であると本発明者は考えている。
実施例6
26℃に加熱されたアンプル中に置かれた(SiHN−SiH−N(SiH、および酸化反応物としてのOプラズマを用いて、ALD試験を行った。反応器の圧力を約9hPaに固定して、典型的なALD条件を使用した。3方向空気圧弁を用いて、前駆体蒸気の3つの0.1秒パルスを、アンプル内の過剰圧力によって蒸着チャンバーに送り込んだ。0.5秒の休止を入れて、0.1秒パルスと0.1秒パルスの間を分けた。4秒間のNパージによって過剰の前駆体を除去した。16秒間のプラズマOパルスの後に、3秒間のNパージを行った。厚さが少なくとも300Åに達するまで、プロセス全体(前駆体−プラズマO)を繰り返した。図6に示すように、付着温度を150℃から300℃に上昇させるにつれて、1サイクル当たりの成長は増大した。図6は、5つの0.1秒パルスの結果と3つの0.1秒パルスの結果とを比較した、1サイクル当たりの成長も示している。どちらもおよそ0.6Å/サイクルであったが、これは実際のALD飽和を示す。というのは、5つのパルスでより多くの量の前駆体を送り込んでも、3つのパルスで生成される膜より成長速度が速くなっていないからである。
成長速度は、150℃においておよそ0.58Å/サイクルであった。結果として、屈折率が1.45である膜が得られた。比較のため、純粋なTSAを用いて同様の条件でALDによってSiO膜を成長させることを試みたが、いかなる膜も生じなかった。したがって、表面ヒドロキシル基との反応性を向上させる化学官能基化に利点があることが証明された。
本発明の実施形態を示し説明してきたが、当業者であれば、本発明の要旨および教示の範囲内でそれらの変更を行うであろう。本明細書に記載した実施形態は、単なる例示であって、それらに限定されない。組成物および方法は、本発明の範囲内で多数の変形形態および変更形態が可能である。したがって、保護範囲は、本明細書に記載した実施形態に限定されず、続く請求項によってのみ限定される。その範囲には、請求項の内容と同等のものすべてが含まれるものとする。

Claims (9)

  1. Si含有膜形成組成物であって、次式:
    (SiHN−SiH−X
    [式中、XはCl、または、NR[RはHであり、RはtBuまたはSiMeである。]である。]を有する一置換TSA前駆体を含む、Si含有膜形成組成物。
  2. 前記一置換TSA前駆体が(SiHN−SiH−Clである、請求項1に記載のSi含有膜形成組成物。
  3. 前記一置換TSA前駆体が(SiHN−SiH−NHtBuである、請求項1に記載のSi含有膜形成組成物。
  4. 前記一置換TSA前駆体が(SiHN−SiH−NHSiMeである、請求項1に記載のSi含有膜形成組成物。
  5. Si含有膜を形成するための方法であって、
    基板を含む反応器に、請求項1〜4のいずれか一項に記載のSi含有膜形成組成物を含む蒸気を送り込む工程と、
    蒸着プロセスを用いて、前記一置換TSA前駆体の少なくとも一部を前記基板上に付着させて、前記基板上に前記Si含有膜を形成する工程と、
    を含む方法。
  6. 前記反応器に、反応物、および/または、第2前駆体を含む第2蒸気を送り込む工程をさらに含む、請求項5に記載の方法。
  7. 前記基板が、ケイ素ウェーハ、ガラス基板、およびプラスチック基板からなる群から選択される、請求項5に記載の方法。
  8. 前記基板を、有機質または無機質のパターン化または非パターン化膜で被覆する、請求項7に記載の方法。
  9. 前記反応器に第2反応物を送り込む工程であって、前記第2反応物が前記反応物とは異なり、前記第2反応物が、O、O、HO、H、NO、NO、NO、アルコール、ジオール、カルボン酸、ケトン、エーテル、O原子、Oラジカル、Oイオン、アンモニア、N、N原子、Nラジカル、Nイオン、飽和または不飽和ヒドラジン、アミン、ジアミン、エタノールアミン、H、H原子、Hラジカル、Hイオン、およびこれらの組合せからなる群から選択される、工程をさらに含む、請求項6に記載の方法。
JP2018218183A 2015-03-30 2018-11-21 Si含有膜形成組成物およびその使用方法 Active JP6827457B2 (ja)

Priority Applications (1)

Application Number Priority Date Filing Date Title
JP2021006237A JP7320544B2 (ja) 2015-03-30 2021-01-19 Si含有膜形成組成物およびその使用方法

Applications Claiming Priority (4)

Application Number Priority Date Filing Date Title
US201562140248P 2015-03-30 2015-03-30
US62/140,248 2015-03-30
US14/738,039 2015-06-12
US14/738,039 US9777025B2 (en) 2015-03-30 2015-06-12 Si-containing film forming precursors and methods of using the same

Related Parent Applications (1)

Application Number Title Priority Date Filing Date
JP2017547476A Division JP6441498B2 (ja) 2015-03-30 2016-03-30 Si含有膜形成組成物およびその使用方法

Related Child Applications (1)

Application Number Title Priority Date Filing Date
JP2021006237A Division JP7320544B2 (ja) 2015-03-30 2021-01-19 Si含有膜形成組成物およびその使用方法

Publications (2)

Publication Number Publication Date
JP2019060024A JP2019060024A (ja) 2019-04-18
JP6827457B2 true JP6827457B2 (ja) 2021-02-10

Family

ID=54929776

Family Applications (5)

Application Number Title Priority Date Filing Date
JP2017547476A Active JP6441498B2 (ja) 2015-03-30 2016-03-30 Si含有膜形成組成物およびその使用方法
JP2017560598A Active JP6494137B2 (ja) 2015-03-30 2016-06-10 ケイ素と窒素とを含有する薄膜を形成するための蒸着プロセス
JP2017560493A Active JP6537633B2 (ja) 2015-03-30 2016-06-10 ケイ素と酸素とを含有する薄膜を形成するための蒸着プロセス
JP2018218183A Active JP6827457B2 (ja) 2015-03-30 2018-11-21 Si含有膜形成組成物およびその使用方法
JP2021006237A Active JP7320544B2 (ja) 2015-03-30 2021-01-19 Si含有膜形成組成物およびその使用方法

Family Applications Before (3)

Application Number Title Priority Date Filing Date
JP2017547476A Active JP6441498B2 (ja) 2015-03-30 2016-03-30 Si含有膜形成組成物およびその使用方法
JP2017560598A Active JP6494137B2 (ja) 2015-03-30 2016-06-10 ケイ素と窒素とを含有する薄膜を形成するための蒸着プロセス
JP2017560493A Active JP6537633B2 (ja) 2015-03-30 2016-06-10 ケイ素と酸素とを含有する薄膜を形成するための蒸着プロセス

Family Applications After (1)

Application Number Title Priority Date Filing Date
JP2021006237A Active JP7320544B2 (ja) 2015-03-30 2021-01-19 Si含有膜形成組成物およびその使用方法

Country Status (8)

Country Link
US (4) US9777025B2 (ja)
EP (1) EP3277698B1 (ja)
JP (5) JP6441498B2 (ja)
KR (4) KR102113412B1 (ja)
CN (4) CN107429389B (ja)
SG (2) SG11201707756WA (ja)
TW (3) TWI757922B (ja)
WO (3) WO2016160990A1 (ja)

Families Citing this family (395)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US10378106B2 (en) 2008-11-14 2019-08-13 Asm Ip Holding B.V. Method of forming insulation film by modified PEALD
US9394608B2 (en) 2009-04-06 2016-07-19 Asm America, Inc. Semiconductor processing reactor and components thereof
US8802201B2 (en) 2009-08-14 2014-08-12 Asm America, Inc. Systems and methods for thin-film deposition of metal oxides using excited nitrogen-oxygen species
TWI529808B (zh) 2010-06-10 2016-04-11 Asm國際股份有限公司 使膜選擇性沈積於基板上的方法
US9312155B2 (en) 2011-06-06 2016-04-12 Asm Japan K.K. High-throughput semiconductor-processing apparatus equipped with multiple dual-chamber modules
US10364496B2 (en) 2011-06-27 2019-07-30 Asm Ip Holding B.V. Dual section module having shared and unshared mass flow controllers
US10854498B2 (en) 2011-07-15 2020-12-01 Asm Ip Holding B.V. Wafer-supporting device and method for producing same
US20130023129A1 (en) 2011-07-20 2013-01-24 Asm America, Inc. Pressure transmitter for a semiconductor processing environment
US9017481B1 (en) 2011-10-28 2015-04-28 Asm America, Inc. Process feed management for semiconductor substrate processing
US9112003B2 (en) 2011-12-09 2015-08-18 Asm International N.V. Selective formation of metallic films on metallic surfaces
US10325773B2 (en) 2012-06-12 2019-06-18 Novellus Systems, Inc. Conformal deposition of silicon carbide films
US9234276B2 (en) 2013-05-31 2016-01-12 Novellus Systems, Inc. Method to obtain SiC class of films of desired composition and film properties
US9558931B2 (en) 2012-07-27 2017-01-31 Asm Ip Holding B.V. System and method for gas-phase sulfur passivation of a semiconductor surface
US9659799B2 (en) 2012-08-28 2017-05-23 Asm Ip Holding B.V. Systems and methods for dynamic semiconductor process scheduling
US9021985B2 (en) 2012-09-12 2015-05-05 Asm Ip Holdings B.V. Process gas management for an inductively-coupled plasma deposition reactor
US10714315B2 (en) 2012-10-12 2020-07-14 Asm Ip Holdings B.V. Semiconductor reaction chamber showerhead
US20160376700A1 (en) 2013-02-01 2016-12-29 Asm Ip Holding B.V. System for treatment of deposition reactor
US9484191B2 (en) 2013-03-08 2016-11-01 Asm Ip Holding B.V. Pulsed remote plasma method and system
US9589770B2 (en) 2013-03-08 2017-03-07 Asm Ip Holding B.V. Method and systems for in-situ formation of intermediate reactive species
US8993054B2 (en) 2013-07-12 2015-03-31 Asm Ip Holding B.V. Method and system to reduce outgassing in a reaction chamber
CN105849221B (zh) 2013-09-27 2019-06-18 乔治洛德方法研究和开发液化空气有限公司 胺取代的三甲硅烷基胺和三-二甲硅烷基胺化合物
US9240412B2 (en) 2013-09-27 2016-01-19 Asm Ip Holding B.V. Semiconductor structure and device and methods of forming same using selective epitaxial process
US9605343B2 (en) 2013-11-13 2017-03-28 Asm Ip Holding B.V. Method for forming conformal carbon films, structures conformal carbon film, and system of forming same
US11549181B2 (en) 2013-11-22 2023-01-10 Applied Materials, Inc. Methods for atomic layer deposition of SiCO(N) using halogenated silylamides
US10804094B2 (en) 2016-05-06 2020-10-13 Applied Materials, Inc. Methods of depositing SiCON with C, O and N compositional control
TWI686499B (zh) 2014-02-04 2020-03-01 荷蘭商Asm Ip控股公司 金屬、金屬氧化物與介電質的選擇性沉積
US10683571B2 (en) 2014-02-25 2020-06-16 Asm Ip Holding B.V. Gas supply manifold and method of supplying gases to chamber using same
US10167557B2 (en) 2014-03-18 2019-01-01 Asm Ip Holding B.V. Gas distribution system, reactor including the system, and methods of using the same
US11015245B2 (en) 2014-03-19 2021-05-25 Asm Ip Holding B.V. Gas-phase reactor and system having exhaust plenum and components thereof
US10047435B2 (en) 2014-04-16 2018-08-14 Asm Ip Holding B.V. Dual selective deposition
US10858737B2 (en) 2014-07-28 2020-12-08 Asm Ip Holding B.V. Showerhead assembly and components thereof
US9890456B2 (en) 2014-08-21 2018-02-13 Asm Ip Holding B.V. Method and system for in situ formation of gas-phase compounds
US9657845B2 (en) 2014-10-07 2017-05-23 Asm Ip Holding B.V. Variable conductance gas distribution apparatus and method
US10941490B2 (en) 2014-10-07 2021-03-09 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
CN113025992B (zh) * 2014-10-24 2024-02-02 弗萨姆材料美国有限责任公司 组合物和使用所述组合物沉积含硅膜的方法
KR102300403B1 (ko) 2014-11-19 2021-09-09 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
KR102263121B1 (ko) 2014-12-22 2021-06-09 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 및 그 제조 방법
US9490145B2 (en) 2015-02-23 2016-11-08 Asm Ip Holding B.V. Removal of surface passivation
US10529542B2 (en) 2015-03-11 2020-01-07 Asm Ip Holdings B.V. Cross-flow reactor and method
US10276355B2 (en) 2015-03-12 2019-04-30 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
US11124876B2 (en) 2015-03-30 2021-09-21 L'Air Liquide, Société Anonyme pour l'Etude et l'Exploitation des Procédés Georges Claude Si-containing film forming precursors and methods of using the same
US9777025B2 (en) * 2015-03-30 2017-10-03 L'Air Liquide, Société pour l'Etude et l'Exploitation des Procédés Georges Claude Si-containing film forming precursors and methods of using the same
TWI617690B (zh) * 2015-06-16 2018-03-11 慧盛材料美國責任有限公司 鹵代矽烷化合物及使用其的用於沉積含矽膜之組合物和方法
US10458018B2 (en) 2015-06-26 2019-10-29 Asm Ip Holding B.V. Structures including metal carbide material, devices including the structures, and methods of forming same
US10600673B2 (en) 2015-07-07 2020-03-24 Asm Ip Holding B.V. Magnetic susceptor to baseplate seal
US10043661B2 (en) 2015-07-13 2018-08-07 Asm Ip Holding B.V. Method for protecting layer by forming hydrocarbon-based extremely thin film
US10083836B2 (en) 2015-07-24 2018-09-25 Asm Ip Holding B.V. Formation of boron-doped titanium metal films with high work function
US10428421B2 (en) 2015-08-03 2019-10-01 Asm Ip Holding B.V. Selective deposition on metal or metallic surfaces relative to dielectric surfaces
US10087525B2 (en) 2015-08-04 2018-10-02 Asm Ip Holding B.V. Variable gap hard stop design
US10566185B2 (en) 2015-08-05 2020-02-18 Asm Ip Holding B.V. Selective deposition of aluminum and nitrogen containing material
US10121699B2 (en) 2015-08-05 2018-11-06 Asm Ip Holding B.V. Selective deposition of aluminum and nitrogen containing material
US9647114B2 (en) 2015-08-14 2017-05-09 Asm Ip Holding B.V. Methods of forming highly p-type doped germanium tin films and structures and devices including the films
US20170051405A1 (en) * 2015-08-18 2017-02-23 Asm Ip Holding B.V. Method for forming sin or sicn film in trenches by peald
US9960072B2 (en) 2015-09-29 2018-05-01 Asm Ip Holding B.V. Variable adjustment for precise matching of multiple chamber cavity housings
US10814349B2 (en) 2015-10-09 2020-10-27 Asm Ip Holding B.V. Vapor phase deposition of organic films
US10343186B2 (en) 2015-10-09 2019-07-09 Asm Ip Holding B.V. Vapor phase deposition of organic films
US10695794B2 (en) 2015-10-09 2020-06-30 Asm Ip Holding B.V. Vapor phase deposition of organic films
US10211308B2 (en) 2015-10-21 2019-02-19 Asm Ip Holding B.V. NbMC layers
US10322384B2 (en) 2015-11-09 2019-06-18 Asm Ip Holding B.V. Counter flow mixer for process chamber
US9786491B2 (en) 2015-11-12 2017-10-10 Asm Ip Holding B.V. Formation of SiOCN thin films
US9786492B2 (en) 2015-11-12 2017-10-10 Asm Ip Holding B.V. Formation of SiOCN thin films
TWI722077B (zh) * 2015-12-18 2021-03-21 中國大陸商江蘇南大光電材料股份有限公司 製造有機胺基矽烷之方法;自該有機胺基矽烷製造矽烷胺之方法
US9627221B1 (en) 2015-12-28 2017-04-18 Asm Ip Holding B.V. Continuous process incorporating atomic layer etching
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
US10529554B2 (en) 2016-02-19 2020-01-07 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US10468251B2 (en) 2016-02-19 2019-11-05 Asm Ip Holding B.V. Method for forming spacers using silicon nitride film for spacer-defined multiple patterning
WO2017147150A1 (en) * 2016-02-26 2017-08-31 Versum Materials Us, Llc Compositions and methods using same for deposition of silicon-containing film
US9981286B2 (en) 2016-03-08 2018-05-29 Asm Ip Holding B.V. Selective formation of metal silicides
US10501866B2 (en) 2016-03-09 2019-12-10 Asm Ip Holding B.V. Gas distribution apparatus for improved film uniformity in an epitaxial system
JP6478330B2 (ja) * 2016-03-18 2019-03-06 株式会社Kokusai Electric 半導体装置の製造方法、基板処理装置およびプログラム
US10343920B2 (en) 2016-03-18 2019-07-09 Asm Ip Holding B.V. Aligned carbon nanotubes
US9892913B2 (en) 2016-03-24 2018-02-13 Asm Ip Holding B.V. Radial and thickness control via biased multi-port injection settings
US20170298503A1 (en) * 2016-04-18 2017-10-19 Asm Ip Holding B.V. Combined anneal and selective deposition systems
KR102182550B1 (ko) 2016-04-18 2020-11-25 에이에스엠 아이피 홀딩 비.브이. 유도된 자기-조립층을 기판 상에 형성하는 방법
US10204782B2 (en) 2016-04-18 2019-02-12 Imec Vzw Combined anneal and selective deposition process
US10087522B2 (en) 2016-04-21 2018-10-02 Asm Ip Holding B.V. Deposition of metal borides
US10190213B2 (en) 2016-04-21 2019-01-29 Asm Ip Holding B.V. Deposition of metal borides
US10865475B2 (en) 2016-04-21 2020-12-15 Asm Ip Holding B.V. Deposition of metal borides and silicides
US10367080B2 (en) 2016-05-02 2019-07-30 Asm Ip Holding B.V. Method of forming a germanium oxynitride film
US10032628B2 (en) 2016-05-02 2018-07-24 Asm Ip Holding B.V. Source/drain performance through conformal solid state doping
US11081342B2 (en) 2016-05-05 2021-08-03 Asm Ip Holding B.V. Selective deposition using hydrophobic precursors
KR102378021B1 (ko) 2016-05-06 2022-03-23 에이에스엠 아이피 홀딩 비.브이. SiOC 박막의 형성
KR102592471B1 (ko) 2016-05-17 2023-10-20 에이에스엠 아이피 홀딩 비.브이. 금속 배선 형성 방법 및 이를 이용한 반도체 장치의 제조 방법
US10384944B2 (en) * 2016-05-19 2019-08-20 L'Air Liquide, Société Anonyme pour l'Etude et l'Exploitation des Procédés Georges Claude Preparation of Si—H containing iodosilanes via halide exchange reaction
US11453943B2 (en) 2016-05-25 2022-09-27 Asm Ip Holding B.V. Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor
US10453701B2 (en) 2016-06-01 2019-10-22 Asm Ip Holding B.V. Deposition of organic films
US10373820B2 (en) 2016-06-01 2019-08-06 Asm Ip Holding B.V. Deposition of organic films
US9803277B1 (en) 2016-06-08 2017-10-31 Asm Ip Holding B.V. Reaction chamber passivation and selective deposition of metallic films
US10014212B2 (en) 2016-06-08 2018-07-03 Asm Ip Holding B.V. Selective deposition of metallic films
US10388509B2 (en) 2016-06-28 2019-08-20 Asm Ip Holding B.V. Formation of epitaxial layers via dislocation filtering
US9859151B1 (en) 2016-07-08 2018-01-02 Asm Ip Holding B.V. Selective film deposition method to form air gaps
US10612137B2 (en) 2016-07-08 2020-04-07 Asm Ip Holdings B.V. Organic reactants for atomic layer deposition
US9793135B1 (en) 2016-07-14 2017-10-17 ASM IP Holding B.V Method of cyclic dry etching using etchant film
US10714385B2 (en) 2016-07-19 2020-07-14 Asm Ip Holding B.V. Selective deposition of tungsten
US10381226B2 (en) 2016-07-27 2019-08-13 Asm Ip Holding B.V. Method of processing substrate
KR102532607B1 (ko) 2016-07-28 2023-05-15 에이에스엠 아이피 홀딩 비.브이. 기판 가공 장치 및 그 동작 방법
US9887082B1 (en) 2016-07-28 2018-02-06 Asm Ip Holding B.V. Method and apparatus for filling a gap
US9812320B1 (en) 2016-07-28 2017-11-07 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10395919B2 (en) 2016-07-28 2019-08-27 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10177025B2 (en) 2016-07-28 2019-01-08 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10090316B2 (en) 2016-09-01 2018-10-02 Asm Ip Holding B.V. 3D stacked multilayer semiconductor memory using doped select transistor channel
US10410943B2 (en) 2016-10-13 2019-09-10 Asm Ip Holding B.V. Method for passivating a surface of a semiconductor and related systems
US10464953B2 (en) 2016-10-14 2019-11-05 Versum Materials Us, Llc Carbon bridged aminosilane compounds for high growth rate silicon-containing films
US10643826B2 (en) 2016-10-26 2020-05-05 Asm Ip Holdings B.V. Methods for thermally calibrating reaction chambers
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
US10229833B2 (en) 2016-11-01 2019-03-12 Asm Ip Holding B.V. Methods for forming a transition metal nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10714350B2 (en) 2016-11-01 2020-07-14 ASM IP Holdings, B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10435790B2 (en) 2016-11-01 2019-10-08 Asm Ip Holding B.V. Method of subatmospheric plasma-enhanced ALD using capacitively coupled electrodes with narrow gap
US11735413B2 (en) * 2016-11-01 2023-08-22 Versum Materials Us, Llc Precursors and flowable CVD methods for making low-k films to fill surface features
US10643904B2 (en) 2016-11-01 2020-05-05 Asm Ip Holdings B.V. Methods for forming a semiconductor device and related semiconductor device structures
US10134757B2 (en) 2016-11-07 2018-11-20 Asm Ip Holding B.V. Method of processing a substrate and a device manufactured by using the method
KR102546317B1 (ko) 2016-11-15 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기체 공급 유닛 및 이를 포함하는 기판 처리 장치
US10340135B2 (en) 2016-11-28 2019-07-02 Asm Ip Holding B.V. Method of topologically restricted plasma-enhanced cyclic deposition of silicon or metal nitride
US11430656B2 (en) 2016-11-29 2022-08-30 Asm Ip Holding B.V. Deposition of oxide thin films
US10186420B2 (en) 2016-11-29 2019-01-22 Asm Ip Holding B.V. Formation of silicon-containing thin films
US10647578B2 (en) 2016-12-11 2020-05-12 L'Air Liquide, Société Anonyme pour l'Etude et l'Exploitation des Procédés Georges Claude N—H free and SI-rich per-hydridopolysilzane compositions, their synthesis, and applications
US10192734B2 (en) * 2016-12-11 2019-01-29 L'Air Liquide, Société Anonyme pour l'Etude et l'Exploration des Procédés Georges Claude Short inorganic trisilylamine-based polysilazanes for thin film deposition
KR20180068582A (ko) 2016-12-14 2018-06-22 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US9916980B1 (en) 2016-12-15 2018-03-13 Asm Ip Holding B.V. Method of forming a structure on a substrate
US11447861B2 (en) 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
US11581186B2 (en) 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
KR20180070971A (ko) 2016-12-19 2018-06-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10269558B2 (en) 2016-12-22 2019-04-23 Asm Ip Holding B.V. Method of forming a structure on a substrate
US10867788B2 (en) 2016-12-28 2020-12-15 Asm Ip Holding B.V. Method of forming a structure on a substrate
US11390950B2 (en) 2017-01-10 2022-07-19 Asm Ip Holding B.V. Reactor system and method to reduce residue buildup during a film deposition process
TWI700750B (zh) * 2017-01-24 2020-08-01 美商應用材料股份有限公司 用於介電薄膜的選擇性沉積之方法及設備
US10049882B1 (en) * 2017-01-25 2018-08-14 Samsung Electronics Co., Ltd. Method for fabricating semiconductor device including forming a dielectric layer on a structure having a height difference using ALD
JP6857503B2 (ja) * 2017-02-01 2021-04-14 株式会社Kokusai Electric 半導体装置の製造方法、基板処理装置およびプログラム
US11591692B2 (en) 2017-02-08 2023-02-28 Versum Materials Us, Llc Organoamino-polysiloxanes for deposition of silicon-containing films
US10822458B2 (en) 2017-02-08 2020-11-03 Versum Materials Us, Llc Organoamino-functionalized linear and cyclic oligosiloxanes for deposition of silicon-containing films
US10655221B2 (en) 2017-02-09 2020-05-19 Asm Ip Holding B.V. Method for depositing oxide film by thermal ALD and PEALD
US11094535B2 (en) 2017-02-14 2021-08-17 Asm Ip Holding B.V. Selective passivation and selective deposition
US10468261B2 (en) 2017-02-15 2019-11-05 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
US10529563B2 (en) 2017-03-29 2020-01-07 Asm Ip Holdings B.V. Method for forming doped metal oxide films on a substrate by cyclical deposition and related semiconductor device structures
US10283353B2 (en) 2017-03-29 2019-05-07 Asm Ip Holding B.V. Method of reforming insulating film deposited on substrate with recess pattern
US10103040B1 (en) 2017-03-31 2018-10-16 Asm Ip Holding B.V. Apparatus and method for manufacturing a semiconductor device
USD830981S1 (en) 2017-04-07 2018-10-16 Asm Ip Holding B.V. Susceptor for semiconductor substrate processing apparatus
US10847529B2 (en) 2017-04-13 2020-11-24 Asm Ip Holding B.V. Substrate processing method and device manufactured by the same
KR102548405B1 (ko) * 2017-04-20 2023-06-28 (주)디엔에프 다이실릴아민 화합물을 포함하는 실리콘 함유 박막증착용 조성물 및 이를 이용하는 실리콘 함유 박막의 제조방법
KR102457289B1 (ko) 2017-04-25 2022-10-21 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10504901B2 (en) 2017-04-26 2019-12-10 Asm Ip Holding B.V. Substrate processing method and device manufactured using the same
US11501965B2 (en) 2017-05-05 2022-11-15 Asm Ip Holding B.V. Plasma enhanced deposition processes for controlled formation of metal oxide thin films
KR20240010760A (ko) 2017-05-05 2024-01-24 에이에스엠 아이피 홀딩 비.브이. 산소 함유 박막의 형성을 제어하기 위한 플라즈마 강화 증착 공정
US10892156B2 (en) 2017-05-08 2021-01-12 Asm Ip Holding B.V. Methods for forming a silicon nitride film on a substrate and related semiconductor device structures
US10770286B2 (en) 2017-05-08 2020-09-08 Asm Ip Holdings B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
US10446393B2 (en) 2017-05-08 2019-10-15 Asm Ip Holding B.V. Methods for forming silicon-containing epitaxial layers and related semiconductor device structures
KR20200007823A (ko) 2017-05-16 2020-01-22 에이에스엠 아이피 홀딩 비.브이. 유전체 상에 옥사이드의 선택적 peald
US11177127B2 (en) 2017-05-24 2021-11-16 Versum Materials Us, Llc Functionalized cyclosilazanes as precursors for high growth rate silicon-containing films
US10504742B2 (en) 2017-05-31 2019-12-10 Asm Ip Holding B.V. Method of atomic layer etching using hydrogen plasma
US10886123B2 (en) 2017-06-02 2021-01-05 Asm Ip Holding B.V. Methods for forming low temperature semiconductor layers and related semiconductor device structures
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
US10685834B2 (en) 2017-07-05 2020-06-16 Asm Ip Holdings B.V. Methods for forming a silicon germanium tin layer and related semiconductor device structures
JP6805347B2 (ja) * 2017-07-13 2020-12-23 株式会社Kokusai Electric 半導体装置の製造方法、基板処理装置およびプログラム
US10900120B2 (en) 2017-07-14 2021-01-26 Asm Ip Holding B.V. Passivation against vapor deposition
KR20190009245A (ko) 2017-07-18 2019-01-28 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 구조물 형성 방법 및 관련된 반도체 소자 구조물
US10541333B2 (en) 2017-07-19 2020-01-21 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US11374112B2 (en) 2017-07-19 2022-06-28 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US11018002B2 (en) 2017-07-19 2021-05-25 Asm Ip Holding B.V. Method for selectively depositing a Group IV semiconductor and related semiconductor device structures
US10605530B2 (en) 2017-07-26 2020-03-31 Asm Ip Holding B.V. Assembly of a liner and a flange for a vertical furnace as well as the liner and the vertical furnace
US10590535B2 (en) 2017-07-26 2020-03-17 Asm Ip Holdings B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US10312055B2 (en) 2017-07-26 2019-06-04 Asm Ip Holding B.V. Method of depositing film by PEALD using negative bias
US10770336B2 (en) 2017-08-08 2020-09-08 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US10692741B2 (en) 2017-08-08 2020-06-23 Asm Ip Holdings B.V. Radiation shield
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US10249524B2 (en) 2017-08-09 2019-04-02 Asm Ip Holding B.V. Cassette holder assembly for a substrate cassette and holding member for use in such assembly
US11139191B2 (en) 2017-08-09 2021-10-05 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US10236177B1 (en) 2017-08-22 2019-03-19 ASM IP Holding B.V.. Methods for depositing a doped germanium tin semiconductor and related semiconductor device structures
USD900036S1 (en) 2017-08-24 2020-10-27 Asm Ip Holding B.V. Heater electrical connector and adapter
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
KR102491945B1 (ko) 2017-08-30 2023-01-26 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
US11056344B2 (en) 2017-08-30 2021-07-06 Asm Ip Holding B.V. Layer forming method
US10607895B2 (en) 2017-09-18 2020-03-31 Asm Ip Holdings B.V. Method for forming a semiconductor device structure comprising a gate fill metal
US11049714B2 (en) * 2017-09-19 2021-06-29 Versum Materials Us, Llc Silyl substituted organoamines as precursors for high growth rate silicon-containing films
KR102630301B1 (ko) 2017-09-21 2024-01-29 에이에스엠 아이피 홀딩 비.브이. 침투성 재료의 순차 침투 합성 방법 처리 및 이를 이용하여 형성된 구조물 및 장치
US10844484B2 (en) 2017-09-22 2020-11-24 Asm Ip Holding B.V. Apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US10658205B2 (en) 2017-09-28 2020-05-19 Asm Ip Holdings B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
US10403504B2 (en) 2017-10-05 2019-09-03 Asm Ip Holding B.V. Method for selectively depositing a metallic film on a substrate
US10319588B2 (en) 2017-10-10 2019-06-11 Asm Ip Holding B.V. Method for depositing a metal chalcogenide on a substrate by cyclical deposition
US10923344B2 (en) 2017-10-30 2021-02-16 Asm Ip Holding B.V. Methods for forming a semiconductor structure and related semiconductor structures
US10910262B2 (en) 2017-11-16 2021-02-02 Asm Ip Holding B.V. Method of selectively depositing a capping layer structure on a semiconductor device structure
KR102443047B1 (ko) 2017-11-16 2022-09-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 방법 및 그에 의해 제조된 장치
US20190157213A1 (en) * 2017-11-20 2019-05-23 Globalfoundries Inc. Semiconductor structure with substantially straight contact profile
US11022879B2 (en) 2017-11-24 2021-06-01 Asm Ip Holding B.V. Method of forming an enhanced unexposed photoresist layer
TWI791689B (zh) 2017-11-27 2023-02-11 荷蘭商Asm智慧財產控股私人有限公司 包括潔淨迷你環境之裝置
CN111316417B (zh) 2017-11-27 2023-12-22 阿斯莫Ip控股公司 与批式炉偕同使用的用于储存晶圆匣的储存装置
US10991573B2 (en) 2017-12-04 2021-04-27 Asm Ip Holding B.V. Uniform deposition of SiOC on dielectric and metal surfaces
US10290508B1 (en) 2017-12-05 2019-05-14 Asm Ip Holding B.V. Method for forming vertical spacers for spacer-defined patterning
US10872771B2 (en) 2018-01-16 2020-12-22 Asm Ip Holding B. V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
KR20200108016A (ko) 2018-01-19 2020-09-16 에이에스엠 아이피 홀딩 비.브이. 플라즈마 보조 증착에 의해 갭 충진 층을 증착하는 방법
TWI799494B (zh) 2018-01-19 2023-04-21 荷蘭商Asm 智慧財產控股公司 沈積方法
USD903477S1 (en) 2018-01-24 2020-12-01 Asm Ip Holdings B.V. Metal clamp
US11018047B2 (en) 2018-01-25 2021-05-25 Asm Ip Holding B.V. Hybrid lift pin
US10535516B2 (en) 2018-02-01 2020-01-14 Asm Ip Holdings B.V. Method for depositing a semiconductor structure on a surface of a substrate and related semiconductor structures
USD880437S1 (en) 2018-02-01 2020-04-07 Asm Ip Holding B.V. Gas supply plate for semiconductor manufacturing apparatus
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
EP3737779A1 (en) 2018-02-14 2020-11-18 ASM IP Holding B.V. A method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
US10896820B2 (en) 2018-02-14 2021-01-19 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
US10731249B2 (en) 2018-02-15 2020-08-04 Asm Ip Holding B.V. Method of forming a transition metal containing film on a substrate by a cyclical deposition process, a method for supplying a transition metal halide compound to a reaction chamber, and related vapor deposition apparatus
US10658181B2 (en) 2018-02-20 2020-05-19 Asm Ip Holding B.V. Method of spacer-defined direct patterning in semiconductor fabrication
KR102636427B1 (ko) 2018-02-20 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 장치
TWI793262B (zh) * 2018-02-21 2023-02-21 法商液態空氣喬治斯克勞帝方法研究開發股份有限公司 全氫聚矽氮烷組成物和用於使用其形成氮化物膜之方法
TWI799516B (zh) * 2018-02-21 2023-04-21 法商液態空氣喬治斯克勞帝方法研究開發股份有限公司 全氫聚矽氮烷組成物和用於使用其形成氧化物膜之方法
US10975470B2 (en) 2018-02-23 2021-04-13 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
US11629406B2 (en) 2018-03-09 2023-04-18 Asm Ip Holding B.V. Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate
US11114283B2 (en) 2018-03-16 2021-09-07 Asm Ip Holding B.V. Reactor, system including the reactor, and methods of manufacturing and using same
KR102646467B1 (ko) 2018-03-27 2024-03-11 에이에스엠 아이피 홀딩 비.브이. 기판 상에 전극을 형성하는 방법 및 전극을 포함하는 반도체 소자 구조
US11088002B2 (en) 2018-03-29 2021-08-10 Asm Ip Holding B.V. Substrate rack and a substrate processing system and method
US10510536B2 (en) 2018-03-29 2019-12-17 Asm Ip Holding B.V. Method of depositing a co-doped polysilicon film on a surface of a substrate within a reaction chamber
US11230766B2 (en) 2018-03-29 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102501472B1 (ko) 2018-03-30 2023-02-20 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
SG10201903201XA (en) 2018-04-11 2019-11-28 Versum Materials Us Llc Organoamino-functionalized cyclic oligosiloxanes for deposition of silicon-containing films
JP7146690B2 (ja) 2018-05-02 2022-10-04 エーエスエム アイピー ホールディング ビー.ブイ. 堆積および除去を使用した選択的層形成
KR20190128558A (ko) 2018-05-08 2019-11-18 에이에스엠 아이피 홀딩 비.브이. 기판 상에 산화물 막을 주기적 증착 공정에 의해 증착하기 위한 방법 및 관련 소자 구조
KR20190129718A (ko) 2018-05-11 2019-11-20 에이에스엠 아이피 홀딩 비.브이. 기판 상에 피도핑 금속 탄화물 막을 형성하는 방법 및 관련 반도체 소자 구조
KR102596988B1 (ko) 2018-05-28 2023-10-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 그에 의해 제조된 장치
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
US11270899B2 (en) 2018-06-04 2022-03-08 Asm Ip Holding B.V. Wafer handling chamber with moisture reduction
US11286562B2 (en) 2018-06-08 2022-03-29 Asm Ip Holding B.V. Gas-phase chemical reactor and method of using same
KR102568797B1 (ko) 2018-06-21 2023-08-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 시스템
US10797133B2 (en) 2018-06-21 2020-10-06 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
WO2020003000A1 (en) 2018-06-27 2020-01-02 Asm Ip Holding B.V. Cyclic deposition methods for forming metal-containing material and films and structures including the metal-containing material
JP2021529254A (ja) 2018-06-27 2021-10-28 エーエスエム・アイピー・ホールディング・ベー・フェー 金属含有材料ならびに金属含有材料を含む膜および構造体を形成するための周期的堆積方法
US10612136B2 (en) 2018-06-29 2020-04-07 ASM IP Holding, B.V. Temperature-controlled flange and reactor system including same
KR20200002519A (ko) 2018-06-29 2020-01-08 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10388513B1 (en) 2018-07-03 2019-08-20 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10755922B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10767789B2 (en) 2018-07-16 2020-09-08 Asm Ip Holding B.V. Diaphragm valves, valve components, and methods for forming valve components
CN117660941A (zh) * 2018-07-24 2024-03-08 朗姆研究公司 使用异质前体相互作用的硅碳化物膜的保形沉积
US10483099B1 (en) 2018-07-26 2019-11-19 Asm Ip Holding B.V. Method for forming thermally stable organosilicon polymer film
US11053591B2 (en) 2018-08-06 2021-07-06 Asm Ip Holding B.V. Multi-port gas injection system and reactor system including same
US10883175B2 (en) 2018-08-09 2021-01-05 Asm Ip Holding B.V. Vertical furnace for processing substrates and a liner for use therein
US10829852B2 (en) 2018-08-16 2020-11-10 Asm Ip Holding B.V. Gas distribution device for a wafer processing apparatus
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US20200075325A1 (en) * 2018-08-29 2020-03-05 Asm Ip Holding B.V. Film forming method
KR20200030162A (ko) 2018-09-11 2020-03-20 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
US11024523B2 (en) 2018-09-11 2021-06-01 Asm Ip Holding B.V. Substrate processing apparatus and method
US11049751B2 (en) 2018-09-14 2021-06-29 Asm Ip Holding B.V. Cassette supply system to store and handle cassettes and processing apparatus equipped therewith
CN110970344A (zh) 2018-10-01 2020-04-07 Asm Ip控股有限公司 衬底保持设备、包含所述设备的系统及其使用方法
JP2020056104A (ja) 2018-10-02 2020-04-09 エーエスエム アイピー ホールディング ビー.ブイ. 選択的パッシベーションおよび選択的堆積
US11232963B2 (en) 2018-10-03 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102592699B1 (ko) 2018-10-08 2023-10-23 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 박막 증착 장치와 기판 처리 장치
US10847365B2 (en) 2018-10-11 2020-11-24 Asm Ip Holding B.V. Method of forming conformal silicon carbide film by cyclic CVD
US10811256B2 (en) 2018-10-16 2020-10-20 Asm Ip Holding B.V. Method for etching a carbon-containing feature
KR20220056248A (ko) 2018-10-19 2022-05-04 램 리써치 코포레이션 갭 충진 (gapfill) 을 위한 도핑되거나 도핑되지 않은 실리콘 카바이드 증착 및 원격 수소 플라즈마 노출
KR102546322B1 (ko) 2018-10-19 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
KR102605121B1 (ko) 2018-10-19 2023-11-23 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
USD948463S1 (en) 2018-10-24 2022-04-12 Asm Ip Holding B.V. Susceptor for semiconductor substrate supporting apparatus
US10381219B1 (en) 2018-10-25 2019-08-13 Asm Ip Holding B.V. Methods for forming a silicon nitride film
US11087997B2 (en) 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
KR20200051105A (ko) 2018-11-02 2020-05-13 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 기판 처리 장치
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US11031242B2 (en) 2018-11-07 2021-06-08 Asm Ip Holding B.V. Methods for depositing a boron doped silicon germanium film
US10818758B2 (en) 2018-11-16 2020-10-27 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US10847366B2 (en) 2018-11-16 2020-11-24 Asm Ip Holding B.V. Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process
US10559458B1 (en) 2018-11-26 2020-02-11 Asm Ip Holding B.V. Method of forming oxynitride film
CN109487233A (zh) * 2018-11-27 2019-03-19 合肥安德科铭半导体科技有限公司 一种氧化硅薄膜的低温制备方法
US11217444B2 (en) 2018-11-30 2022-01-04 Asm Ip Holding B.V. Method for forming an ultraviolet radiation responsive metal oxide-containing film
KR102636428B1 (ko) 2018-12-04 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치를 세정하는 방법
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
JP2020096183A (ja) 2018-12-14 2020-06-18 エーエスエム・アイピー・ホールディング・ベー・フェー 窒化ガリウムの選択的堆積を用いてデバイス構造体を形成する方法及びそのためのシステム
JP7164789B2 (ja) * 2018-12-21 2022-11-02 レール・リキード-ソシエテ・アノニム・プール・レテュード・エ・レクスプロワタシオン・デ・プロセデ・ジョルジュ・クロード 550℃以上の温度でALDを使用してSi含有膜を堆積させるための前駆体及びプロセス
TWI819180B (zh) 2019-01-17 2023-10-21 荷蘭商Asm 智慧財產控股公司 藉由循環沈積製程於基板上形成含過渡金屬膜之方法
KR20200091543A (ko) 2019-01-22 2020-07-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
CN111524788B (zh) 2019-02-01 2023-11-24 Asm Ip私人控股有限公司 氧化硅的拓扑选择性膜形成的方法
SG11202108235UA (en) 2019-02-05 2021-08-30 Versum Materials Us Llc Deposition of carbon doped silicon oxide
TW202104632A (zh) 2019-02-20 2021-02-01 荷蘭商Asm Ip私人控股有限公司 用來填充形成於基材表面內之凹部的循環沉積方法及設備
KR102626263B1 (ko) 2019-02-20 2024-01-16 에이에스엠 아이피 홀딩 비.브이. 처리 단계를 포함하는 주기적 증착 방법 및 이를 위한 장치
US11482533B2 (en) 2019-02-20 2022-10-25 Asm Ip Holding B.V. Apparatus and methods for plug fill deposition in 3-D NAND applications
TW202044325A (zh) 2019-02-20 2020-12-01 荷蘭商Asm Ip私人控股有限公司 填充一基板之一表面內所形成的一凹槽的方法、根據其所形成之半導體結構、及半導體處理設備
TW202100794A (zh) 2019-02-22 2021-01-01 荷蘭商Asm Ip私人控股有限公司 基材處理設備及處理基材之方法
KR20200108242A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. 실리콘 질화물 층을 선택적으로 증착하는 방법, 및 선택적으로 증착된 실리콘 질화물 층을 포함하는 구조체
US11742198B2 (en) 2019-03-08 2023-08-29 Asm Ip Holding B.V. Structure including SiOCN layer and method of forming same
KR20200108243A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOC 층을 포함한 구조체 및 이의 형성 방법
KR20200116033A (ko) 2019-03-28 2020-10-08 에이에스엠 아이피 홀딩 비.브이. 도어 개방기 및 이를 구비한 기판 처리 장치
KR20200116855A (ko) 2019-04-01 2020-10-13 에이에스엠 아이피 홀딩 비.브이. 반도체 소자를 제조하는 방법
US20210017198A1 (en) 2019-04-05 2021-01-21 Versum Materials Us, Llc Organoamino-Functionalized Cyclic Oligosiloxanes For Deposition Of Silicon-Containing Films
US11965238B2 (en) 2019-04-12 2024-04-23 Asm Ip Holding B.V. Selective deposition of metal oxides on metal surfaces
US11447864B2 (en) 2019-04-19 2022-09-20 Asm Ip Holding B.V. Layer forming method and apparatus
KR20200125453A (ko) 2019-04-24 2020-11-04 에이에스엠 아이피 홀딩 비.브이. 기상 반응기 시스템 및 이를 사용하는 방법
KR20200130118A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 비정질 탄소 중합체 막을 개질하는 방법
KR20200130121A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 딥 튜브가 있는 화학물질 공급원 용기
KR20200130652A (ko) 2019-05-10 2020-11-19 에이에스엠 아이피 홀딩 비.브이. 표면 상에 재료를 증착하는 방법 및 본 방법에 따라 형성된 구조
JP2020188255A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
USD935572S1 (en) 2019-05-24 2021-11-09 Asm Ip Holding B.V. Gas channel plate
USD922229S1 (en) 2019-06-05 2021-06-15 Asm Ip Holding B.V. Device for controlling a temperature of a gas supply unit
KR20200141003A (ko) 2019-06-06 2020-12-17 에이에스엠 아이피 홀딩 비.브이. 가스 감지기를 포함하는 기상 반응기 시스템
KR20200143254A (ko) 2019-06-11 2020-12-23 에이에스엠 아이피 홀딩 비.브이. 개질 가스를 사용하여 전자 구조를 형성하는 방법, 상기 방법을 수행하기 위한 시스템, 및 상기 방법을 사용하여 형성되는 구조
USD944946S1 (en) 2019-06-14 2022-03-01 Asm Ip Holding B.V. Shower plate
USD931978S1 (en) 2019-06-27 2021-09-28 Asm Ip Holding B.V. Showerhead vacuum transport
KR20210005515A (ko) 2019-07-03 2021-01-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치용 온도 제어 조립체 및 이를 사용하는 방법
JP2021015791A (ja) 2019-07-09 2021-02-12 エーエスエム アイピー ホールディング ビー.ブイ. 同軸導波管を用いたプラズマ装置、基板処理方法
CN112216646A (zh) 2019-07-10 2021-01-12 Asm Ip私人控股有限公司 基板支撑组件及包括其的基板处理装置
KR20210010307A (ko) 2019-07-16 2021-01-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210010816A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 라디칼 보조 점화 플라즈마 시스템 및 방법
KR20210010820A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 실리콘 게르마늄 구조를 형성하는 방법
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
CN112242296A (zh) 2019-07-19 2021-01-19 Asm Ip私人控股有限公司 形成拓扑受控的无定形碳聚合物膜的方法
CN112309843A (zh) 2019-07-29 2021-02-02 Asm Ip私人控股有限公司 实现高掺杂剂掺入的选择性沉积方法
CN112309900A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
CN112309899A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
CN112323048B (zh) 2019-08-05 2024-02-09 Asm Ip私人控股有限公司 用于化学源容器的液位传感器
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
JP2021031769A (ja) 2019-08-21 2021-03-01 エーエスエム アイピー ホールディング ビー.ブイ. 成膜原料混合ガス生成装置及び成膜装置
USD940837S1 (en) 2019-08-22 2022-01-11 Asm Ip Holding B.V. Electrode
KR20210024423A (ko) 2019-08-22 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 홀을 구비한 구조체를 형성하기 위한 방법
USD949319S1 (en) 2019-08-22 2022-04-19 Asm Ip Holding B.V. Exhaust duct
USD930782S1 (en) 2019-08-22 2021-09-14 Asm Ip Holding B.V. Gas distributor
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
KR20210024420A (ko) 2019-08-23 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 비스(디에틸아미노)실란을 사용하여 peald에 의해 개선된 품질을 갖는 실리콘 산화물 막을 증착하기 위한 방법
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
US11186909B2 (en) * 2019-08-26 2021-11-30 Applied Materials, Inc. Methods of depositing low-K films
KR102138149B1 (ko) * 2019-08-29 2020-07-27 솔브레인 주식회사 박막 형성용 성장 억제제, 이를 이용한 박막 형성 방법 및 이로부터 제조된 반도체 기판
KR20210029090A (ko) 2019-09-04 2021-03-15 에이에스엠 아이피 홀딩 비.브이. 희생 캡핑 층을 이용한 선택적 증착 방법
KR20210029663A (ko) 2019-09-05 2021-03-16 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
US11164776B2 (en) 2019-09-30 2021-11-02 International Business Machines Corporation Metallic interconnect structure
CN112593212B (zh) 2019-10-02 2023-12-22 Asm Ip私人控股有限公司 通过循环等离子体增强沉积工艺形成拓扑选择性氧化硅膜的方法
TW202129060A (zh) 2019-10-08 2021-08-01 荷蘭商Asm Ip控股公司 基板處理裝置、及基板處理方法
TW202115273A (zh) 2019-10-10 2021-04-16 荷蘭商Asm Ip私人控股有限公司 形成光阻底層之方法及包括光阻底層之結構
KR20210045930A (ko) 2019-10-16 2021-04-27 에이에스엠 아이피 홀딩 비.브이. 실리콘 산화물의 토폴로지-선택적 막의 형성 방법
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
KR20210047808A (ko) 2019-10-21 2021-04-30 에이에스엠 아이피 홀딩 비.브이. 막을 선택적으로 에칭하기 위한 장치 및 방법
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
US11139163B2 (en) 2019-10-31 2021-10-05 Asm Ip Holding B.V. Selective deposition of SiOC thin films
KR20210054983A (ko) 2019-11-05 2021-05-14 에이에스엠 아이피 홀딩 비.브이. 도핑된 반도체 층을 갖는 구조체 및 이를 형성하기 위한 방법 및 시스템
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
KR20210062561A (ko) 2019-11-20 2021-05-31 에이에스엠 아이피 홀딩 비.브이. 기판의 표면 상에 탄소 함유 물질을 증착하는 방법, 상기 방법을 사용하여 형성된 구조물, 및 상기 구조물을 형성하기 위한 시스템
KR20210065848A (ko) 2019-11-26 2021-06-04 에이에스엠 아이피 홀딩 비.브이. 제1 유전체 표면과 제2 금속성 표면을 포함한 기판 상에 타겟 막을 선택적으로 형성하기 위한 방법
CN112951697A (zh) 2019-11-26 2021-06-11 Asm Ip私人控股有限公司 基板处理设备
CN112885692A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
CN112885693A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
JP2021090042A (ja) 2019-12-02 2021-06-10 エーエスエム アイピー ホールディング ビー.ブイ. 基板処理装置、基板処理方法
KR20210070898A (ko) 2019-12-04 2021-06-15 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
CN112992667A (zh) 2019-12-17 2021-06-18 Asm Ip私人控股有限公司 形成氮化钒层的方法和包括氮化钒层的结构
KR20210080214A (ko) 2019-12-19 2021-06-30 에이에스엠 아이피 홀딩 비.브이. 기판 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
TW202140135A (zh) 2020-01-06 2021-11-01 荷蘭商Asm Ip私人控股有限公司 氣體供應總成以及閥板總成
US20210225634A1 (en) * 2020-01-17 2021-07-22 Asm Ip Holding B.V. FORMATION OF SiCN THIN FILMS
US20210225633A1 (en) * 2020-01-17 2021-07-22 Asm Ip Holding B.V. FORMATION OF SiOCN THIN FILMS
KR20210095050A (ko) 2020-01-20 2021-07-30 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법 및 박막 표면 개질 방법
JP2023512674A (ja) * 2020-01-31 2023-03-28 ユーピー ケミカル カンパニー リミテッド シリコン前駆体化合物、これを含むシリコン含有膜形成用組成物及びシリコン含有膜形成方法
TW202130846A (zh) 2020-02-03 2021-08-16 荷蘭商Asm Ip私人控股有限公司 形成包括釩或銦層的結構之方法
TW202146882A (zh) 2020-02-04 2021-12-16 荷蘭商Asm Ip私人控股有限公司 驗證一物品之方法、用於驗證一物品之設備、及用於驗證一反應室之系統
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
US11781243B2 (en) 2020-02-17 2023-10-10 Asm Ip Holding B.V. Method for depositing low temperature phosphorous-doped silicon
KR20210116240A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 조절성 접합부를 갖는 기판 핸들링 장치
US11876356B2 (en) 2020-03-11 2024-01-16 Asm Ip Holding B.V. Lockout tagout assembly and system and method of using same
KR20210117157A (ko) 2020-03-12 2021-09-28 에이에스엠 아이피 홀딩 비.브이. 타겟 토폴로지 프로파일을 갖는 층 구조를 제조하기 위한 방법
TW202140832A (zh) 2020-03-30 2021-11-01 荷蘭商Asm Ip私人控股有限公司 氧化矽在金屬表面上之選擇性沉積
TW202204658A (zh) 2020-03-30 2022-02-01 荷蘭商Asm Ip私人控股有限公司 在兩不同表面上同時選擇性沉積兩不同材料
TW202140833A (zh) 2020-03-30 2021-11-01 荷蘭商Asm Ip私人控股有限公司 相對於金屬表面在介電表面上之氧化矽的選擇性沉積
WO2021201910A1 (en) 2020-04-02 2021-10-07 Versum Materials Us, Llc Organoamino-functionalized cyclic oligosiloxanes for deposition of silicon-containing films
KR20210124042A (ko) 2020-04-02 2021-10-14 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법
TW202146689A (zh) 2020-04-03 2021-12-16 荷蘭商Asm Ip控股公司 阻障層形成方法及半導體裝置的製造方法
TW202145344A (zh) 2020-04-08 2021-12-01 荷蘭商Asm Ip私人控股有限公司 用於選擇性蝕刻氧化矽膜之設備及方法
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
KR20210132600A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐, 질소 및 추가 원소를 포함한 층을 증착하기 위한 방법 및 시스템
KR20210132576A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐 나이트라이드 함유 층을 형성하는 방법 및 이를 포함하는 구조
KR20210132605A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 냉각 가스 공급부를 포함한 수직형 배치 퍼니스 어셈블리
KR20210134226A (ko) 2020-04-29 2021-11-09 에이에스엠 아이피 홀딩 비.브이. 고체 소스 전구체 용기
KR20210134869A (ko) 2020-05-01 2021-11-11 에이에스엠 아이피 홀딩 비.브이. Foup 핸들러를 이용한 foup의 빠른 교환
KR20210141379A (ko) 2020-05-13 2021-11-23 에이에스엠 아이피 홀딩 비.브이. 반응기 시스템용 레이저 정렬 고정구
TW202147383A (zh) 2020-05-19 2021-12-16 荷蘭商Asm Ip私人控股有限公司 基材處理設備
KR20210145078A (ko) 2020-05-21 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 다수의 탄소 층을 포함한 구조체 및 이를 형성하고 사용하는 방법
TW202201602A (zh) 2020-05-29 2022-01-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202218133A (zh) 2020-06-24 2022-05-01 荷蘭商Asm Ip私人控股有限公司 形成含矽層之方法
TW202217953A (zh) 2020-06-30 2022-05-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
KR20220010438A (ko) 2020-07-17 2022-01-25 에이에스엠 아이피 홀딩 비.브이. 포토리소그래피에 사용하기 위한 구조체 및 방법
TW202204662A (zh) 2020-07-20 2022-02-01 荷蘭商Asm Ip私人控股有限公司 用於沉積鉬層之方法及系統
TW202212623A (zh) 2020-08-26 2022-04-01 荷蘭商Asm Ip私人控股有限公司 形成金屬氧化矽層及金屬氮氧化矽層的方法、半導體結構、及系統
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
TW202229613A (zh) 2020-10-14 2022-08-01 荷蘭商Asm Ip私人控股有限公司 於階梯式結構上沉積材料的方法
KR20220053482A (ko) 2020-10-22 2022-04-29 에이에스엠 아이피 홀딩 비.브이. 바나듐 금속을 증착하는 방법, 구조체, 소자 및 증착 어셈블리
TW202223136A (zh) 2020-10-28 2022-06-16 荷蘭商Asm Ip私人控股有限公司 用於在基板上形成層之方法、及半導體處理系統
US11447865B2 (en) 2020-11-17 2022-09-20 Applied Materials, Inc. Deposition of low-κ films
KR20220076343A (ko) 2020-11-30 2022-06-08 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치의 반응 챔버 내에 배열되도록 구성된 인젝터
US11946137B2 (en) 2020-12-16 2024-04-02 Asm Ip Holding B.V. Runout and wobble measurement fixtures
TW202231903A (zh) 2020-12-22 2022-08-16 荷蘭商Asm Ip私人控股有限公司 過渡金屬沉積方法、過渡金屬層、用於沉積過渡金屬於基板上的沉積總成
TWI753739B (zh) 2021-01-08 2022-01-21 閎康科技股份有限公司 物性分析方法、物性分析試片及其製備方法
US20220262649A1 (en) * 2021-02-18 2022-08-18 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor Device and Method
CN116802776A (zh) * 2021-02-26 2023-09-22 株式会社国际电气 半导体器件的制造方法、衬底处理装置及程序
US11942426B2 (en) 2021-05-06 2024-03-26 International Business Machines Corporation Semiconductor structure having alternating selective metal and dielectric layers
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
USD1023959S1 (en) 2021-05-11 2024-04-23 Asm Ip Holding B.V. Electrode for substrate processing apparatus
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate

Family Cites Families (151)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US2907785A (en) 1957-10-07 1959-10-06 Du Pont Organic compounds of silicon and phosphorus and their preparation
DE1158972B (de) 1961-03-25 1963-12-12 Franz Josef Carduck Dipl Chem Verfahren zur Herstellung von Trisilylaminen
GB1006803A (en) 1963-05-10 1965-10-06 Standard Telephones Cables Ltd Improvements in or relating to semiconductor devices
US3532728A (en) 1965-01-27 1970-10-06 Monsanto Co Process for preparing high temperature resistant 1,3 - diaza-2-sila-cycloalkane derivatives
US4200666A (en) 1978-08-02 1980-04-29 Texas Instruments Incorporated Single component monomer for silicon nitride deposition
US4397828A (en) 1981-11-16 1983-08-09 Massachusetts Institute Of Technology Stable liquid polymeric precursor to silicon nitride and process
JPS6172614A (ja) 1984-09-18 1986-04-14 Toshiba Silicone Co Ltd 水素化ケイ素化合物の製造方法
JPH0629123B2 (ja) 1984-09-18 1994-04-20 東芝シリコーン株式会社 ケイ素セラミックスの製造方法
JPS61234534A (ja) 1985-04-11 1986-10-18 Semiconductor Energy Lab Co Ltd 窒化珪素被膜作成方法
US4675424A (en) 1986-03-19 1987-06-23 Union Carbide Corporation Method for making polysilazanes
US4720395A (en) 1986-08-25 1988-01-19 Anicon, Inc. Low temperature silicon nitride CVD process
US4882256A (en) 1986-10-14 1989-11-21 Minolta Camera Kabushiki Kaisha Photosensitive member having an overcoat layer comprising amorphous carbon
JPH0211587A (ja) 1988-06-29 1990-01-16 Shin Etsu Chem Co Ltd シリル化方法
EP0423884A1 (en) 1989-10-16 1991-04-24 Koninklijke Philips Electronics N.V. Method for deposition of silicon nitride layers on glass substrates
US5132354A (en) 1990-06-15 1992-07-21 Ethyl Corporation Silicon nitride precursor polymer
JP2551901B2 (ja) 1991-07-26 1996-11-06 エフ エム シー コーポレーション 接触アルキル化方法
US5340507A (en) 1991-07-26 1994-08-23 Fmc Corporation Catalyzed hydrocarbyllithium process
US5211888A (en) 1991-07-26 1993-05-18 Fmc Corporation Catalyzed hydrocarbyllithium process
US5304622A (en) 1992-01-08 1994-04-19 Nippon Oil Company, Ltd. Process for producing polysilanes
US5932286A (en) 1993-03-16 1999-08-03 Applied Materials, Inc. Deposition of silicon nitride thin films
JP2508581B2 (ja) 1993-05-28 1996-06-19 日本電気株式会社 化学気相成長法
FR2708924B1 (fr) 1993-08-12 1995-10-20 Saint Gobain Vitrage Int Procédé de dépôt d'une couche de nitrure métallique sur un substrat transparent.
US5413813A (en) 1993-11-23 1995-05-09 Enichem S.P.A. CVD of silicon-based ceramic materials on internal surface of a reactor
US5663398A (en) 1996-05-17 1997-09-02 Fmc Corporation Processes for preparing functionalized alkyllithium compounds
US5888579A (en) 1996-07-29 1999-03-30 Texas Instruments-Acer Incorporated Method and apparatus for preventing particle contamination in a process chamber
JP3516815B2 (ja) 1996-08-06 2004-04-05 触媒化成工業株式会社 シリカ系被膜形成用塗布液および被膜付基材
FR2759362B1 (fr) 1997-02-10 1999-03-12 Saint Gobain Vitrage Substrat transparent muni d'au moins une couche mince a base de nitrure ou d'oxynitrure de silicium et son procede d'obtention
JPH1174485A (ja) 1997-06-30 1999-03-16 Toshiba Corp 半導体装置およびその製造方法
US5874368A (en) 1997-10-02 1999-02-23 Air Products And Chemicals, Inc. Silicon nitride from bis(tertiarybutylamino)silane
US6566281B1 (en) 1997-10-15 2003-05-20 International Business Machines Corporation Nitrogen-rich barrier layer and structures formed
US5968611A (en) 1997-11-26 1999-10-19 The Research Foundation Of State University Of New York Silicon nitrogen-based films and method of making the same
US6365231B2 (en) 1998-06-26 2002-04-02 Kabushiki Kaisha Toshiba Ammonium halide eliminator, chemical vapor deposition system and chemical vapor deposition process
JP2001168092A (ja) 1999-01-08 2001-06-22 Toshiba Corp 半導体装置およびその製造方法
US6645884B1 (en) 1999-07-09 2003-11-11 Applied Materials, Inc. Method of forming a silicon nitride layer on a substrate
US6630413B2 (en) 2000-04-28 2003-10-07 Asm Japan K.K. CVD syntheses of silicon nitride materials
JP2002009072A (ja) 2000-06-23 2002-01-11 Tokyo Electron Ltd シリコン窒化膜の形成方法及び形成装置
US7049308B2 (en) 2000-10-26 2006-05-23 Duke University C-nitroso compounds and use thereof
JP4866534B2 (ja) 2001-02-12 2012-02-01 エーエスエム アメリカ インコーポレイテッド 半導体膜の改良された堆積方法
KR20030018134A (ko) * 2001-08-27 2003-03-06 한국전자통신연구원 조성과 도핑 농도의 제어를 위한 반도체 소자의 절연막형성 방법
JP4116283B2 (ja) 2001-11-30 2008-07-09 レール・リキード−ソシエテ・アノニム・プール・レテュード・エ・レクスプロワタシオン・デ・プロセデ・ジョルジュ・クロード ヘキサキス(モノヒドロカルビルアミノ)ジシランおよびその製造方法
JP4021653B2 (ja) 2001-11-30 2007-12-12 レール・リキード−ソシエテ・アノニム・プール・レテュード・エ・レクスプロワタシオン・デ・プロセデ・ジョルジュ・クロード Cvd法によるシリコン窒化物膜またはシリコンオキシ窒化物膜の製造方法
JP2003209110A (ja) 2002-01-17 2003-07-25 Sony Corp 金属酸窒化膜の製造方法および絶縁ゲート型電界効果トランジスタおよびその製造方法
DE10208822A1 (de) 2002-03-01 2003-09-11 Solvent Innovation Gmbh Halogenfreie ionische Flüssigkeiten
RU2004132221A (ru) 2002-04-04 2005-09-10 Дегусса АГ (DE) Бисфосфины в качестве бидентатных лигандов
JP4358492B2 (ja) 2002-09-25 2009-11-04 レール・リキード−ソシエテ・アノニム・プール・レテュード・エ・レクスプロワタシオン・デ・プロセデ・ジョルジュ・クロード 熱化学気相成長法によるシリコン窒化物膜またはシリコンオキシ窒化物膜の製造方法
US7972663B2 (en) 2002-12-20 2011-07-05 Applied Materials, Inc. Method and apparatus for forming a high quality low temperature silicon nitride layer
US7122222B2 (en) 2003-01-23 2006-10-17 Air Products And Chemicals, Inc. Precursors for depositing silicon containing films and processes thereof
JP4403824B2 (ja) 2003-05-26 2010-01-27 東京エレクトロン株式会社 シリコン窒化膜の成膜方法
JP4259247B2 (ja) 2003-09-17 2009-04-30 東京エレクトロン株式会社 成膜方法
US6974781B2 (en) 2003-10-20 2005-12-13 Asm International N.V. Reactor precoating for reduced stress and uniform CVD
US7005317B2 (en) * 2003-10-27 2006-02-28 Intel Corporation Controlled fracture substrate singulation
TW200526804A (en) 2003-10-30 2005-08-16 Tokyo Electron Ltd Method of manufacturing semiconductor device, film-forming apparatus, and storage medium
US20050227017A1 (en) 2003-10-31 2005-10-13 Yoshihide Senzaki Low temperature deposition of silicon nitride
US20050181633A1 (en) 2004-02-17 2005-08-18 Hochberg Arthur K. Precursors for depositing silicon-containing films and processes thereof
JP4279176B2 (ja) 2004-03-02 2009-06-17 株式会社アルバック シリコン窒化膜の形成方法
US7098150B2 (en) * 2004-03-05 2006-08-29 Air Liquide America L.P. Method for novel deposition of high-k MSiON dielectric films
JP2006016641A (ja) 2004-06-30 2006-01-19 L'air Liquide Sa Pour L'etude & L'exploitation Des Procede S Georges Claude 金属シリコンオキサイドの製造方法、金属シリコンオキシナイトライドの製造方法、およびシリコンドープされた金属ナイトライドの製造方法
KR100569220B1 (ko) * 2004-04-06 2006-04-10 한국과학기술원 플라즈마 디스플레이 패널용 유전체 조성물
JP4470023B2 (ja) 2004-08-20 2010-06-02 レール・リキード−ソシエテ・アノニム・プール・レテュード・エ・レクスプロワタシオン・デ・プロセデ・ジョルジュ・クロード シリコン窒化物膜の製造方法
US8163261B2 (en) 2005-04-05 2012-04-24 Voltaix, Llc System and method for making Si2H6 and higher silanes
US7875556B2 (en) 2005-05-16 2011-01-25 Air Products And Chemicals, Inc. Precursors for CVD silicon carbo-nitride and silicon nitride films
US7601652B2 (en) 2005-06-21 2009-10-13 Applied Materials, Inc. Method for treating substrates and films with photoexcitation
JP4554446B2 (ja) 2005-06-21 2010-09-29 ルネサスエレクトロニクス株式会社 半導体装置の製造方法
JP4870759B2 (ja) 2005-06-29 2012-02-08 レール・リキード−ソシエテ・アノニム・プール・レテュード・エ・レクスプロワタシオン・デ・プロセデ・ジョルジュ・クロード 3成分膜の新規な堆積方法
EP1904493B1 (en) 2005-07-08 2013-03-27 Shangai Institute of Materia Medica, Chinese Academy of Sciences Tetrahydroprotoberberine compounds, the synthetic method and the use thereof
KR20080028963A (ko) * 2005-07-08 2008-04-02 에비자 테크놀로지, 인크. 실리콘 함유 필름의 증착 방법
US20070010072A1 (en) 2005-07-09 2007-01-11 Aviza Technology, Inc. Uniform batch film deposition process and films so produced
WO2007044429A2 (en) 2005-10-05 2007-04-19 Nanogram Corporation Linear and cross-linked high molecular weight polysilanes, polygermanes, and copolymers thereof, compositions containing the same, and methods of making and using such compounds and compositions
EP2004660B1 (en) 2006-04-03 2011-01-05 L'Air Liquide Société Anon. à Directoire et Conseil de Surveillance pour l'Etude et l'Exploitation des Procédés Georges Claude Pentakis(dimethylamino) disilane precursor comprising compound and method for the preparation thereof
EP2007917B1 (en) 2006-04-03 2009-07-29 L'Air Liquide Société Anon. à Directoire et Conseil de Surveillance pour l'Etude et l'Exploitation des Procédés Georges Claude Method for depositing silicon nitride films and/or silicon oxynitride films by chemical vapor deposition
US7875312B2 (en) * 2006-05-23 2011-01-25 Air Products And Chemicals, Inc. Process for producing silicon oxide films for organoaminosilane precursors
US8530361B2 (en) * 2006-05-23 2013-09-10 Air Products And Chemicals, Inc. Process for producing silicon and oxide films from organoaminosilane precursors
US7638645B2 (en) 2006-06-28 2009-12-29 President And Fellows Of Harvard University Metal (IV) tetra-amidinate compounds and their use in vapor deposition
BRPI0603210A (pt) 2006-08-15 2008-04-08 Petroleo Brasileiro Sa método de preparação de lìquidos iÈnicos isentos de halogenetos e lìquidos iÈnicos assim preparados
US9245739B2 (en) 2006-11-01 2016-01-26 Lam Research Corporation Low-K oxide deposition by hydrolysis and condensation
JP5320295B2 (ja) 2006-11-02 2013-10-23 アドバンスド テクノロジー マテリアルズ,インコーポレイテッド 金属薄膜のcvd/aldに有用なアンチモンおよびゲルマニウム錯体
US20080241575A1 (en) 2007-03-28 2008-10-02 Lavoie Adrein R Selective aluminum doping of copper interconnects and structures formed thereby
US20080268642A1 (en) 2007-04-20 2008-10-30 Kazutaka Yanagita Deposition of transition metal carbide containing films
TWI398541B (zh) 2007-06-05 2013-06-11 羅門哈斯電子材料有限公司 有機金屬化合物
US7605092B2 (en) 2007-06-29 2009-10-20 Silicon Storage Technology, Inc. Passive elements, articles, packages, semiconductor composites, and methods of manufacturing same
WO2009039251A1 (en) * 2007-09-18 2009-03-26 L'air Liquide - Societe Anonyme Pour L'etude Et L'exploitation Des Procedes Georges Claude Method of forming silicon-containing films
US7867923B2 (en) * 2007-10-22 2011-01-11 Applied Materials, Inc. High quality silicon oxide films by remote plasma CVD from disilane precursors
US7960205B2 (en) 2007-11-27 2011-06-14 Air Products And Chemicals, Inc. Tellurium precursors for GST films in an ALD or CVD process
US20090162973A1 (en) 2007-12-21 2009-06-25 Julien Gatineau Germanium precursors for gst film deposition
JP5575582B2 (ja) 2007-12-26 2014-08-20 株式会社日立国際電気 半導体装置の製造方法、基板処理方法および基板処理装置
US9034105B2 (en) 2008-01-10 2015-05-19 American Air Liquide, Inc. Solid precursor sublimator
US8193388B2 (en) 2008-04-15 2012-06-05 American Air Liquide, Inc. Compounds for depositing tellurium-containing films
WO2009142663A1 (en) 2008-05-21 2009-11-26 The Regents Of The University Of Colorado Ionic liquids and methods for using same
JP2011521778A (ja) 2008-05-21 2011-07-28 ザ リージェンツ オブ ザ ユニバーシティ オブ コロラド,ア ボディー コーポレイト イオン液体およびその使用方法
US8101237B2 (en) 2008-05-29 2012-01-24 L'Air Liquide SociétéAnonyme pour I'Etude et I'Exploitation des Procédés Georges Claude Tellurium precursors for film deposition
US8636845B2 (en) 2008-06-25 2014-01-28 L'Air Liquide, Société Anonyme pour l'Etude et l'Exploitation des Procédés Georges Claude Metal heterocyclic compounds for deposition of thin films
US8236381B2 (en) 2008-08-08 2012-08-07 L'air Liquide Societe Anonyme Pour L'etude Et L'exploitation Des Procedes Georges Claude Metal piperidinate and metal pyridinate precursors for thin film deposition
CN103467506B (zh) * 2008-10-20 2016-03-23 陶氏康宁公司 Cvd前体
KR101551162B1 (ko) 2009-06-04 2015-09-08 볼타익스, 엘엘씨 트리실릴아민의 제조를 위한 장치 및 방법
JP2011023718A (ja) * 2009-07-15 2011-02-03 Asm Japan Kk PEALDによってSi−N結合を有するストレス調節された誘電体膜を形成する方法
US8980382B2 (en) 2009-12-02 2015-03-17 Applied Materials, Inc. Oxygen-doping for non-carbon radical-component CVD films
JP5467007B2 (ja) * 2009-09-30 2014-04-09 株式会社日立国際電気 半導体装置の製造方法および基板処理装置
US8415259B2 (en) 2009-10-14 2013-04-09 Asm Japan K.K. Method of depositing dielectric film by modified PEALD method
US8173554B2 (en) 2009-10-14 2012-05-08 Asm Japan K.K. Method of depositing dielectric film having Si-N bonds by modified peald method
EP2494587B1 (en) 2009-10-26 2020-07-15 ASM International N.V. Atomic layer deposition of antimony containing thin films
KR101783879B1 (ko) * 2010-01-13 2017-10-10 다우 코닝 도레이 캄파니 리미티드 실리콘계 재박리성 접착제 조성물, 이러한 조성물을 경화시킴으로써 형성된 재박리성 접착 층을 지닌 시트형 기재, 및 보호 필름 또는 고정 시트로서의 이의 용도
JP5815669B2 (ja) 2010-04-01 2015-11-17 レール・リキード−ソシエテ・アノニム・プール・レテュード・エ・レクスプロワタシオン・デ・プロセデ・ジョルジュ・クロード アミノ金属前駆体とハロゲン化金属前駆体との化合を使用する金属窒化物含有膜堆積
US8993460B2 (en) 2013-01-10 2015-03-31 Novellus Systems, Inc. Apparatuses and methods for depositing SiC/SiCN films via cross-metathesis reactions with organometallic co-reactants
US9228120B2 (en) 2010-06-07 2016-01-05 Central Glass Company, Limited Liquid chemical for forming protecting film
US8318584B2 (en) 2010-07-30 2012-11-27 Applied Materials, Inc. Oxide-rich liner layer for flowable CVD gapfill
US8394466B2 (en) 2010-09-03 2013-03-12 Asm Japan K.K. Method of forming conformal film having si-N bonds on high-aspect ratio pattern
US20120213940A1 (en) 2010-10-04 2012-08-23 Applied Materials, Inc. Atomic layer deposition of silicon nitride using dual-source precursor and interleaved plasma
CN102173398A (zh) 2011-01-14 2011-09-07 中国人民解放军国防科学技术大学 一种低分子无碳聚硅氮烷及其液相合成方法
US8771807B2 (en) 2011-05-24 2014-07-08 Air Products And Chemicals, Inc. Organoaminosilane precursors and methods for making and using same
KR20160093093A (ko) * 2011-06-03 2016-08-05 에어 프로덕츠 앤드 케미칼스, 인코오포레이티드 탄소-도핑된 규소-함유 막을 증착시키기 위한 조성물 및 방법
KR101970850B1 (ko) 2011-10-07 2019-04-19 레르 리키드 쏘시에떼 아노님 뿌르 레?드 에렉스뿔라따시옹 데 프로세데 조르즈 클로드 트리실릴아민의 응축상 제조 장치 및 방법
KR101945212B1 (ko) 2011-10-20 2019-02-07 도레이 카부시키가이샤 다공질막의 제조 방법 및 그 다공질막, 전지용 세퍼레이터 및 전지
CN103987766A (zh) 2011-12-02 2014-08-13 沙特基础创新塑料Ip私人有限责任公司 涂覆的聚合物膜
US8592328B2 (en) * 2012-01-20 2013-11-26 Novellus Systems, Inc. Method for depositing a chlorine-free conformal sin film
US20130209343A1 (en) 2012-02-10 2013-08-15 American Air Liquide, Inc. Liquid phase synthesis of trisilylamine
US8871656B2 (en) * 2012-03-05 2014-10-28 Applied Materials, Inc. Flowable films using alternative silicon precursors
US8846536B2 (en) 2012-03-05 2014-09-30 Novellus Systems, Inc. Flowable oxide film with tunable wet etch rate
EP2823083B1 (en) * 2012-03-09 2023-10-04 Versum Materials US, LLC Methods for making silicon containing films on thin film transistor devices
US9978585B2 (en) 2012-06-01 2018-05-22 Versum Materials Us, Llc Organoaminodisilane precursors and methods for depositing films comprising same
US9337018B2 (en) 2012-06-01 2016-05-10 Air Products And Chemicals, Inc. Methods for depositing films with organoaminodisilane precursors
JP5874546B2 (ja) 2012-06-21 2016-03-02 富士通株式会社 半導体装置の実装構造
JP6242026B2 (ja) * 2012-07-20 2017-12-06 レール・リキード−ソシエテ・アノニム・プール・レテュード・エ・レクスプロワタシオン・デ・プロセデ・ジョルジュ・クロード Ald/cvdシリコン含有膜用のオルガノシラン前駆体
KR101361454B1 (ko) 2012-08-23 2014-02-21 이근수 반도체 소자의 실리콘 산화막 형성 방법
JP6101467B2 (ja) 2012-10-04 2017-03-22 東京エレクトロン株式会社 成膜方法及び成膜装置
US9330899B2 (en) * 2012-11-01 2016-05-03 Asm Ip Holding B.V. Method of depositing thin film
KR20140057908A (ko) 2012-11-05 2014-05-14 삼성전자주식회사 무선 통신 시스템에서 섹터 스위핑을 수행하는 방법 및 장치
US10279959B2 (en) 2012-12-11 2019-05-07 Versum Materials Us, Llc Alkoxysilylamine compounds and applications thereof
KR101583232B1 (ko) * 2012-12-31 2016-01-07 제일모직 주식회사 중합체 제조 방법 및 실리카계 절연막 형성용 조성물
EP2969093B8 (en) * 2013-03-15 2019-11-27 Denovx LLC Directed nucleation and crystal growth from solution using surface energy modified amorphous materials
DE102013209802A1 (de) 2013-05-27 2014-11-27 Evonik Industries Ag Verfahren zur gekoppelten Herstellung von Trisilylamin und Polysilazanen mit einer Molmasse bis 500 g/mol
US9245740B2 (en) 2013-06-07 2016-01-26 Dnf Co., Ltd. Amino-silyl amine compound, method for preparing the same and silicon-containing thin-film using the same
WO2014196827A2 (en) 2013-06-07 2014-12-11 Dnf Co., Ltd. Novel amino-silyl amine compound, method for perparing the 'same and silicon-containing thin-film using the same
CN105849221B (zh) * 2013-09-27 2019-06-18 乔治洛德方法研究和开发液化空气有限公司 胺取代的三甲硅烷基胺和三-二甲硅烷基胺化合物
WO2015045426A1 (en) * 2013-09-30 2015-04-02 Toyo Gosei Co., Ltd. Compounders for Enhancing Generation of Chemical Species
WO2015105337A1 (en) 2014-01-08 2015-07-16 Dnf Co.,Ltd. Novel trisilyl amine derivative, method for preparing the same and silicon-containing thin film using the same
KR101720017B1 (ko) * 2014-01-08 2017-03-27 (주)디엔에프 신규한 트리실릴아민 유도체, 이의 제조방법 및 이를 이용한 실리콘 함유 박막
US9233990B2 (en) 2014-02-28 2016-01-12 Air Products And Chemicals, Inc. Organoaminosilanes and methods for making same
DE102014204785A1 (de) 2014-03-14 2015-09-17 Evonik Degussa Gmbh Verfahren zur Herstellung von reinem Trisilylamin
WO2015190749A1 (en) 2014-06-11 2015-12-17 Dnf Co., Ltd. Novel amino-silyl amine compound and the manufacturing method of dielectric film containing si-n bond by using atomic layer deposition
KR101875183B1 (ko) * 2014-06-11 2018-07-06 (주)디엔에프 신규한 아미노실릴아민 화합물 및 원자층 증착법을 이용한 Si-N 결합을 포함하는 절연막의 제조방법
CN113025992B (zh) 2014-10-24 2024-02-02 弗萨姆材料美国有限责任公司 组合物和使用所述组合物沉积含硅膜的方法
US10354860B2 (en) * 2015-01-29 2019-07-16 Versum Materials Us, Llc Method and precursors for manufacturing 3D devices
US9777025B2 (en) * 2015-03-30 2017-10-03 L'Air Liquide, Société pour l'Etude et l'Exploitation des Procédés Georges Claude Si-containing film forming precursors and methods of using the same
US20170051405A1 (en) 2015-08-18 2017-02-23 Asm Ip Holding B.V. Method for forming sin or sicn film in trenches by peald
US11104990B2 (en) * 2015-09-11 2021-08-31 Versum Materials Us, Llc Methods for depositing a conformal metal or metalloid silicon nitride film and resultant films
CN108140555B (zh) 2015-10-22 2024-03-15 应用材料公司 沉积包含SiO及SiN的可流动薄膜的方法
WO2017147150A1 (en) 2016-02-26 2017-08-31 Versum Materials Us, Llc Compositions and methods using same for deposition of silicon-containing film
US10384944B2 (en) 2016-05-19 2019-08-20 L'Air Liquide, Société Anonyme pour l'Etude et l'Exploitation des Procédés Georges Claude Preparation of Si—H containing iodosilanes via halide exchange reaction
US10192734B2 (en) 2016-12-11 2019-01-29 L'Air Liquide, Société Anonyme pour l'Etude et l'Exploration des Procédés Georges Claude Short inorganic trisilylamine-based polysilazanes for thin film deposition
US10647578B2 (en) 2016-12-11 2020-05-12 L'Air Liquide, Société Anonyme pour l'Etude et l'Exploitation des Procédés Georges Claude N—H free and SI-rich per-hydridopolysilzane compositions, their synthesis, and applications
US11078569B2 (en) 2017-09-19 2021-08-03 Versum Materials Us, Llc Trisilylamine derivatives as precursors for high growth rate silicon-containing films

Also Published As

Publication number Publication date
SG10201810679XA (en) 2019-01-30
CN107429389B (zh) 2019-09-24
WO2016201314A1 (en) 2016-12-15
EP3277698A1 (en) 2018-02-07
US10403494B2 (en) 2019-09-03
JP6537633B2 (ja) 2019-07-03
CN111041456B (zh) 2021-12-10
TW201641505A (zh) 2016-12-01
JP7320544B2 (ja) 2023-08-03
KR102113412B1 (ko) 2020-05-20
TWI757922B (zh) 2022-03-11
US11699584B2 (en) 2023-07-11
US20190362961A1 (en) 2019-11-28
JP2018524464A (ja) 2018-08-30
KR20170021379A (ko) 2017-02-27
JP2019060024A (ja) 2019-04-18
EP3277698A4 (en) 2019-03-20
EP3277698B1 (en) 2021-04-28
SG11201707756WA (en) 2017-10-30
JP6494137B2 (ja) 2019-04-03
CN111041456A (zh) 2020-04-21
US20150376211A1 (en) 2015-12-31
US20180022761A1 (en) 2018-01-25
JP6441498B2 (ja) 2018-12-19
KR20180008372A (ko) 2018-01-24
US20210225635A1 (en) 2021-07-22
KR20170029581A (ko) 2017-03-15
CN107667187B (zh) 2019-07-02
JP2021088764A (ja) 2021-06-10
WO2016201320A1 (en) 2016-12-15
WO2016160990A1 (en) 2016-10-06
TWI710566B (zh) 2020-11-21
KR102092447B1 (ko) 2020-03-24
JP2018510968A (ja) 2018-04-19
JP2018518598A (ja) 2018-07-12
TWI718136B (zh) 2021-02-11
TW202108596A (zh) 2021-03-01
CN107636198B (zh) 2020-01-31
KR20180034710A (ko) 2018-04-04
CN107667187A (zh) 2018-02-06
KR101803245B1 (ko) 2017-11-29
KR101845279B1 (ko) 2018-04-04
CN107429389A (zh) 2017-12-01
US9777025B2 (en) 2017-10-03
TW201638098A (zh) 2016-11-01
CN107636198A (zh) 2018-01-26

Similar Documents

Publication Publication Date Title
JP6827457B2 (ja) Si含有膜形成組成物およびその使用方法
JP6993474B2 (ja) Si含有膜形成組成物
EP3307744B1 (en) Vapor deposition processes for forming silicon- and oxygen-containing thin films
EP3307745B1 (en) Vapor deposition processes for forming silicon- and nitrogen-containing thin films

Legal Events

Date Code Title Description
A621 Written request for application examination

Free format text: JAPANESE INTERMEDIATE CODE: A621

Effective date: 20181121

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20191105

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20200131

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20200512

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20200707

TRDD Decision of grant or rejection written
A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

Effective date: 20201222

A61 First payment of annual fees (during grant procedure)

Free format text: JAPANESE INTERMEDIATE CODE: A61

Effective date: 20210119

R150 Certificate of patent or registration of utility model

Ref document number: 6827457

Country of ref document: JP

Free format text: JAPANESE INTERMEDIATE CODE: R150

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250