TW201638098A - 用於形成含矽及含氧薄膜之氣相沉積方法 - Google Patents

用於形成含矽及含氧薄膜之氣相沉積方法 Download PDF

Info

Publication number
TW201638098A
TW201638098A TW105122057A TW105122057A TW201638098A TW 201638098 A TW201638098 A TW 201638098A TW 105122057 A TW105122057 A TW 105122057A TW 105122057 A TW105122057 A TW 105122057A TW 201638098 A TW201638098 A TW 201638098A
Authority
TW
Taiwan
Prior art keywords
sih
film
ruthenium
forming
precursor
Prior art date
Application number
TW105122057A
Other languages
English (en)
Other versions
TWI710566B (zh
Inventor
珍 馬克 吉拉德
張 鵬
安東尼奧 桑切斯
曼尼席 坎德維爾
根納帝 伊托伏
雷諾 佩沙雷西
Original Assignee
液態空氣喬治斯克勞帝方法研究開發股份有限公司
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 液態空氣喬治斯克勞帝方法研究開發股份有限公司 filed Critical 液態空氣喬治斯克勞帝方法研究開發股份有限公司
Publication of TW201638098A publication Critical patent/TW201638098A/zh
Application granted granted Critical
Publication of TWI710566B publication Critical patent/TWI710566B/zh

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/0228Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition deposition by cyclic CVD, e.g. ALD, ALE, pulsed CVD
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/40Oxides
    • C23C16/401Oxides containing silicon
    • C23C16/402Silicon dioxide
    • CCHEMISTRY; METALLURGY
    • C01INORGANIC CHEMISTRY
    • C01BNON-METALLIC ELEMENTS; COMPOUNDS THEREOF; METALLOIDS OR COMPOUNDS THEREOF NOT COVERED BY SUBCLASS C01C
    • C01B21/00Nitrogen; Compounds thereof
    • C01B21/082Compounds containing nitrogen and non-metals and optionally metals
    • C01B21/087Compounds containing nitrogen and non-metals and optionally metals containing one or more hydrogen atoms
    • CCHEMISTRY; METALLURGY
    • C01INORGANIC CHEMISTRY
    • C01BNON-METALLIC ELEMENTS; COMPOUNDS THEREOF; METALLOIDS OR COMPOUNDS THEREOF NOT COVERED BY SUBCLASS C01C
    • C01B21/00Nitrogen; Compounds thereof
    • C01B21/082Compounds containing nitrogen and non-metals and optionally metals
    • C01B21/087Compounds containing nitrogen and non-metals and optionally metals containing one or more hydrogen atoms
    • C01B21/088Compounds containing nitrogen and non-metals and optionally metals containing one or more hydrogen atoms containing also one or more halogen atoms
    • CCHEMISTRY; METALLURGY
    • C07ORGANIC CHEMISTRY
    • C07FACYCLIC, CARBOCYCLIC OR HETEROCYCLIC COMPOUNDS CONTAINING ELEMENTS OTHER THAN CARBON, HYDROGEN, HALOGEN, OXYGEN, NITROGEN, SULFUR, SELENIUM OR TELLURIUM
    • C07F7/00Compounds containing elements of Groups 4 or 14 of the Periodic Table
    • C07F7/02Silicon compounds
    • C07F7/025Silicon compounds without C-silicon linkages
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/308Oxynitrides
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/34Nitrides
    • C23C16/345Silicon nitride
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/4401Means for minimising impurities, e.g. dust, moisture or residual gas, in the reaction chamber
    • C23C16/4408Means for minimising impurities, e.g. dust, moisture or residual gas, in the reaction chamber by purging residual gases from the reaction chamber or gas lines
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45553Atomic layer deposition [ALD] characterized by the use of precursors specially adapted for ALD
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/50Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges
    • C23C16/515Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges using pulsed discharges
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02205Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition
    • H01L21/02208Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si
    • H01L21/02219Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si the compound comprising silicon and nitrogen
    • H01L21/02222Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si the compound comprising silicon and nitrogen the compound being a silazane
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/02164Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material being a silicon oxide, e.g. SiO2

Landscapes

  • Chemical & Material Sciences (AREA)
  • Organic Chemistry (AREA)
  • Engineering & Computer Science (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Metallurgy (AREA)
  • General Chemical & Material Sciences (AREA)
  • Materials Engineering (AREA)
  • Mechanical Engineering (AREA)
  • Inorganic Chemistry (AREA)
  • Physics & Mathematics (AREA)
  • Plasma & Fusion (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Chemical Vapour Deposition (AREA)
  • Formation Of Insulating Films (AREA)

Abstract

本發明揭示使用經單取代之TSA前驅物的形成含矽及含氧膜的ALD方法。該經單取代TSA前驅物具有式:(SiH3)2N-SiH2-X,其中X為鹵素原子或胺基。

Description

用於形成含矽及含氧薄膜之氣相沉積方法 【相關申請案之交叉參考】
本申請案主張2015年6月12日提申之美國申請案第14/738,039號及2015年3月30日提申之美國臨時申請案第62/140,248號的權益,兩者均以全文引用的方式併入本文中用於所有目的。
揭示包含經單取代之三矽烷基胺前驅物之形成含矽膜之組成物;其合成方法;及使用用於製造半導體、光伏打材料、LCD-TFT、平板型裝置、耐火材料或航空材料的氣相沉積法、使用該等組成物沉積含矽膜的方法。
已使用多種含矽前驅物、藉由氣相沉積法在各種基板上沉積含矽薄膜。選擇適合矽前驅物及適當時選擇共反應物通常根據以下來決定:目標膜組成物及特性,以及其上待沉積膜之基板所帶來的限制。一些基板可能需要低溫沉積方法。舉例而言,在經有機膜塗佈之塑膠基板或矽基板上沉積可能需要沉積溫度低於100℃(亦即20℃-100℃),同時維持工業上所關注之合理沉積速率。此類膜不僅可以空間定義之微影術應用於半導體製造,而且用於密封有機發光二極體(organic light-emitting diode;OLED) 裝置或在膜上產生水分擴散障壁。對不同溫度範圍的類似限制顯現於半導體製造的不同步驟中,諸如金屬、閘極隔片等上的封蓋層。
DNF有限公司的WO2015/190749揭示胺基-矽烷基胺化合物及使用原子層沉積法製造含有Si-N鍵之介電膜的方法。Sanchez及Girard的WO2015/047914揭示經胺取代之三矽烷基胺及三-二矽烷基胺化合物。DNF有限公司的US2014/0363985揭示胺基-矽烷基胺化合物、其製備方法及使用其製備含矽薄膜的方法。Cruse等人的US5413813揭示尤其使用R3Si-N(X)-SiR3之反應器內表面之矽類陶瓷材料的CVD,其中各R為H、C1-20烷基、鹵素(較佳為Cl)或NR2且X為H、Li或SiR3。Air Products and Chemicals公司的US2014/0158580A描述烷氧基矽烷基胺化合物及其應用。同屬Air Products and Chemicals公司的US 7,122,222揭示用於沉積含矽膜的前驅物及其沉積方法。WO2013/058061中所揭示的矽氮烷化合物N-(SiR1R2R3)mR4 3-m用作塗佈氣體。US 5,332,853中所揭示的(RR1R2Ma)yA(R3)x作為催化化合物用於產生官能化烷基鹼金屬化合物。類似專利包括US 5663398A、US 5332853A、US 5340507A、EP 525881 A1。
使用基於氣相之沉積方法(諸如CVD或ALD)(就所有可能的意義而言,諸如LPCVD、SACVD、PECVD、PEALD等)的行業仍尋求在應用中理想的前驅物,亦即在其製程、基板及膜目標之侷限範圍內具有最高可能沉積速率的前驅物。
揭示形成含矽膜之組成物,其包含具有式(SiH3)2NSiH2-X之經單取代之TSA前驅物,其中X為選自Cl、Br或I之鹵素原子;異氰酸酯 基[-NCO];胺基[-NR1R2];含N之C4-C10飽和或不飽和雜環;或烷氧基[-O-R];R1、R2及R獨立地選自H、矽烷基[-SiR'3];或C1-C6直鏈或分支鏈、飽和或不飽和烴基;其中各R'獨立地選自H;選自Cl、Br或I之鹵素原子;C1-C4飽和或不飽和烴基;C1-C4飽和或不飽和烷氧基;或胺基[-NR3R4],其中各R3及R4獨立地選自H及C1-C6直鏈或分支鏈、飽和或不飽和烴基,其限制條件為若R1=H,則R2≠H、Me或Et。所揭示之形成含矽膜之組成物可包括一或多個以下態樣:˙經單取代之TSA前驅物,其中X為鹵素原子;˙經單取代之TSA前驅物為(SiH3)2N-SiH2-Cl;˙經單取代之TSA前驅物為(SiH3)2N-SiH2-Br;˙經單取代之TSA前驅物為(SiH3)2N-SiH2-I;˙經單取代之TSA前驅物,其中X為異氰酸酯-NCO(亦即為(SiH3)2N-SiH2-NCO);˙經單取代之TSA前驅物,其中X為胺基[-NR1R2];˙經單取代之TSA前驅物為(SiH3)2N-SiH2-NMe2;˙經單取代之TSA前驅物為(SiH3)2N-SiH2-NMeEt;˙經單取代之TSA前驅物為(SiH3)2N-SiH2-NEt2;˙經單取代之TSA前驅物為(SiH3)2N-SiH2-NiPr2;˙經單取代之TSA前驅物為(SiH3)2N-SiH2-NHiPr;˙經單取代之TSA前驅物為(SiH3)2N-SiH2-NMeiPr;˙經單取代之TSA前驅物為(SiH3)2N-SiH2-NEtiPr;˙經單取代之TSA前驅物為(SiH3)2N-SiH2-NHtBu; ˙經單取代之TSA前驅物不為(SiH3)2-N-SiH2-N(SiH3)(SiH2(NHEt))(亦即當X=NR1R2且R1為SiH3且R2為NHEt時);˙經單取代之TSA前驅物為(SiH3)2N-SiH2 NEt2;˙經單取代之TSA前驅物為(SiH3)2N-SiH2 NEtMe;˙經單取代之TSA前驅物為(SiH3)2N-SiH2 NMe2;˙經單取代之TSA前驅物為(SiH3)2N-SiH2 NMeiPr;˙經單取代之TSA前驅物為(SiH3)2N-SiH2 NEtiPr;˙經單取代之TSA前驅物,其中X為-N(SiR3)2,其中各R獨立地選自鹵素、H或C1-C4烷基;˙經單取代之TSA前驅物為(SiH3)2N-SiH2-N(SiCl3)2;˙經單取代之TSA前驅物為(SiH3)2N-SiH2-N(SiBr3)2;˙經單取代之TSA前驅物為(SiH3)2N-SiH2-N(SiI3)2;˙經單取代之TSA前驅物為(SiH3)2N-SiH2-N(SiH3)2;˙經單取代之TSA前驅物為(SiH3)2-N-SiH2-N(SiH3)(SiH2Cl);˙經單取代之TSA前驅物為(SiH3)2-N-SiH2-N(SiH3)(SiH2(NEt2);˙經單取代之TSA前驅物為(SiH3)2-N-SiH2-N(SiH3)(SiH2(NiPr2);˙經單取代之TSA前驅物為(SiH3)2-N-SiH2-N(SiH3)(SiH2(NHtBu);˙經單取代之TSA前驅物為(SiH3)2-N-SiH2-N(SiH3)(SiH2OEt);˙經單取代之TSA前驅物為(SiH3)2-N-SiH2-N(SiH3)(SiH2OiPr);˙經單取代之TSA前驅物為(SiH3)2N-SiH2-N(SiMe3)2;˙經單取代之TSA前驅物為(SiH3)2N-SiH2-NH(SiMe3);˙經單取代之TSA前驅物為(SiH3)2N-SiH2-N(SiEt3)2; ˙經單取代之TSA前驅物為(SiH3)2-N-SiH2-N(SiMe2Et)2;˙經單取代之TSA前驅物為(SiH3)2-N-SiH2-N(SiMe2iPr)2;˙經單取代之TSA前驅物為(SiH3)2-N-SiH2-N(SiMe2nPr)2;˙經單取代之TSA前驅物,其中X為含N之C4-C10雜環;˙經單取代之TSA前驅物,其中含N之C4-C10雜環係選自吡咯啶、吡咯及哌啶;˙經單取代之TSA前驅物為(SiH3)2N-SiH2-(吡咯啶);˙經單取代之TSA前驅物為(SiH3)2N-SiH2-(吡咯);˙經單取代之TSA前驅物為(SiH3)2N-SiH2-(哌啶);˙經單取代之TSA前驅物,其中X為烷氧基[-O-R];˙經單取代之TSA前驅物為(SiH3)2N-SiH2-(OH);˙經單取代之TSA前驅物為(SiH3)2N-SiH2-(OMe);˙經單取代之TSA前驅物為(SiH3)2N-SiH2-(OEt);˙經單取代之TSA前驅物為(SiH3)2N-SiH2-(OiPr);˙經單取代之TSA前驅物為(SiH3)2N-SiH2-(OnPr);˙經單取代之TSA前驅物為(SiH3)2N-SiH2-(OtBu);˙經單取代之TSA前驅物,其中X為-O-SiR3且各R獨立地選自H、鹵素或C1-C4烴基;˙經單取代之TSA前驅物為(SiH3)2N-SiH2-(OSiH3);˙經單取代之TSA前驅物為(SiH3)2N-SiH2-(OSiCl3);˙經單取代之TSA前驅物為(SiH3)2N-SiH2-(OSiBr3);˙經單取代之TSA前驅物為(SiH3)2N-SiH2-(OSiI3); ˙經單取代之TSA前驅物為(SiH3)2N-SiH2-(OSiMe3);˙形成含矽膜的組成物包含約95% w/w與約100% w/w之間的前驅物;˙形成含矽膜的組成物包含約5% w/w與約50% w/w之間的前驅物;˙形成含矽膜的組成物包含約0ppbw與約500ppbw之間的Al;˙形成含矽膜的組成物包含約0ppbw與約500ppbw之間的As;˙形成含矽膜的組成物包含約0ppbw與約500ppbw之間的Ba;˙形成含矽膜的組成物包含約0ppbw與約500ppbw之間的Be;˙形成含矽膜的組成物包含約0ppbw與約500ppbw之間的Bi;˙形成含矽膜的組成物包含約0ppbw與約500ppbw之間的Cd;˙形成含矽膜的組成物包含約0ppbw與約500ppbw之間的Ca;˙形成含矽膜的組成物包含約0ppbw與約500ppbw之間的Cr;˙形成含矽膜的組成物包含約0ppbw與約500ppbw之間的Co;˙形成含矽膜的組成物包含約0ppbw與約500ppbw之間的Cu;˙形成含矽膜的組成物包含約0ppbw與約500ppbw之間的Ga;˙形成含矽膜的組成物包含約0ppbw與約500ppbw之間的Ge;˙形成含矽膜的組成物包含約0ppbw與約500ppbw之間的Hf;˙形成含矽膜的組成物包含約0ppbw與約500ppbw之間的Zr;˙形成含矽膜的組成物包含約0ppbw與約500ppbw之間的In;˙形成含矽膜的組成物包含約0ppbw與約500ppbw之間的Fe;˙形成含矽膜的組成物包含約0ppbw與約500ppbw之間的Pb;˙形成含矽膜的組成物包含約0ppbw與約500ppbw之間的Li;˙形成含矽膜的組成物包含約0ppbw與約500ppbw之間的Mg; ˙形成含矽膜的組成物包含約0ppbw與約500ppbw之間的Mn;˙形成含矽膜的組成物包含約0ppbw與約500ppbw之間的W;˙形成含矽膜的組成物包含約0ppbw與約500ppbw之間的Ni;˙形成含矽膜的組成物包含約0ppbw與約500ppbw之間的K;˙形成含矽膜的組成物包含約0ppbw與約500ppbw之間的Na;˙形成含矽膜的組成物包含約0ppbw與約500ppbw之間的Sr;˙形成含矽膜的組成物包含約0ppbw與約500ppbw之間的Th;˙形成含矽膜的組成物包含約0ppbw與約500ppbw之間的Sn;˙形成含矽膜的組成物包含約0ppbw與約500ppbw之間的Ti;˙形成含矽膜的組成物包含約0ppbw與約500ppbw之間的U;˙形成含矽膜的組成物包含約0ppbw與約500ppbw之間的V;˙形成含矽膜的組成物包含約0ppbw與約500ppbw之間的Zn;˙形成含矽膜的有機矽烷組成物包含約0ppmw與約500ppmw之間的Cl;˙形成含矽膜的組成物包含約0ppmw與約500ppmw之間的Br;˙形成含矽膜的組成物包含約0ppmw與約500ppmw之間的I;˙形成含矽膜的組成物包含約0.0% w/w與0.1% w/w之間的TSA;˙形成含矽膜的組成物包含約0.0% w/w與0.1% w/w之間的(SiH3)2-N-SiH2X,其中X為Cl、Br或I;˙形成含矽膜的組成物包含約0.0% w/w與0.1% w/w之間的(SiH3)2-N-SiHX2,其中X為Cl、Br或I;˙形成含矽膜的組成物包含約0.0% w/w與0.1% w/w之間的SiH4; ˙形成含矽膜的組成物包含約0.0% w/w與0.1% w/w之間的SiH3X,其中X為Cl、Br或I;˙形成含矽膜的組成物包含約0.0% w/w與0.1% w/w之間的SiH2X2,其中X為Cl、Br或I;˙形成含矽膜的組成物包含約0.0% w/w與0.1% w/w之間的SnX2,其中X為Cl、Br或I;˙形成含矽膜的組成物包含約0.0% w/w與0.1% w/w之間的SnX4,其中X為Cl、Br或I;˙形成含矽膜的組成物包含約0.0% w/w與0.1% w/w之間的HX,其中X為Cl、Br或I;˙形成含矽膜的組成物包含約0.0% w/w與0.1% w/w之間的NH3;˙形成含矽膜的組成物包含約0.0% w/w與0.1% w/w之間的NH4X,其中X為Cl、Br或I;˙形成含矽膜的組成物包含約0.0% w/w與0.1% w/w之間的ROH,其中R為C1-C4烷基;˙形成含矽膜的組成物包含約0.0% w/w與0.1% w/w之間的NH2R,其中R為C1-C4烷基;˙形成含矽膜的組成物包含約0.0% w/w與0.1% w/w之間的NR2H,其中R為C1-C4烷基;˙形成含矽膜的組成物包含約0.0% w/w與0.1% w/w之間的HN=R,其中R為C1-C4烷基;˙形成含矽膜的組成物包含約0.0% w/w與0.1% w/w之間的四氫呋喃 (THF);˙形成含矽膜的組成物包含約0.0% w/w與0.1% w/w之間的乙醚;˙形成含矽膜的組成物包含約0.0% w/w與0.1% w/w之間的戊烷;˙形成含矽膜的組成物包含約0.0% w/w與0.1% w/w之間的環己烷;˙形成含矽膜的組成物包含約0.0% w/w與0.1% w/w之間的庚烷;或˙形成含矽膜的組成物包含約0.0% w/w與0.1% w/w之間的甲苯。
亦揭示形成含矽膜之組成物遞送裝置,其包含具有入口管及出口管之罐且含有上文所揭示之形成含矽膜之組成物中之任一者。所揭示之裝置可包括一或多個以下態樣:˙形成含矽膜的組成物具有小於10ppmw之總金屬污染物濃度;˙入口管之一端位於形成含矽膜之組成物表面上且出口管之一端位於形成含矽膜之組成物表面下;˙入口管之一端位於形成含矽膜之組成物表面下且出口管之一端位於形成含矽膜之組成物表面上;˙另外包含位於入口及出口的隔膜閥;˙形成含矽膜的組成物為(H3Si)2N-SiH2(NEt2);˙形成含矽膜的組成物為(H3Si)2N-SiH2(NiPr2);˙形成含矽膜的組成物為(H3Si)2N-SiH2Cl;及˙形成含矽膜的組成物為(H3Si)2N-SiH2(N(SiMe3)2
亦揭示在基板上沉積含矽層之方法。將上文揭示的組成物引入其中安置有基板的反應器中。使用氣相沉積法使經單取代之TSA前驅物的至少一部分在基板上沉積以形成含矽層。所揭示之方法可具有一或多個 以下態樣:˙向反應器中引入包含第二前驅物之蒸氣;˙第二前驅物之元素選自由第2族、第13族、第14族、過渡金屬、鑭系元素及其組合組成之群;˙第二前驅物之元素選自As、B、P、Si、Ge、Al、Zr、Hf、Ti、Nb、Ta或鑭系元素;˙將反應物引入反應器中;˙反應物選自由以下組成之群:O2、O3、H2O、H2O2、NO、NO2、羧酸、醇、二醇、其自由基,及其組合;˙反應物為經電漿處理之氧;˙含矽層為含氧化矽層;˙反應物選自由以下組成之群:N2、H2、NH3、肼(諸如N2H4、MeHNNH2、MeHNNHMe)、有機胺(諸如NMeH2、NEtH2、NMe2H、NEt2H、NMe3、NEt3、(SiMe3)2NH)、吡唑啉、吡啶、二胺(諸如乙二胺)、其自由基物質,及其混合物;˙氣相沉積法為化學氣相沉積法;˙氣相沉積法為ALD方法;˙氣相沉積法為空間ALD方法;˙氣相沉積方法為流式CVD方法;˙含矽層為Si;˙含矽層為SiO2;˙含矽層為SiN; ˙含矽層為SiON;˙含矽層為SiOC;˙含矽層為SiOCN;˙含矽層為SiCN;˙對含矽層進行熱退火;˙在反應氛圍下對含矽層進行熱退火;˙對含矽層進行UV固化;及˙對含矽層進行電子束固化。
亦揭示藉由如下方法形成的氮摻雜氧化矽膜:將包括經單取代之TSA前驅物的蒸氣引入含有基板的反應器中以在基板上形成含矽層;藉由將氧化劑引入反應器中來使氧化劑與含矽層發生反應以形成氧化含矽層;藉由將經單取代之TSA前驅物引入反應器中來使經單取代之TSA前驅物與氧化含矽層發生反應以形成富矽之氧化含矽層;及藉由將含氮反應物引入反應器中來使含氮反應物與含矽層發生反應以形成氮摻雜氧化矽膜。經單取代之TSA前驅物具有式(SiH3)2N-SiH2-X,其中X係選自自Cl、Br或I中選擇的鹵素原子、異氰酸酯基[-NCO]、胺基[-NR1R2]、含N之C4-C10飽和或不飽和雜環或烷氧基[-O-R];R1、R2及R各自選自H、C1-C6直鏈或分支鏈、飽和或不飽和烴基,或矽烷基SiR'3,其中各R'獨立地選自H、選自Cl、Br或I之鹵素原子、C1-C4飽和或不飽和烴基、C1-C4飽和或不飽和烷氧基或胺基-NR3R4,其中各R3及R4選自H或C1-C6直鏈或分支鏈、飽和或不飽和烴基,其限制條件為若R1=H,則R2≠H或Me。製造所揭示之氮摻雜氧化矽膜的方法可包括一或多個以下態樣: ˙各引入步驟之間,用惰性氣體淨化反應器;˙經單取代之TSA前驅物,其中X為鹵素原子;˙經單取代之TSA前驅物為(SiH3)2N-SiH2-Cl;˙經單取代之TSA前驅物為(SiH3)2N-SiH2-Br;˙經單取代之TSA前驅物為(SiH3)2N-SiH2-I;˙經單取代之TSA前驅物,其中X為異氰酸酯-NCO(亦即為(SiH3)2N-SiH2-NCO);˙經單取代之TSA前驅物,其中X為胺基[-NR1R2];˙經單取代之TSA前驅物為(SiH3)2N-SiH2-NiPr2;˙經單取代之TSA前驅物為(SiH3)2N-SiH2-NHiPr;˙經單取代之TSA前驅物為(SiH3)2N-SiH2-NHtBu;˙經單取代之TSA前驅物不為(SiH3)2-N-SiH2-N(SiH3)(SiH2(NHEt))(亦即當X=NR1R2且R1為SiH3且R2為NHEt時);˙經單取代之TSA前驅物為(SiH3)2N-SiH2-NEt2;˙經單取代之TSA前驅物為(SiH3)2N-SiH2 NEtMe;˙經單取代之TSA前驅物為(SiH3)2N-SiH2 NMe2;˙經單取代之TSA前驅物為(SiH3)2N-SiH2 NMeiPr;˙經單取代之TSA前驅物為(SiH3)2N-SiH2 NEtiPr;˙經單取代之TSA前驅物,其中X為-N(SiR3)2,其中各R獨立地選自鹵素、H或C1-C4烷基;˙經單取代之TSA前驅物為(SiH3)2N-SiH2-N(SiCl3)2;˙經單取代之TSA前驅物為(SiH3)2N-SiH2-N(SiBr3)2; ˙經單取代之TSA前驅物為(SiH3)2N-SiH2-N(SiI3)2;˙經單取代之TSA前驅物為(SiH3)2N-SiH2-N(SiH3)2;˙經單取代之TSA前驅物為(SiH3)2-N-SiH2-N(SiH3)(SiH2Cl);˙經單取代之TSA前驅物為(SiH3)2-N-SiH2-N(SiH3)(SiH2(NEt2);˙經單取代之TSA前驅物為(SiH3)2-N-SiH2-N(SiH3)(SiH2(NiPr2);˙經單取代之TSA前驅物為(SiH3)2-N-SiH2-N(SiH3)(SiH2(NHtBu);˙經單取代之TSA前驅物為(SiH3)2-N-SiH2-N(SiH3)(SiH2OEt);˙經單取代之TSA前驅物為(SiH3)2-N-SiH2-N(SiH3)(SiH2OiPr);˙經單取代之TSA前驅物為(SiH3)2N-SiH2-N(SiMe3)2;˙經單取代之TSA前驅物為(SiH3)2N-SiH2-NH(SiMe3);˙經單取代之TSA前驅物為(SiH3)2N-SiH2-N(SiEt3)2;˙經單取代之TSA前驅物為(SiH3)2-N-SiH2-N(SiMe2Et)2;˙經單取代之TSA前驅物為(SiH3)2-N-SiH2-N(SiMe2iPr)2;˙經單取代之TSA前驅物為(SiH3)2-N-SiH2-N(SiMe2nPr)2;˙經單取代之TSA前驅物,其中X為含N之C4-C10雜環;˙經單取代之TSA前驅物,其中含N之C4-C10雜環係選自吡咯啶、吡咯及哌啶;˙經單取代之TSA前驅物為(SiH3)2N-SiH2-(吡咯啶);˙經單取代之TSA前驅物為(SiH3)2N-SiH2-(吡咯);˙經單取代之TSA前驅物為(SiH3)2N-SiH2-(哌啶);˙經單取代之TSA前驅物,其中X為烷氧基[-O-R];˙經單取代之TSA前驅物為(SiH3)2N-SiH2-(OH); ˙經單取代之TSA前驅物為(SiH3)2N-SiH2-(OMe);˙經單取代之TSA前驅物為(SiH3)2N-SiH2-(OEt);˙經單取代之TSA前驅物為(SiH3)2N-SiH2-(OiPr);˙經單取代之TSA前驅物為(SiH3)2N-SiH2-(OnPr);˙經單取代之TSA前驅物為(SiH3)2N-SiH2-(OtBu);˙經單取代之TSA前驅物,其中X為-O-SiR3且各R獨立地選自H、鹵素或C1-C4烴基;˙經單取代之TSA前驅物為(SiH3)2N-SiH2-(OSiH3);˙經單取代之TSA前驅物為(SiH3)2N-SiH2-(OSiCl3);˙經單取代之TSA前驅物為(SiH3)2N-SiH2-(OSiBr3);˙經單取代之TSA前驅物為(SiH3)2N-SiH2-(OSiI3);˙經單取代之TSA前驅物為(SiH3)2N-SiH2-(OSiMe3);˙反應物選自由以下組成之群:O2、O3、H2O、H2O2、NO、NO2、羧酸、醇、二醇、其自由基,及其組合;及˙反應物選自由以下組成之群:N2、H2、NH3、肼(諸如N2H4、MeHNNH2、MeHNNHMe)、有機胺(諸如NMeH2、NEtH2、NMe2H、NEt2H、NMe3、NEt3、(SiMe3)2NH)、吡唑啉、吡啶、二胺(諸如乙二胺)、其自由基物質,及其混合物。
記法及命名法
以下說明及申請專利範圍中使用某些縮寫、符號及術語,且其包括:如本文所用,不定冠詞「一(a/an)」意謂一或多個。
如本文所用,術語「約」或「大約」意謂所述值之±10%。
如本文所用,術語「獨立地(independently)」在描述R基團之上下文中使用時,應理解為表示目標R基團不僅相對於帶有相同或不同下標或上標之其他R基團獨立地選擇,而且相對於任何其他種類之相同R基團獨立地選擇。舉例而言,在式MR1x(NR2R3)(4-x)(其中x為2或3)中,兩個或三個R1基團可(但不一定)彼此相同或與R2或R3相同。另外,應理解,除非另外特定陳述,否則當在不同式中使用時,R基團之值彼此獨立。
如本文所用,術語「烷基(alkyl group)」係指專有地含有碳及氫原子之飽和官能基。另外,術語「烷基」係指直鏈、分支鏈或環狀烷基。直鏈烷基之實例包括(但不限於)甲基、乙基、正丙基、正丁基等。分支鏈烷基之實例包括(但不限於)異丙基、第三丁基。環狀烷基之實例包括(但不限於)環丙基、環戊基、環己基等。
如本文所用,術語「芳基(aryl)」係指芳環化合物,其中一個氫原子已自環中移除。如本文所用,術語「雜環」係指環狀化合物,其具有至少兩種不同元素之原子作為其環之成員。
如本文所用,縮寫「Me」係指甲基;縮寫「Et」係指乙基;縮寫「Pr」係指任何丙基(亦即,正丙基或異丙基);縮寫「iPr」係指異丙基;縮寫「Bu」係指任何丁基(正丁基、異丁基、第三丁基、第二丁基);縮寫「tBu」係指第三丁基;縮寫「sBu」係指第二丁基;縮寫「iBu」係指異丁基;縮寫「Ph」係指苯基;縮寫「Am」係指任何戊基(異戊基、第二戊基、第三戊基);縮寫「Cy」係指環烷基(環丁基、環戊基、環己基等);且縮寫「Ramd」係指R-N-C(Me)-N-R脒化物配位體,其中R為烷基(例如, iPramd為iPr-N-C(Me)-N-iPr)。
如本文所用,字首語「SRO」表示氧化鍶釕膜;字首語「HCDS」表示六氯二矽烷;字首語「PCDS」表示五氯二矽烷;字首語「OCTS」表示正辛基三甲氧基矽烷;字首語「TSA」表示三矽烷基胺或N(SiH3)3;字首語「DSA」表示二矽烷基胺或HN(SiH3)2;且字首語「PTFE」表示聚四氟乙烯。
如本文所用,字首語「LCD-TFT」表示液晶顯示器-薄膜電晶體;字首語「MIM」表示金屬-絕緣體-金屬;字首語「DRAM」表示動態隨機存取記憶體;字首語「FeRAM」表示鐵電體隨機存取記憶體;字首語「OLED」表示有機發光二極體;字首語「sccm」表示標準立方公分;且字首語「GCMS」表示氣相層析-質譜。
本文中使用元素週期表之元素的標準縮寫。應理解,可藉由此等縮寫來提及元素(例如Si指矽,N指氮,O指氧,C指碳等)。
請注意,所沉積之膜或層(諸如氧化矽)在整個說明書及申請專利範圍中是在不提及其適當化學計量學(亦即SiO2)的情況下列舉。該等層可包括純(Si)層、矽化物(MoSip)層、碳化物(SioCp)層、氮化物(SikNl)層、氧化物(SinOm)層或其混合物;其中M為元素且k、l、m、n、o及p的包括性範圍為1至6。舉例而言,矽化鈷為CokSil,其中k及l各自在0.5至5之範圍內。類似地,所提及之任何層亦可包括氧化矽層SinOm,其中n在0.5至1.5之範圍內且m在1.5至3.5之範圍內。氧化矽層更佳為SiO2或SiO3。氧化矽層可為基於氧化矽之介電材料,諸如基於有機物或基於氧化矽之低k介電材料,諸如Applied Materials公司之Black Diamond II或III材料。或者,所提及之任何含矽層可為純矽。任何含矽層亦可包括摻雜劑, 諸如B、C、P、As及/或Ge。
本文中所述的任何及所有範圍包括其端點(亦即,x=1至4包括x=1、x=4及x=其間之任何數目),不論是否使用術語「包括性」。
1‧‧‧形成含矽膜之組成物遞送裝置
10‧‧‧形成含矽膜之組成物
20‧‧‧容器
25‧‧‧視情況存在之加熱元件
30‧‧‧入口管
31‧‧‧入口管之端部
35‧‧‧閥
40‧‧‧出口管
41‧‧‧出口管之端部
45‧‧‧閥
50‧‧‧約9hPa之Picosun ALD R200沉積室
51‧‧‧3向氣動閥
為進一步理解本發明之性質及目標,應結合附圖參考以下【實施方式】,且其中:圖1為形成含矽膜之組成物遞送裝置1之一個具體實例之側視圖;圖2為形成含矽膜之組成物遞送裝置1之第二具體實例之側視圖;圖3為實施例4至6中執行沉積所用之Picosun R200 PEALD 8"沉積工具的圖;圖4為氧化矽膜之ALD生長速率與前驅物脈衝次數的關係圖(使用前驅物(SiH3)2N-SiH2-NiPr2(TSA-NiPr2))。
圖5為氧化矽薄膜之ALD生長速率與溫度的關係圖(使用前驅物TSA-NiPr2);圖6為氧化矽膜之ALD生長速率與前驅物脈衝次數及溫度的關係圖(使用前驅物(SiH3)2N-SiH2-N(SiH3)2);圖7為氧化矽膜之ALD生長速率與基板溫度的關係圖(使用前驅物(SiH3)2N-SiH2-Cl(TSA-Cl));圖8為X射線光電子光譜(XPS)圖,其顯示使用TSA-Cl及NH3以及1:20之前驅物與NH3比率、在1托(torr)、550℃下、藉由熱低蒸氣壓CVD所沉積之膜的膜組成。Cl低於分析儀之偵測極限(<約1%)。沉積速率為10埃(Ang)/分鐘(min)且所得膜的折射率為2.1。為了比較,相同條件下使用TSA 所得的膜具有2.2之RI(富矽)及類似沉積速率;圖9a圖9b為顯示分別藉由熱篩選單元所得之TSA-NiPr2及TSA-NEt2[(H3Si)2-N-SiH2-NEt2]之熱穩定性的圖。在此類量測中,在24小時期間連續量測密封樣品在設定溫度(在此為65℃)下的壓力。缺乏顯著壓力變化表明產物穩定性。所觀測之P振盪在此並不顯著且與微小的T變異體相關;及圖10a圖10b為掃描電子顯微鏡(SEM)圖片,其顯示使用TSA-NiPr2/O2電漿、在60個循環/分鐘、100℃下藉由空間ALD所得的0.135μm膜,表明22:1高縱橫比結構中存在完美的步階覆蓋。添加透明的Ta2O5下伏層用於對比增強。對溝槽結構之頂部及底部拍得圖片。
揭示形成含矽膜之組成物,其包含具有Si-C鍵自由主鏈及能夠達成高表面反應性的單一化學官能化位點之經單取代之TSA前驅物。矽原子數目高於1且較佳高於2、無直接Si-C鍵且為極性分子的經單取代之TSA前驅物可對基板表面具有增強的反應性以能夠達成快速沉積速率。經單取代之TSA前驅物具有通式:(SiH3)2N-SiH2-X
其中X係選自自Cl、Br或I選擇的鹵素原子;異氰酸酯基[-NCO];胺基[-NR1R2];含N之C4-C10飽和或不飽和雜環;或烷氧基-O-R;各R1、R2及R選自H;矽烷基(SiR'3);或C1-C6直鏈或分支鏈、飽和或不飽和烴基;其中各R'獨立地選自H;選自Cl、Br或I的鹵素原子;C1-C4飽和或不飽和烴基;C1-C4飽和或不飽和烷氧基;或胺基[-NR3R4],其中各R3及R4獨立地選自H或C1-C6直鏈或分支鏈、飽和或不飽和烴基;其限制條件為若R1=H, 則R2≠H、Me或Et。C1-C6直鏈或分支鏈、飽和或不飽和烴基可含有胺或醚。或者,R1及R2可獨立地選自Me、Et、iPr、nPr、tBu、nBu及secBu。
申請人咸信形成含矽膜之所揭示組成物尤其適合於流式CVD方法,原因為經單取代之TSA前驅物含有很少的碳至無碳。另外,經單取代之TSA前驅物具有低於TSA的蒸氣壓且因此可更容易濃縮且提供更高沉積速率。最後,經單取代之TSA結構近似於在流式製程期間形成之寡聚物。
所揭示之經單取代之TSA前驅物含有兩或三個直接鍵結至各矽原子的氫原子。此等Si-H鍵有助於提高前驅物揮發性,此對於氣相沉積方法而言具有重要作用。
當X為鹵化物時,例示性形成含矽膜之組成物包括(SiH3)2-N-SiH2Cl、(SiH3)2-N-SiH2Br或(SiH3)2-N-SiH2I。已知鹵化物具有高反應性。申請人咸信,相較於非鹵化類似物,所揭示之經單取代之TSA前驅物上的鹵化物可有助於改良揮發性及沉積速率。此等組成物可根據如下反應合成:SnX4+N(SiH3)3→N(SiH3)2(SiH2X)+SnX2↓+HX,其中X為Cl、Br或I(參見J.Chem.Soc.Dalton Trans.1975,p.1624)。或者,二鹵基矽烷[SiH2X2,其中X為Cl、Br或I]及單鹵基矽烷[SiH3X,其中X為Cl、Br或I]可在室溫下、以1/20至¼比率、與400sccm之NH3一起以氣相連續引入如Miller之美國專利第US 8,669,387號所述的流過式管式反應器中。NH3與2當量之單鹵基矽烷發生反應,主要產生二矽烷基胺(DSA)。DSA接著與二鹵基矽烷發生反應以形成(SiH3)2-N-SiH2X及HX,其中X為Cl、Br或I。熟習此項技術者將認識到,反應可以一或兩個步驟發生(首先自單鹵基矽烷及NH3形成 DSA且其次添加二鹵基矽烷)或以一個步驟發生(在一個步驟中將單鹵基矽烷、二氯矽烷及NH3合併)。
當X時為異氰酸酯基[-NCO]時,例示性形成含矽膜的組成物包括(SiH3)2-N-SiH2(NCO)。此組成物可使用脫氫偶合反應、根據以下文獻中所揭示的方法合成:Taniguchi等人,Angewandte Communications,Angew.Chem.Int.Ed.2013,52,1-5,該文獻之教示內容以引用的方式併入本文中。更特定言之,(SiH3)3N可與尿素(NH2CONH2)在負載於氧化鋁上之金奈米顆粒存在下反應而形成(SiH3)2-N-SiH2(NCO)+H2
當X為胺基[-NR1R2]時,例示性形成含矽膜的組成物包括(SiH3)2-N-SiH2(NMe2)、(SiH3)2-N-SiH2(NMeEt)、(SiH3)2-N-SiH2(NEt2)、(SiH3)2-N-SiH2(NiPr2)、(SiH3)2-N-SiH2(NHiPr)、(SiH3)2-N-SiH2(NMeiPr)、(SiH3)2-N-SiH2(NEtiPr)、(SiH3)2-N-SiH2(NHtBu)、(SiH3)2-N-SiH2[N(SiH3)2]、(SiH3)2-N-SiH2[N(SiH3)(SiH2Cl)]、(SiH3)2-N-SiH2[N(SiH3)(SiH2(NEt2))]、(SiH3)2-N-SiH2[N(SiH3)(SiH2(NiPr2))]、(SiH3)2-N-SiH2[N(SiH3)(SiH2(NHtBu))]、(SiH3)2-N-SiH2[N(SiH3)(SiH2OEt)]、(SiH3)2-N-SiH2[N(SiH3)(SiH2OiPr)]、(SiH3)2-N-SiH2[N(SiMe3)2]、(SiH3)2-N-SiH2[NH(SiMe3)]、(SiH3)2-N-SiH2[N(SiEt3)2)、(SiH3)2-N-SiH2[N(SiMe2Et)2)、(SiH3)2-N-SiH2[N(SiMe2iPr)2)、(SiH3)2-N-SiH2[N(tBu)(SiH3))、(SiH3)2-N-SiH2[N(SiMe2nPr)2)、(SiH3)2N-SiH2 NEtMe、(SiH3)2N-SiH2 NMe2、(SiH3)2N-SiH2 NMeiPr或(SiH3)2N-SiH2 NetiPr。胺基亦可有助於提高熱穩定性。胺基亦可有助於將N及C原子併入所得膜中,此可使得所得層更耐受任何隨後的蝕刻製程。
當R1與R2形式環狀含氮雜環時,申請人咸信所得雜環形成 可容易自經單取代之TSA前驅物分離的離去基,從而使得所得膜的碳污染小於非環狀二烷基胺基。
熟習此項技術者將認識到,Si-H鍵所提供的揮發性與胺基所提供的熱穩定性達成平衡。申請人咸信,至少(H3Si)2-N-SiH2-NEt2、(H3Si)2-N-SiH2-SiH2-NiPr2及(H3Si)2-N-SiH2-N(SiH3)2成功地使彼等競爭特徵達成平衡以產生例外的氣相沉積前驅物。如以下實施例中所示,所得含矽膜之品質相對於先前技術中所揭示之彼等物發生改良。
經胺基取代之形成含矽膜的組成物可類似於上文揭示之經鹵基取代之形成含矽膜的組成物合成。更特定言之,200sccm單鹵基矽烷及50sccm二鹵基矽烷可在室溫下與400sccm NH3一起以氣相連續引入如US 8,669,387中所述的流過式管式反應器中,形成由各種矽烷基胺及鹵化銨組成的物流,可藉由一般熟習此項技術者容易獲得的方法(諸如分餾方法)自其中分離出(SiH3)2-N-SiH2[N(SiH3)2]。
更特定言之,(SiH3)2-N-SiH2[N(SiMe3)2]可由SiMe3-NH-SiMe3與tBuLi-->(Me3Si)2NLi之反應及(Me3Si)2NLi與(SiH3)2-N-SiH2-Cl-->(SiH3)2-N-SiH2-N(SiMe3)2+LiCl)之反應合成。
類似地,(SiH3)2-N-SiH2-NH(SiMe3)可由SiMe3-NH-SiMe3+(SiH3)2-N-SiH2-Cl-->(SiH3)2-N-SiH2-NH-SiMe3+Me3SiCl之反應合成。
(SiH3)2-N-SiH2-N(SiH3)(SiH2X)可由(SiH3)2-N-SiH2-N(SiH3)2與SnX3之反應合成,其中X為Cl、Br或I(參見J.Chem.Soc.Dalton Trans.1975,第1624頁)。(SiH3)2-N-SiH2-N(SiH3)2之進一步取代可藉由延長反應時間及/或調節化學計算量來達成。
(SiH3)2-N-SiH2-N(SiH3)(SiH2(NEt2))可由(SiH3)2-N-SiH2-N(SiH3)(SiH2X)與HNEt2之反應合成。(SiH3)2-N-SiH2-N(SiH3)(SiH2(NEt2))之進一步取代可藉由延長反應時間及/或調節化學計算量來達成。
(SiH3)2-N-SiH2-N(SiH3)(SiH2(NiPr2))可由(SiH3)2-N-SiH2-N(SiH3)(SiH2X)與HNiPr2之反應合成。(SiH3)2-N-SiH2-N(SiH3)(SiH2(NiPr2))之進一步取代可藉由延長反應時間及/或調節化學計算量來達成。
(SiH3)2-N-SiH2-N(SiH3)(SiH2(NHtBu))可由(SiH3)2-N-SiH2-N(SiH3)(SiH2X)與H2NtBu之反應合成。請注意,使用H2NEt的類似反應可產生低產量的(SiH3)2-N-SiH2-N(SiH3)(SiH2(NHEt))。
(SiH3)2-N-SiH2-N(SiH3)(SiH2(OEt))可由(SiH3)2-N-SiH2-N(SiH3)(SiH2X)與乙醇(EtOH)在HCl清除劑(如NEt3或吡啶)存在下的反應合成。
(SiH3)2-N-SiH2-N(SiH3)(SiH2(OiPr))可由(SiH3)2-N-SiH2-N(SiH3)(SiH2X)與異丙醇(iPrOH)在HCl清除劑(如NEt3或吡啶)存在下的反應合成。
當X為含N之C4-C10飽和或不飽和雜環時,例示性形成含矽膜之組成物包括(SiH3)2-N-SiH2-吡咯啶、(SiH3)2-N-SiH2-吡咯或(SiH3)2-N-SiH2-哌啶。或者,含N之C4-C10飽和或不飽和雜環亦可含有雜元素,諸如P、B、As、Ge及/或Si。
當X為烷氧基時,例示性形成含矽膜之組成物包括 (SiH3)2-N-SiH2(OEt)、(SiH3)2-N-SiH2(OiPr)、(SiH3)2N-SiH2-OSiMe3、(SiH3)2-N-SiH2-OSiMe2OEt或(SiH3)2-N-SiH2-OSiHMe2
N(SiH3)2(SiH2OEt)亦可由(SiH3)2-N-SiH2Cl與EtOH在酸清除劑(諸如Et3N或吡啶)存在下合成。
N(SiH3)3+EtOH→N(SiH3)2(SiH2OEt)。
較佳地,所揭示之形成含矽膜之組成物具有適於氣相沉積方法的特性,諸如高蒸氣壓、低熔點(較佳在室溫下呈液體形式)、低昇華點及/或高熱穩定性。
為了確保方法可靠性,所揭示之形成含矽膜之組成物在使用之前可藉由連續或分批式分餾法純化至範圍為約95% w/w至約100% w/w,較佳範圍為98% w/w至約100% w/w之純度。熟習此項技術者將認識到純度可藉由H NMR或氣相或液相層析聯合質譜分析測定。形成含矽膜的組成物可含有任一種以下雜質:鹵化物(X2)、三矽烷基胺、單鹵基三矽烷基胺、二鹵基三矽烷基胺、SiH4、SiH3X、SnX2、SnX4、HX、NH3、NH3X、單氯矽烷、二氯矽烷、醇、烷基胺、二烷基胺、烷基亞胺、THF、乙醚、戊烷、環己烷、庚烷或甲苯,其中X為Cl、Br或I。此等雜質之總量較佳低於0.1% w/w。經純化之組成物可藉由再結晶、昇華、蒸餾及/或傳遞氣體或液體通過適合吸附劑(諸如4A分子篩或基於碳之吸附劑(例如活性碳))來製得。
各溶劑(諸如THF、乙醚、戊烷、環己烷、庚烷及/或甲苯)在經純化之含有經單取代之TSA前驅物的組成物中的濃度可在約0% w/w至約5% w/w範圍內,較佳在約0% w/w至約0.1% w/w範圍內。溶劑可用於前驅物組成物的合成。若兩者具有類似沸點,則可能難以自前驅物組成物 中分離出溶劑。冷卻混合物可在液體溶劑中產生可藉由過濾分離的固體前驅物。亦可使用真空蒸餾,限制條件為該前驅物組成物不加熱至高於其大約分解點。
所揭示之形成含矽膜的組成物含有小於5% v/v、較佳小於1% v/v、更佳小於0.1% v/v且甚至更佳小於0.01% v/v之其單取代類似物、雙取代類似物或參取代類似物或其他反應產物中的任一者。此具體實例可提供較佳的方法可重複性。此具體實例可藉由蒸餾形成含矽膜之組成物來產生。
純化所揭示之形成含矽膜之組成物亦可使得痕量金屬及類金屬之濃度在約0ppbw至約500ppbw範圍內,且更佳在約0ppbw至約100ppbw範圍內。此等金屬或非金屬雜質包含(但不限於)鋁(Al)、砷(As)、鋇(Ba)、鈹(Be)、鉍(Bi)、鎘(Cd)、鈣(Ca)、鉻(Cr)、鈷(Co)、銅(Cu)、鎵(Ga)、鍺(Ge)、鉿(Hf)、鋯(Zr)、銦(In)、鐵(Fe)、鉛(Pb)、鋰(Li)、鎂(Mg)、錳(Mn)、鎢(W)、鎳(Ni)、鉀(K)、鈉(Na)、鍶(Sr)、釷(Th)、錫(Sn)、鈦(Ti)、鈾(U)、釩(V)及鋅(Zn)。經純化之含有經單取代之TSA前驅物的組成物中之X(其中X=Cl、Br、I)的濃度可在約0ppmw至約100ppmw範圍內且更佳在約0ppmw至約10ppmw範圍內。
所揭示之形成含矽膜之組成物可藉由所揭示之形成含矽膜之組成物遞送裝置遞送至半導體加工工具中。圖1及圖2展示所揭示之遞送裝置1之兩個具體實例。
圖1為形成含矽膜之組成物遞送裝置1之一個具體實例之側 視圖。在圖1中,所揭示之形成含矽膜之組成物10包含於具有兩根管(入口管30及出口管40)之容器20內。熟悉前驅物技術者將認識到,容器20、入口管30及出口管40經製造可防止形成含矽膜之組成物10之氣態形式逸出,即使在高溫及高壓下。
適合之閥包括彈簧負載型或連接型隔膜閥。閥可進一步包含限流孔(restrictive flow orifice;RFO)。遞送裝置應連接至氣體歧管且處於罩殼中。氣體歧管應允許當置換遞送裝置時可能暴露於空氣之管道被安全抽真空及淨化,使得任何殘餘量的發火性材料不發生反應。罩殼應裝備有感測器且火控能力以在釋放發火性材料(諸如SiH4)的情況下控制火。氣體歧管亦應裝備有分離閥、真空產生器且允許引入最少之淨化氣體。
遞送裝置必須防洩漏且裝備有不允許即使微小量之物質逸出之閥。遞送裝置經由閥3545流體連通至半導體加工工具之其他組件,諸如上文所揭示之氣櫃。較佳地,遞送裝置20、入口管30、閥35、出口管40及閥45由316L EP或304不鏽鋼製成。然而,熟習此項技術者將認識到,本文教示內容中亦可使用其他非反應性材料,且形成含矽膜之任何腐蝕性組成物10可能需要使用更耐腐蝕的材料,諸如赫史特合金(Hastelloy)或英高鎳(Inconel)。
圖1中,入口管30之端部31位於形成含矽膜之組成物10之表面上,而出口管40之端部41位於形成含矽膜之組成物10之表面下。在此實施例中,形成含矽膜的組成物10較佳呈液體形式。惰性氣體,包含(但不限於)氮氣、氬氣、氦氣及其混合物,可引入入口管30中。惰性氣體使遞送裝置20加壓以迫使形成含矽膜之液體組成物10通過出口管40且 進入半導體加工工具之組件(未顯示)中。半導體加工工具可包括氣化器,其使用或不使用載氣(諸如氦氣、氬氣、氮氣或其混合物)將形成含矽膜之液體組成物10轉變成蒸氣,以便將蒸氣遞送至其中定位有待修復晶圓且處理以氣相發生之腔室中。或者,形成含矽膜的液體組成物10可以噴射流或氣溶膠形式直接遞送至晶圓表面上。
圖2為形成含矽膜之組成物遞送裝置1之第二具體實例之側視圖。在圖2中,入口管30之端部31位於形成含矽膜之組成物10之表面下,而出口管40之端部41位於形成含矽膜之組成物10之表面上。圖2亦包括視情況存在之加熱元件25,其可提高形成含矽膜之組成物10之溫度。形成含矽膜之組成物10可呈固體或液體形式。惰性氣體,包括(但不限於)氮氣、氬氣、氦氣及其混合物,可引入入口管30中。惰性氣體流經形成含矽膜之組成物10且將惰性氣體與形成含矽膜之氣化組成物10的混合物攜載至出口管40及半導體加工工具中之組件。
圖1圖2包括閥3545。熟習此項技術者將認識到,閥3545可置於打開或閉合位置以允許分別經由管3040流動。若形成含矽膜的組成物10呈氣相形式或若固相/液相之上存在足夠蒸氣壓,則可使用圖1圖2中之遞送裝置1,或具有端接於所存在之任何固體或液體表面上之單一管道的較簡單遞送裝置。在此情況下,藉由分別打開圖1中之閥35或圖2中之閥45,使形成含矽膜的組成物10以氣相形式、經由管3040遞送。遞送裝置1可維持於適合溫度以向待以氣相形式遞送之形成含矽膜之組成物10提供足夠蒸氣壓,例如藉由使用視情況存在之加熱元件25來維持。
儘管圖1圖2揭示形成含矽膜之組成物遞送裝置1的兩個具體實例,但熟習此項技術者將認識到,入口管30與出口管40可皆位於形成含矽膜之組成物10之表面上或表面下而不背離本文中之揭示內容。此外,入口管30可為填充口。最後,熟習此項技術者將認識到,可使用其他遞送裝置(諸如Jurcik等人之WO 2006/059187中所揭示之安瓿)將所揭示之形成含矽膜之組成物遞送至半導體加工工具中而不背離本文中之教示內容。
所揭示之形成含矽膜之組成物可適於藉由各種ALD或CVD方法進行含矽膜之沉積且可具有以下優點:˙在室溫下為液體或具有低於50℃之熔點;˙熱穩定性,以能夠達成適當分佈(氣相或直接液體注射)而不產生顆粒;及/或˙相對於基板的適合反應性,以允許存在較廣的自限制ALD窗,從而允許沉積多種含矽膜。
含有氮化矽及氧化矽的膜(稱為SiOxNy)可藉由CVD或ALD、使用選自包含以下之群之反應物之一或組合來沉積:N2、H2、NH3、O2、H2O、H2O2、O3、NO、NO2、N2O、羧酸、醇、二醇、肼(諸如N2H4、MeHNNH2、MeHNNHMe)、有機胺(諸如NMeH2、NEtH2、NMe2H、NEt2H、NMe3、NEt3、(SiMe3)2NH)、吡唑啉、吡啶、二胺(諸如乙二胺),其組合,及其電漿產物。
三元或四元膜可使用形成含矽膜之組成物(其中一或若干種其他前驅物含有選自As、B、P、Ga、Ge、Sn、Sb、Al、In的元素或過渡金 屬前驅物)及可能存在之一或多種上列反應物沉積。可連同所揭示之形成含矽膜之組成物一起使用的典型前驅物係選自以下家族:˙金屬鹵化物(例如TiCl4、TiI4、TaCl5、HfCl4、ZrCl4、AlCl3、NbF5等);˙烷化物(Al、Ge、Ga、In、Sb、Sn、Zn),諸如三甲基鋁、二乙基鋅、三乙基鎵;˙氫化物(GeH4、鋁烷等);˙烷基醯胺(第IV及V族過渡金屬之金屬);˙醯亞胺基(第V及VI族之金屬);˙烷氧化物(第IV、V族之金屬);˙環戊二烯基物(Ru、Co、Fe、第IV族過渡金屬、鑭系元素等);˙羰基化物(例如Ru、Co、Fe、Ni);˙脒化物及脈化物(例如:Co、Mn、Ni、Cu、Sc等);˙β-二酮(例如Sc、Cu、鑭系元素);˙β-二酮亞胺(Cu、Ni、Co等);˙雙-三烷基矽烷基醯胺(Ni、Co、Fe等);˙側氧基(oxo group)(RuO4、WOCl4、PO(OEt)3、AsO(OEt)3等);˙或具有上述配位體之組合的異配位分子。
所揭示之形成含矽膜之組成物亦可連同另一種矽源使用,諸如鹵代矽烷(可選自SiH3Cl、SiH2Cl2、SiHCl3、SiCl4、SiBr4、SiI4、SiHI3、SiH2I2、SiH3I、SiF4)、聚矽烷SiHxH2x+2或環狀聚矽烷SiHxH2x、鹵基聚矽烷(SixCl2x+2、SixHyCl2x+2-y,諸如HCDS、OCTS、PCDS、單氯二矽烷(MCDS或Si2H5Cl)、二氯二矽烷(DCDS或Si2H4Cl2)),或具有Si-(CH2)n-Si主鏈(其中n=1或2) 的碳矽烷。
亦揭示使用所揭示之形成含矽膜之組成物執行氣相沉積法(包括各種CVD及ALD方法)的方法。所揭示之方法提供使用所揭示之形成含矽膜之組成物沉積含矽膜,較佳為氮化矽(SiN)膜、氧化矽(SiO)膜及氮摻雜氧化矽膜。所揭示之方法可適用於製造半導體、光伏打材料、LCD-TFT、平板型裝置、耐火材料或航空材料。
用於在基板上形成含矽層的所揭示方法包括:將基板置放於反應器中,將包括形成含矽膜之組成物的蒸氣遞送至反應器中,及使蒸氣與基板接觸(且典型地將蒸氣導向基板)以在基板表面上形成含矽層。或者,將基板移至含有前驅物蒸氣的腔室中(空間ALD)且接著移至含有反應物的另一個區域中。暴露於前驅物與反應物之間可進行其他物理處理步驟,諸如急驟退火、UV固化等。
方法可包括使用氣相沉積法在基板上形成含雙金屬層且更特定而言,沉積SiMOx膜,其中x為4且M為Ti、Hf、Zr、Ta、Nb、V、Al、Sr、Y、Ba、Ca、As、B、P、Sb、Bi、Sn、鑭系元素(諸如Er)或其組合。所揭示方法可適用於製造半導體、光伏打材料、LCD-TFT或平板型裝置。反應器中亦可引入氧源,諸如O3、O2、H2O、NO、H2O2、乙酸、福馬林(formalin)、對甲醛、乙醇、二醇、其氧自由基,及其組合,但較佳為O3或經電漿處理之O2
可使用熟習此項技術者已知的任何沉積法、使用所揭示之形成含矽膜之組成物沉積含矽膜。適合沉積方法之實例包括化學氣相沉積(CVD)或原子層沉積(ALD)。例示性CVD方法包括熱CVD、脈衝CVD (PCVD)、低壓CVD(LPCVD)、次大氣壓CVD(SACVD)或大氣壓CVD(APCVD)、熱絲CVD(HWCVD,亦稱為cat-CVD,其中熱絲充當沉積法之能量來源)、自由基併入型CVD、電漿增強型CVD(PECVD)(包括(但不限於)流式CVD(FCVD)),及其組合。例示性ALD方法包括熱ALD、電漿增強型ALD(PEALD)、空間分離型ALD、熱絲ALD(HWALD)、自由基併入型ALD及其組合。亦可使用超臨界流體沉積。沉積方法較佳為FCVD、ALD、PE-ALD或空間ALD,以便提供適合的步階覆蓋及膜厚度控制。
藉由習知手段(諸如管道及/或流量計)將形成含矽膜之組成物以氣相形式遞送至反應器中。可經由習知氣化步驟(諸如直接氣化、蒸餾、鼓泡)、藉由使純淨的或摻合的組成物溶液氣化來製得呈氣相形式的組成物。組成物可以液態饋入氣化器中,其中使其氣化,隨後將其引入反應器中。氣化之前,可視情況將組成物與一或多種溶劑混合。溶劑可選自由以下組成之群:甲苯、乙苯、二甲苯、均三甲苯、癸烷、十二烷、辛烷、己烷、戊烷或其他。所得濃度可在約0.05M至約2M範圍內。
或者,形成含矽膜的組成物可藉由將載氣傳遞至含有前驅物之容器中或藉由使載氣在前驅物中鼓泡來氣化。組成物可視情況與一或多種溶劑混合於容器中。溶劑可選自由以下組成之群:甲苯、乙苯、二甲苯、均三甲苯、癸烷、十二烷、辛烷、己烷、戊烷或其他。所得濃度可在約0.05M至約2M範圍內。載氣可包括(但不限於)Ar、He或N2及其混合物。用載氣鼓泡亦可移除存在於純淨或所摻合組成物中之任何溶解氧。載氣及組成物接著以氣相形式引入反應器中。
必要時,容器可加熱至允許形成含矽膜之組成物呈液相且具有足夠蒸氣壓之溫度。容器可維持在例如0℃至150℃範圍內之溫度。熟習此項技術者認識到可以已知方式調節容器之溫度以控制組成物之氣化量。典型地調節溫度以達成0.1托至100托、較佳約1托至20托之蒸氣壓。
產生形成含矽膜之組成物之蒸氣,接著引入含有基板的反應室中。反應室內之溫度及壓力以及基板之溫度保持在適於將經單取代之TSA前驅物之至少一部分氣相沉積於基板上之條件下。換而言之,在將氣化組成物引入反應室中之後,調節反應室內的條件,使得氣化前驅物的至少一部分沉積於基板上以形成含矽層。熟習此項技術者將認識到,「使氣化化合物的至少一部分沉積」意謂一些或全部化合物與基板反應或黏附至基板。本文中,亦可使用有助於形成含矽層的反應物。此外,含矽層可藉由UV及電子束固化。
反應室可為進行沉積法之裝置的任何密閉室或腔室,諸如(但不限於)平行板型反應器、冷壁型反應器、熱壁型反應器、單晶圓反應器、多晶圓反應器或其他此類類型之沉積系統。所有此等例示性反應室均能夠充當ALD或CVD反應室。對於所有ALD及次大氣壓CVD而言,反應室可維持在約0.5毫托至約20托範圍內之壓力下。次大氣壓CVD及大氣壓CVD壓力的範圍可達760托(大氣壓)。此外,反應室內之溫度可在約0℃至約800℃範圍內。熟習此項技術者應認識到,溫度可僅經由實驗來達成最佳化以獲得所要結果。
可藉由控制基板固持器之溫度或控制反應器壁之溫度來控制反應器溫度。用於加熱基板之裝置在此項技術中已知。將反應器壁加熱 至足以在足夠生長速率下獲得具有所要物理狀態及組成之所需膜的溫度。反應器壁可保持之非限制性例示性溫度範圍為約20℃至約800℃。使用電漿沉積法時,沉積溫度可在約0℃至約550℃範圍內。或者,執行熱方法時,沉積溫度可在約200℃至約800℃範圍內。
或者,基板可加熱至足以在足夠生長速率下獲得具有所要物理狀態及組成之所要含矽膜的溫度。加熱基板可達成的非限制性例示性溫度範圍包括50℃至600℃。較佳地,基板溫度保持低於或等於500℃。
或者,可在設定為低於前驅物之自分解點之基板溫度下執行ALD方法。熟習此項技術者會認知如何確定前驅物之自分解溫度。
反應器含有一或多個上面沉積有膜之基板。基板一般定義為在其上執行方法之材料。基板可為任何適用於製造半導體、光伏打材料、平板或LCD-TFT裝置的基板。適合基板之實例包括晶圓,諸如矽、二氧化矽、玻璃、塑膠或GaAs晶圓。晶圓上可具有根據先前製造步驟沉積之一或多個不同材料層。舉例而言,晶圓可包括矽層(晶體、非晶型、多孔等)、氧化矽層、氮化矽層、氧氮化矽層、經碳摻雜之氧化矽(SiCOH)層、光阻層、抗反射層,或其組合。另外,晶圓可包括銅層或貴金屬層(例如鉑、鈀、銠或金)。基板可包括在MIM、DRAM、STT RAM、PC-RAM或FeRam技術中用作介電材料的氧化物(例如基於ZrO2之材料、基於HfO2之材料、基於TiO2之材料、基於稀土氧化物之材料、基於三元氧化物之材料,諸如氧化鍶釕(strontium ruthenium oxide;SRO)等)或用作銅與低k層之間之氧障壁的基於氮化物之膜(例如TaN)。晶圓可包括障壁層,諸如錳、氧化錳等。亦可使用塑膠層,諸如聚(3,4-伸乙二氧基噻吩)聚(苯乙烯磺酸酯) (PEDOT:PSS)。層可經平坦化或圖案化。在一些實施例中,層可為由氫化碳(例如CHx,其中x大於零)製成之圖案化光阻膜。所揭示之方法可直接在晶圓上沉積含矽層或在晶圓頂上直接沉積一或多個層上(圖案化層形成基板時)。此外,熟習此項技術者將認識到,本文所用之術語「膜(film)」或「層(layer)」係指在表面上所鋪或展佈之一些材料的厚度且該表面可為溝槽或線。在通篇說明書及申請專利範圍中,晶圓及其上之任何相關層被稱為基板。儘管在許多情況下,所用較佳基板可選自銅、氧化矽、光阻、氫化碳、TiN、SRO、Ru及Si類型基板,諸如多晶矽或晶體矽基板。舉例而言,氮化矽膜可沉積於矽層上。隨後加工時,可在氮化矽層上交替沉積氧化矽層及氮化矽層,從而形成3D NAND閘極中所用之多個SiO2/SiN層之堆疊。此外,基板可經圖案化或未圖案化有機或無機膜塗佈。
除所揭示之形成含矽膜之組成物之外,亦可將反應物引入反應器中。反應物可為氧化劑,諸如O2、O3、H2O、H2O2之一;含氧自由基,諸如O.或OH.、NO、NO2;羧酸,諸如甲酸、乙酸、丙酸;NO、NO2或羧酸之自由基物質;多聚甲醛(paraformaldehyde);及其混合物。較佳地,氧化劑選自由以下組成之群:O2、O3、H2O、H2O2、其含氧自由基(諸如O.或OH.)及其混合物。較佳地,當執行ALD法時,共反應物為經電漿處理之氧、臭氧或其組合。使用氧化劑時,所得含矽膜亦將含有氧。
或者,反應物可為含氮反應物,諸如以下之一:N2、NH3、肼(例如N2H4、MeHNNH2、MeHNNHMe)、有機胺(例如N(CH3)H2、N(C2H5)H2、N(CH3)2H、N(C2H5)2H、N(CH3)3、N(C2H5)3、(SiMe3)2NH)、吡唑啉、吡啶、二胺(諸如乙二胺))、其自由基,或其混合物。使用含N源製劑時,所得含 矽膜亦將含有氮。
使用還原劑(諸如H2、H自由基以及其他含氫氣體及前驅物,諸如金屬氫化物及類金屬氫化物)時,所得含矽膜可為純矽。
反應物可藉由電漿處理,以便使反應物分解成其自由基形式。用電漿處理時,亦可使用N2。舉例而言,可產生功率範圍為約50W至約2000W、較佳約100W至約500W的電漿。電漿可產生或存在於反應器本身內。或者,電漿一般可位於自反應器中移除之位置,例如處於遠程定位的電漿系統中。熟習此項技術者將認知適於此類電漿處理之方法及設備。
形成含矽膜的組成物亦可結合鹵基矽烷或聚鹵基矽烷(諸如六氯二矽烷、五氯二矽烷或四氯二矽烷)及一或多種反應物使用以形成Si、SiCN或SiCOH膜。PCT公開案第WO2011/123792號揭示SiN層(非矽層或SiCOH層),且該案之完整內容全部併入本文中。
所要含矽膜亦含有另一種元素(諸如且不限於Ti、Hf、Zr、Ta、Nb、V、Al、Sr、Y、Ba、Ca、As、B、P、Sb、Bi、Sn、Ge、鑭系元素(諸如Er)或其組合)時,另一種前驅物可包括選自(但不限於)以下之含金屬前驅物:˙金屬鹵化物(例如TiCl4、TiI4、TaCl5、HfCl4、ZrCl4、AlCl3、NbF5等);˙烷化物(Al、Ge、Ga、In、Sb、Sn、Zn),諸如三甲基鋁、二乙基鋅、三乙基鎵;˙氫化物(GeH4、鋁烷等);˙烷基醯胺(第IV及V族過渡金屬之金屬);˙醯亞胺基(第V及VI族之金屬); ˙烷氧化物(第IV、V族之金屬);˙環戊二烯基物(Ru、Co、Fe、第IV族過渡金屬、鑭系元素等);˙羰基化物(例如Ru、Co、Fe、Ni);˙脒化物及脈化物(例如:Co、Mn、Ni、Cu、Sc等);˙β-二酮(例如:Sc、Cu、鑭系元素);˙β-二酮亞胺(Cu、Ni、Co等);˙雙-三烷基矽烷基醯胺(Ni、Co、Fe等);˙側氧基(oxo group)(RuO4、WOCl4、PO(OEt)3、AsO(OEt)3等);˙具有一組選自上述家族之混合配位體的異配位分子。
形成含矽膜之組成物及一或多種反應物可同時(例如CVD)、依序(例如ALD)或以其他組合引入反應室中。舉例而言,形成含矽膜之組成物可以一個脈衝引入且兩種其他金屬源可以各別脈衝一起引入(例如經修改之ALD)。或者,反應室在引入形成含矽膜之組成物之前可已含有反應物。可傳遞反應物通過定位於反應室中之電漿系統或遠離反應室之電漿系統,且分解成自由基。或者,形成含矽膜的組成物可連續引入反應室中,同時藉由脈衝(例如脈衝CVD)引入其他金屬源。在各實例中,可在脈衝之後進行淨化或抽真空步驟以移除所引入之過量組分。在各實例中,脈衝可持續約0.01s至約20s,或者約0.3s至約3s,或者約0.5s至約2s範圍內之時間。在另一替代方案中,形成含矽膜的組成物及一或多種反應物可自簇射頭同時噴塗,在固持若干個晶圓之基座依據其進行旋轉(例如空間ALD)。
在一個非限制性例示性ALD型方法中,將呈氣相的形成含 矽膜之組成物引入反應室中,在反應室中使其與適合基板接觸且在基板上形成含矽層。接著可藉由對反應室進行淨化及/或抽真空而自反應室中移除過量的組成物。將氧源引入反應室中,在該反應室中其與含矽層以自限制方式反應。藉由對反應室進行淨化及/或抽真空而自反應室移除任何過量氧源。若所要膜為氧化矽膜,則此兩步方法可提供所要膜厚度或可重複進行直至已獲得具有必需厚度之膜。
或者,若所要膜為矽金屬氧化物膜(亦即SiMOx,其中x可為4且M為Ti、Hf、Zr、Ta、Nb、V、Al、Sr、Y、Ba、Ca、As、B、P、Sb、Bi、Sn、Ge、鑭系元素(諸如Er)或其組合),則上述兩步方法之後可將含金屬前驅物之第二蒸氣引入反應室中。含金屬前驅物將基於所沉積之矽金屬氧化物膜之性質來選擇。引入反應室中之後,使含金屬前驅物與基板上的氧化矽層接觸。藉由對反應室進行淨化及/或抽真空而自反應室中移除任何過量的含金屬前驅物。再次,可將氧源引入反應室中以與含金屬前驅物反應。藉由對反應室進行淨化及/或抽真空而自反應室移除過量的氧源。若已達成所要膜厚度,則可終止製程。然而,若需要較厚膜,則可重複整個四步驟方法。藉由交替提供形成含矽膜之組成物、含金屬前驅物及氧源,可沉積具有所要組成及厚度的膜。
另外,藉由改變脈衝數目,可獲得具有所要化學計量比M:Si之膜。舉例而言,藉由進行一次經單取代之TSA前驅物之脈衝及一次含金屬前驅物之脈衝且各脈衝之後進行氧源之脈衝可獲得SiMO2膜。然而,熟習此項技術者將認識到,為獲得所要膜所需之脈衝次數可與所得膜之化學計量比不相同。
在非限制性例示性PE-ALD型方法中,將呈氣相的形成含矽膜之組成物引入反應室中,在反應室中使其與適合基板接觸,同時使低反應性氧源(諸如O2)連續流至腔室中。接著可藉由對反應室進行淨化及/或抽真空而自反應室中移除過量的組成物。接著點燃電漿以活化氧源,從而使其與所吸收之經單取代之TSA前驅物以自限制方式發生反應。接著關斷電漿且隨後可立即使形成含矽膜之組成物進行流動。此兩步方法可提供所要膜厚度或可重複進行直至已獲得具有所需厚度的氧化矽膜。氧化矽膜可含有一些C雜質,典型地在0.005%與2%之間的C雜質。熟習此項技術者可選擇氧氣源及基板溫度以便在關閉電漿時,防止氧源與經單取代之TSA之間發生反應。經二烷基胺基取代之TSA尤其適於此類方法,且較佳為(SiH3)2N-SiH2-NEt2、(SiH3)2N-SiH2-NiPr2或(SiH3)2N-SiH2-NHR,R為-tBu或-SiMe3
在另一種非限制性例示性PE-ALD型方法中,將呈氣相的形成含矽膜之組成物引入反應室中,在反應室中使其與適合基板接觸,同時使低反應性氮源(諸如N2)連續流至腔室中。接著可藉由對反應室進行淨化及/或抽真空而自反應室中移除過量的組成物。接著點燃電漿以活化氮源,從而使其與所吸收之經單取代之TSA前驅物以自限制方式發生反應。接著關斷電漿且可隨後立即使形成含矽膜之組成物進行流動。此兩步方法可提供所要膜厚度或可重複進行直至已獲得具有所需厚度的氮化矽膜。氮化矽膜可含有一些C雜質,典型地在0.5%與10%之間。熟習此項技術者可選擇氮氣源及基板溫度以便在關閉電漿時,防止氮源與經單取代之TSA之間發生反應。經胺基取代之TSA及單鹵基TSA尤其適於此類方法,且較佳為(SiH3)2N-SiH2-Cl、(SiH3)2N-SiH2-NEt2、(SiH3)2N-SiH2-NiPr2、(SiH3)2N-SiH2-NHR (R為-tBu或-SiMe3),或(SiH3)2N-SiH2-N(SiH3)2
在非限制性例示性LPCVD型方法中,將呈氣相之形成含矽膜之組成物(較佳含有經單鹵基取代之TSA前驅物)引入裝有基板的反應室中且典型地在0.1托與10托之間且更佳在0.3托與3托之間的壓力下及250℃與800℃之間、較佳在350℃與600℃之間的溫度下保持,其中其與反應物(典型地為NH3)混合。從而可在基板上沉積保形SiN薄膜。熟習此項技術者將認識到,膜中之Si/N比率可藉由調節經單取代之TSA前驅物及氮源流速來調節。
在另一個替代方案中,緻密型SiN膜可使用ALD方法、使用六氯二矽烷(HCDS)、五氯二矽烷(PCDS)、單氯二矽烷(MCDS)、二氯二矽烷(DCDS)或單氯矽烷(MCS)、形成所揭示之含矽膜之組成物及氨反應物沉積。反應室可在55sccm Ar連續流動的情況下控制在5托、550℃。將所揭示之形成含矽膜之組成物的約10秒長脈衝以約1sccm之流速引入反應室中。經由約55sccm Ar流動來淨化反應室中的組成物約30秒。將約10秒的HCDS脈衝以約1sccm之流速引入反應室中。經由約55sccm Ar流動來淨化反應室中的HCDS約30秒。將約10秒長的NH3脈衝以約50sccm之流速引入反應室中。經由約55sccm的Ar流動來淨化反應室中的NH3約10秒。此等6個步驟重複進行直至所沉積層達成適合厚度。熟習此項技術者將認識到,使用空間ALD裝置時可同時使用引入型脈衝。如PCT公開案第WO2011/123792號中所述,可改變前驅物引入順序且可在NH3共反應物存在或不存在下執行沉積,以便調節SiCN膜中之碳及氮的量。熟習此項技術者會進一步認識到,流速及脈衝時間可因不同沉積室而異且能夠根據各 裝置來確定所需參數。
在非限制例示性方法中,將呈氣相之所揭示之形成含矽膜的組成物(較佳含有經單鹵基取代之TSA)引入裝有具有多孔低k膜之基板的反應室中。孔隙密封膜可在US 2015/0004806中所述的條件下沉積(亦即將所揭示之形成含矽膜之組成物、氧化劑(諸如臭氧、過氧化氫、氧氣、水、甲醇、乙醇、異丙醇、氧化氮、二氧化氮、一氧化氮、一氧化碳或二氧化碳)及無鹵素催化劑化合物(諸如硝酸、磷酸、硫酸、乙二胺四乙酸、苦味酸或乙酸)引入反應室中且在基板上形成可流動濃縮膜的條件下使基板暴露於製程氣體)。
在又一替代方案中,可藉由美國專利申請公開案第2014/0051264號中所揭示之流式PECVD方法,使用所揭示之組成物及含有自由基氮或氧之反應物來沉積含矽膜。在遠程電漿系統中產生含有自由基氮或氧的反應物(分別諸如NH3或H2O)。將自由基反應物及呈氣相之所揭示前驅物引入反應室中,在反應室中其發生反應且在基板上首先沉積可流動膜。申請人咸信,(SiH3)2N-(SiH2-X)結構中之氮原子有助於進一步改良所沉積膜之流動性,從而使得膜的空隙減少,尤其當X為胺基時,且更特定言之,當X為二矽烷基胺基(如-N(SiH3)2)時。
上述方法所得到的含矽膜可包括SiO2、氮摻雜氧化矽、SiN、SiON、SiCN、SiCOH或MSiNyOx,其中M為諸如Ti、Hf、Zr、Ta、Nb、V、Al、Sr、Y、Ba、Ca、As、B、P、Sb、Bi、Sn、Ge之元素,且x、y可為0-4且y+x=4,此當然視M之氧化態而定。熟習此項技術者將認識到,藉由慎重選擇適當的經單取代之TSA前驅物及反應物,可獲得所要膜組成。
獲得所要膜厚度後,可對膜進一步加工,諸如熱退火、熔爐退火、快速熱退火、UV或電子束固化及/或電漿氣體暴露。熟習此項技術者認知執行此等其他處理步驟所用的系統及方法。舉例而言,含矽膜可在惰性氛圍、含氫氣氛圍、含氮氣氛圍、含氧氣氛圍或其組合下,在約200℃及約1000℃範圍內之溫度下暴露約0.1秒至約7200秒範圍內之時間。最佳地,在含反應性氫氣的氛圍下,溫度為600℃持續小於3600秒。所得膜可含有較少雜質,且因此可具有改良之效能特性。可在執行沉積法之同一反應室中執行退火步驟。沉積方法為FCVD時,固化步驟較佳為氧氣固化步驟,其在低於600℃之溫度下進行。含氧氣氛圍可含有H2O或O3。或者,可自反應室移除基板,其中退火/急驟退火方法係在各別設備中進行。
實施例
提供以下非限制性實施例以進一步說明本發明之具體實例。然而,該等實施例不希望包括所有且不希望限制本文所述之本發明範疇。
本文所述之實施例為基於TSA之前驅物,亦即經單取代之TSA。
實施例1:合成(SiH3)2N-SiH2-NiPr2及(SiH3)2N-SiH2-NEt2
將300g二異丙胺(3.0mol)裝入作為反應器的1公升過濾瓶中,該過濾瓶裝備有頂置式機械攪拌器、氮氣鼓泡器、冷卻器及氫化物洗滌器。將60g(0.4mol)氯三矽烷基胺裝入滴液漏斗中。將滴液漏斗附接至反應器。向滴液漏斗中添加氮氣吹掃以防止漏斗尖口形成鹽。冷卻器設定為18℃且經由滴液漏斗添加氯三矽烷基胺1.5小時。在添加期間,反應器 溫度設定為22-23℃。添加完成之後,使反應器攪拌0.5小時。
接著過濾胺鹽酸鹽。濾餅用兩個50ml二異丙胺等分試樣沖洗。餾出大部分二異丙胺,得到72g粗產物。將粗產物與以類似方式進行之(SiH3)2N-SiH2-NiPr2之若干次較小規模製備所得的其他粗產物合併。接著在-28吋汞柱之真空下,在86℃蒸餾(SiH3)2N-SiH2-NiPr2且收集79g之>99%純產物。總體產率為56%。表1顯示根據蒸餾及TSU資料所估算之(SiH3)2N-SiH2-NiPr2蒸氣壓資料。
(SiH3)2N-SiH2-NEt2之合成類似地利用相同莫耳比進行,但用二乙胺置換二異丙胺。
實施例2:合成(SiH3)2N-SiH2-NHiPr
將300g異丙胺(3.0mol)裝入作為反應器的1公升過濾瓶中,該過濾瓶裝備有頂置式機械攪拌器、氮氣鼓泡器、冷卻器及氫化物洗滌器。將60g(0.4mol)氯三矽烷基胺裝入滴液漏斗中。將滴液漏斗附接至反應器。向滴液漏斗中添加氮氣吹掃以防止漏斗尖口形成鹽。冷卻器設定為18℃且經1.5小時經由滴液漏斗添加氯三矽烷基胺。在添加期間,反應器溫度設定為22-23℃。添加完成之後,使反應器攪拌0.5小時。
接著過濾胺鹽酸鹽。濾餅用兩個50ml異丙胺等分試樣沖洗。餾出大部分異丙胺,得到72g粗產物。將粗產物與以類似方式進行之(SiH3)2N-SiH2-NHiPr之若干次較小規模製備所得的其他粗產物合併。接著在 -28吋汞柱之真空下,在86℃蒸餾(SiH3)2N-SiH2-NHiPr且收集79g之>99%純產物。
實施例3:合成(SiH3)2N-SiH2-Br及(SiH3)2N-SiH2-N(SiH3)2
(SiH3)2N-SiH2-Br及(SiH3)2N-SiH2-N(SiH3)2可藉由SnBr4與TSA反應而獲得:SnBr4+H3SiN(SiH3)2=BrH2SiN(SiH3)2+(SiH3)2N-SiH2-N(SiH3)2+SnBr2+HBr。上述反應的副產物HBr接著可藉由與起始物質TSA反應而移除,亦即N(SiH3)3+4HBr=NH4Br+3BrSiH3。合成方法如下。
將化學計算量過量的TSA裝入具有經PTFE塗佈之磁性蛋型攪拌子的圓底燒瓶中。必要時,可向燒瓶中添加溶劑(例如十二烷)及HBr清除劑(例如三丁胺),隨後添加TSA。燒瓶裝配有冷指冷凝器或蒸餾頭。液體添加漏斗附接至燒瓶且裝入SnBr4於溶劑(諸如苯甲醚或十二烷)中的溶液。接著可將燒瓶冷卻且向燒瓶中逐滴添加SnBr4溶液。燒瓶之頂部空間可在一個大氣壓之氮氣下或在減壓下保持以便當HBr形成時將其移除。
添加完成之後,可藉由對捕集器抽真空來收集揮發性產物。接著可藉由GCMS分析收集到的揮發性產物。發現在用SnBr4處理TSA後,形成(SiH3)2N(SiH2Br)及(SiH3)2N(SiH2N(SiH3)2)。亦鑑別出以下副產物:矽烷、溴矽烷、二溴三矽烷胺。亦發現溶劑及未反應之SnBr4(在一些情況下)。
所得(SiH3)2N-SiH2-N(SiH3)2在室溫(約22℃)下為液體,其熔點為約-106℃且沸點為約131℃。在27℃的蒸氣壓經計算為約8hPa。
比較實施例1
在DNF有限公司的WO2015/190749中,實施例1揭示藉由使正戊烷中的氯二甲基矽烷[Me2HSiCl]與NH3發生反應以形成四甲基二矽氮 烷[(Me2SiH)2NH]來合成二甲基胺基二甲基矽烷基雙二甲基矽烷基胺[(Me2SiH)2NSiMe2(NMe2)]。接著使四甲基矽氮烷與正己烷溶劑中的n-BuLi反應以形成(Me2SiH)2N-Li。向混合物中添加氯二甲基二甲基胺基矽烷[ClSiMe2(NMe2)],接著形成(Me2SiH)2NSiMe2(NMe2)產物。
熟習此項技術者將認識到,實施例1中所述之合成方法不適於產生含有(SiH3)2N-部分之本文所揭示分子。DNF提出的合成方法需要合成及分離胺(SiH3)2NH,隨後用液相強鹼tBuLi處理。文獻中已熟知(SiH3)2NH胺可以其氣相製備且處置,但在液相中不穩定且分解,即使在0℃。參見例如Silicon-nitrogen compounds.VI.Preparation and properties of disilazane”;Aylett,Bernard J.;Hakim,M.J.;Journal of the Chemical Society[Section]A:Inorganic,Physical,Theoretical,1969,4,639-642。更特定言之,(SiH3)2NH在弱鹼(諸如液體NH3)存在下快速失去比例(同上)。因此,熟習此項技術者將認識到鹼性強得多的鹼(諸如tBuLi)將引起甚至更快速的失去比例。因此,估計熟習此項技術者無法成功地合成所需(SiH3)2NLi中間鹽,其為下一步合成所需要的。
WO2015/190749之實施例1中所述之用於製備具有式(SiHR2)2NH之胺的方法意指使氯矽烷SiHR2Cl與NH3以化學計算量發生反應。Stock等人報導,在SiH3X(X=Cl、Br、I)的情況下,此方法不可能分離出氣態(SiH3)2NH,原因在於該反應不能終止於經雙取代之產物且形成經參取代之胺(SiH3)3N。參見例如Stock,A.及Somieski,C.;Chem.Ber.,1921,54B,740。所有前述論點,加之完全缺乏描述形成其中每個矽原子上具有超過一個氫離子之矽烷基胺化鋰的任何參考文獻,證明WO2015/190749所述的合 成途徑不可能製備含有(SiH3)2N或(SiH2R)2N的胺基矽烷。
相比之下,如實施例1至實施例3所示,申請人已藉由使用(SiH3)2N-SiH2Cl作為起始物質來成功地合成所揭示之含有(SiH3)2N之化合物。
實施例4
以下PEALD測試是使用Picosun R200 PEALD 8"沉積工具、使用4"晶圓來進行。將呈氣相之經單取代之TSA前驅物遞送至如圖3所示的Picosun工具中。
使用(SiH3)2N-SiH2-NiPr2作為形成含矽膜之組成物10來進行ALD測試,該組成物置放於加熱至70℃且以O2電漿作為氧化反應物的安瓿中。使用典型的ALD條件,其中反應器50壓力固定於約9hPa(1hPa=100Pa=1mbar)。使用3向氣動閥51,經由在安瓿中產生過壓力而將兩次0.1秒脈衝之前驅物蒸氣引入沉積室中。藉由0.5秒暫停來分隔0.1秒脈衝。4秒N2淨化將任何過量的前驅物移除。16秒電漿O2脈衝之後為3秒N2淨化。重複執行該方法直至獲得300埃之最小厚度。使用加熱至70℃、150℃及300℃的基板進行沉積。藉由在指定循環內增加前驅物脈衝次數來實現自限制ALD生長行為已得到驗證,如圖4所示。
亦使用先前技術SiH2(NEt2)2前驅物作為形成含矽膜之組成物10來進行ALD測試,將該組成物置放於加熱至60℃且以O2電漿作為氧化反應物的安瓿中。申請人咸信在若干中商業方法中,現使用SiH2(NEt2)2沉積SiO2。使用典型的ALD條件,其中反應器壓力固定於約9hPa(1hPa=100Pa=1mbar)。使用3向氣動閥,經由在安瓿中產生過壓力而將兩次0.1秒脈衝之前驅物蒸氣引入沉積室中。藉由0.5秒暫停來分隔0.1秒脈衝。4秒N2淨化 將任何過量的前驅物移除。16秒電漿O2脈衝之後為3秒N2淨化。重複執行該方法直至達到300埃之最小厚度。在70℃、150℃、200℃及300℃進行沉積。如圖5所示,每個循環的生長量隨著溫度升高而降低。
1在所述溫度下沉積之膜的生長速率
2在所述溫度下沉積之膜的濕式蝕刻速率
3在70℃沉積之膜的折射率
4在所述溫度下沉積之膜的原子百分比,如次級離子質譜分析(Secondary Ion Mass Spectrometry;SIMS)所測定)。藉由SIMS量測時,氫含量易存在不確定性,如熟習此項技術者所認知。
5在200℃所沉積之膜之晶圓非均一性範圍內,如藉由橢偏儀在6吋矽晶圓上所測定。此參數未經最佳化且估計工業工具會產生較好均一性。
如所見,在70℃與300℃,(SiH3)2N-SiH2-NiPr2所產生之膜的生長速率比SiH2(NEt2)2好得多。在70℃,(SiH3)2N-SiH2-NiPr2的濕式蝕刻速率及折射率比SiH2(NEt2)2好得多,兩者均表明形成好得多、更緻密的氧化膜。
實施例5
使用(SiH3)2N-SiH2-NiPr2進行沉積氮摻雜氧化矽之ALD測試,將(SiH3)2N-SiH2-NiPr2置放於加熱至70℃的安瓿中,其中以O2電漿作為氧化反應物且以NH3電漿作為另一種反應物。使用典型的ALD條件,其中反應器壓力固定於約9hPa。使用3向氣動閥,經由在安瓿中產生過壓力而將兩次0.1秒脈衝之前驅物蒸氣引入沉積室中。藉由0.5秒暫停來分隔0.1秒脈衝。4秒N2淨化將任何過量的前驅物移除。16秒電漿O2脈衝之後為3秒N2淨化。使用3向氣動閥,經由在安瓿中產生過壓力而將兩次0.1秒脈衝之前驅物蒸氣引入沉積室中。藉由0.5秒暫停來分隔0.1秒脈衝。4秒N2淨化將任何過量的前驅物移除。11秒電漿NH3脈衝之後為3秒淨化。重複執行完整方法(前驅物-電漿O2-前驅物-電漿NH3)直至厚度達到至少300埃。在150℃進行沉積。
所得SiO2膜具有3.2埃/秒之濕式蝕刻速率及約1%之氮濃度。發現此類低蝕刻速率有益於基於間隔之雙重圖案化,以便在使用ALD沉積之氧化矽膜作為遮罩時,能夠使轉移層達成較低的邊緣粗糙度。熟習此項技術者會認識到,所得膜中之氧與氮含量比可藉由調節含氧反應物及含氮反應物脈衝之次數、順序或/及持續時間來調節。申請人認為SiO2膜中之約0.5%至約5%之氮濃度有益於根據間隔定義之圖案化應用。
實施例6
ALD測試均使用(SiH3)2N-SiH2-N(SiH3)2進行,其置放於加熱至26℃且以O2電漿作為氧化反應物的安瓿中。使用典型的ALD條件,其中反應器壓力固定於約9hPa。使用3向氣動閥,經由在安瓿中產生過壓力而將三次0.1秒脈衝之前驅物蒸氣引入沉積室中。藉由0.5秒暫停來分隔0.1 秒脈衝。4秒N2淨化將任何過量的前驅物移除。16秒電漿O2脈衝之後為3秒N2淨化。重複執行完整方法(前驅物-電漿O2-)直至厚度達到至少300埃。如圖6所示,每個循環的生長量隨著沉積溫度自150℃升高至300℃而增加。圖6亦顯示五次0.1秒脈衝相對於三次0.1秒脈衝之比較性每個循環生長量結果。兩者均為約0.6埃/循環,表明真實的ALD飽和,原因在於經由5次脈衝引入的較大量之前驅物未使得生長速率比3次脈衝所製得之膜高。
在150℃,生長速率為約0.58埃/循環且產生具有1.45折射率之膜。為了比較,試圖使用純TSA、在類似條件下藉由ALD生長SiO2膜尚未產生任何膜,從而證明化學官能化有益於增強與表面羥基的反應性。
雖然本發明之具體實例已顯示且描述,但熟習此項技術者可進行潤飾而不偏離本發明之精神或教示。本文所述之具體實例僅具例示性而無限制性。可對組成物及方法進行諸多變更及潤飾且此等變更及潤飾屬於本發明之範疇內。因此,保護範疇不限於本文所述之具體實例,而僅由隨附申請專利範圍限定,該範疇應包括申請專利範圍之標的物之所有等效物。
1‧‧‧形成含矽膜之組成物遞送裝置
10‧‧‧形成含矽膜之組成物
20‧‧‧容器
30‧‧‧入口管
31‧‧‧入口管之端部
35‧‧‧閥
40‧‧‧出口管
41‧‧‧出口管之端部
45‧‧‧閥

Claims (17)

  1. 一種ALD含矽及氧之膜的形成方法,該方法包括以下步驟:將經單取代之TSA前驅物的蒸氣及含氧反應物依序引入含有基板的反應器中以在該基板上沉積含矽及氧之膜,該經單取代之TSA前驅物具有式(SiH3)2N-SiH2-X,其中X為鹵素原子或胺基[-NR2],且各R獨立地選自由以下組成之群:H;C1-C6烴基;或矽烷基[SiR'3],其中各R'獨立地選自H;或C1-C6烴基。
  2. 如申請專利範圍第1項之ALD含矽及氧之膜的形成方法,其中X為Cl。
  3. 如申請專利範圍第1項之ALD含矽及氧之膜的形成方法,其中X為NiPr2
  4. 如申請專利範圍第1項之ALD含矽及氧之膜的形成方法,其中X為NEt2
  5. 如申請專利範圍第1項之ALD含矽及氧之膜的形成方法,其中X為N(SiH3)2
  6. 如申請專利範圍第1項至第5項中任一項之ALD含矽及氧之膜的形成方法,其中該含氧反應物係選自由以下組成之群:O2、O3、H2O、H2O2、NO、NO2、N2O、醇、二醇、羧酸、酮、醚、O原子、O自由基、O離子,及其組合。
  7. 如申請專利範圍第6項之ALD含矽及氧之膜的形成方法,其中該含氧反應物為電漿O2
  8. 如申請專利範圍第6項之ALD含矽及氧之膜的形成方法,其中該含矽 及氧之膜為氧化矽。
  9. 如申請專利範圍第6項之ALD含矽及氧之膜的形成方法,其進一步包含將含氮反應物引入該反應器中。
  10. 如申請專利範圍第9項之ALD含矽及氧之膜的形成方法,其中該含氮反應物係選自由以下組成之群:氨、N2、N原子、N自由基、N離子、飽和或不飽和肼、胺、二胺、乙醇胺,及其組合。。
  11. 如申請專利範圍第9項之ALD含矽及氧之膜的形成方法,其中該含矽及氧之膜為氮氧化矽。
  12. 一種ALD氧化矽膜的形成方法,該方法包括以下步驟:將經單取代之TSA前驅物的蒸氣及含氧反應物依序引入含有基板的反應器中以在該基板上以約2.1埃/循環至約3.1埃/循環之範圍的速率沉積氧化矽膜,該經單取代之TSA前驅物具有式(SiH3)2N-SiH2-X,其中X為鹵素原子或胺基[-NR2],且各R獨立地選自由以下組成之群:H或C1-C6烴基。
  13. 如申請專利範圍第12項之ALD氧化矽膜的形成方法,其中該含氧反應物係選自由以下組成之群:O2、O3、H2O、H2O2、NO、NO2、N2O、醇、二醇、羧酸、酮、醚、O原子、O自由基、O離子,及其組合。
  14. 如申請專利範圍第13項之ALD氧化矽膜的形成方法,其中該含氧反應物為電漿O2
  15. 如申請專利範圍第12項至第14項中任一項之ALD氧化矽膜的形成方法,其中X為Cl。
  16. 如申請專利範圍第12項至第14項中任一項之ALD氧化矽膜的形成方 法,其中X為NiPr2。。
  17. 如申請專利範圍第12項至第14項中任一項之ALD氧化矽膜的形成方法,其中X為NEt2
TW105122057A 2015-03-30 2016-03-29 用於形成含矽及含氧薄膜之氣相沉積方法 TWI710566B (zh)

Applications Claiming Priority (4)

Application Number Priority Date Filing Date Title
US201562140248P 2015-03-30 2015-03-30
US62/140,248 2015-03-30
US14/738,039 US9777025B2 (en) 2015-03-30 2015-06-12 Si-containing film forming precursors and methods of using the same
US14/738,039 2015-06-12

Publications (2)

Publication Number Publication Date
TW201638098A true TW201638098A (zh) 2016-11-01
TWI710566B TWI710566B (zh) 2020-11-21

Family

ID=54929776

Family Applications (3)

Application Number Title Priority Date Filing Date
TW105122057A TWI710566B (zh) 2015-03-30 2016-03-29 用於形成含矽及含氧薄膜之氣相沉積方法
TW105109841A TWI718136B (zh) 2015-03-30 2016-03-29 形成含矽膜之組成物及其使用方法
TW109136298A TWI757922B (zh) 2015-03-30 2016-03-29 用於形成含矽及含氧薄膜之氣相沉積方法

Family Applications After (2)

Application Number Title Priority Date Filing Date
TW105109841A TWI718136B (zh) 2015-03-30 2016-03-29 形成含矽膜之組成物及其使用方法
TW109136298A TWI757922B (zh) 2015-03-30 2016-03-29 用於形成含矽及含氧薄膜之氣相沉積方法

Country Status (8)

Country Link
US (4) US9777025B2 (zh)
EP (1) EP3277698B1 (zh)
JP (5) JP6441498B2 (zh)
KR (4) KR102113412B1 (zh)
CN (4) CN107429389B (zh)
SG (2) SG11201707756WA (zh)
TW (3) TWI710566B (zh)
WO (3) WO2016160990A1 (zh)

Families Citing this family (402)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US10378106B2 (en) 2008-11-14 2019-08-13 Asm Ip Holding B.V. Method of forming insulation film by modified PEALD
US9394608B2 (en) 2009-04-06 2016-07-19 Asm America, Inc. Semiconductor processing reactor and components thereof
US8802201B2 (en) 2009-08-14 2014-08-12 Asm America, Inc. Systems and methods for thin-film deposition of metal oxides using excited nitrogen-oxygen species
TWI509695B (zh) 2010-06-10 2015-11-21 Asm Int 使膜選擇性沈積於基板上的方法
US9312155B2 (en) 2011-06-06 2016-04-12 Asm Japan K.K. High-throughput semiconductor-processing apparatus equipped with multiple dual-chamber modules
US10364496B2 (en) 2011-06-27 2019-07-30 Asm Ip Holding B.V. Dual section module having shared and unshared mass flow controllers
US10854498B2 (en) 2011-07-15 2020-12-01 Asm Ip Holding B.V. Wafer-supporting device and method for producing same
US20130023129A1 (en) 2011-07-20 2013-01-24 Asm America, Inc. Pressure transmitter for a semiconductor processing environment
US9017481B1 (en) 2011-10-28 2015-04-28 Asm America, Inc. Process feed management for semiconductor substrate processing
US9112003B2 (en) 2011-12-09 2015-08-18 Asm International N.V. Selective formation of metallic films on metallic surfaces
US10325773B2 (en) 2012-06-12 2019-06-18 Novellus Systems, Inc. Conformal deposition of silicon carbide films
US9234276B2 (en) 2013-05-31 2016-01-12 Novellus Systems, Inc. Method to obtain SiC class of films of desired composition and film properties
US9558931B2 (en) 2012-07-27 2017-01-31 Asm Ip Holding B.V. System and method for gas-phase sulfur passivation of a semiconductor surface
US9659799B2 (en) 2012-08-28 2017-05-23 Asm Ip Holding B.V. Systems and methods for dynamic semiconductor process scheduling
US9021985B2 (en) 2012-09-12 2015-05-05 Asm Ip Holdings B.V. Process gas management for an inductively-coupled plasma deposition reactor
US10714315B2 (en) 2012-10-12 2020-07-14 Asm Ip Holdings B.V. Semiconductor reaction chamber showerhead
US20160376700A1 (en) 2013-02-01 2016-12-29 Asm Ip Holding B.V. System for treatment of deposition reactor
US9589770B2 (en) 2013-03-08 2017-03-07 Asm Ip Holding B.V. Method and systems for in-situ formation of intermediate reactive species
US9484191B2 (en) 2013-03-08 2016-11-01 Asm Ip Holding B.V. Pulsed remote plasma method and system
US8993054B2 (en) 2013-07-12 2015-03-31 Asm Ip Holding B.V. Method and system to reduce outgassing in a reaction chamber
US9240412B2 (en) 2013-09-27 2016-01-19 Asm Ip Holding B.V. Semiconductor structure and device and methods of forming same using selective epitaxial process
US9382269B2 (en) 2013-09-27 2016-07-05 Voltaix, Llc Halogen free syntheses of aminosilanes by catalytic dehydrogenative coupling
US9605343B2 (en) 2013-11-13 2017-03-28 Asm Ip Holding B.V. Method for forming conformal carbon films, structures conformal carbon film, and system of forming same
US10804094B2 (en) 2016-05-06 2020-10-13 Applied Materials, Inc. Methods of depositing SiCON with C, O and N compositional control
US11549181B2 (en) 2013-11-22 2023-01-10 Applied Materials, Inc. Methods for atomic layer deposition of SiCO(N) using halogenated silylamides
TWI661072B (zh) 2014-02-04 2019-06-01 荷蘭商Asm Ip控股公司 金屬、金屬氧化物與介電質的選擇性沈積
US10683571B2 (en) 2014-02-25 2020-06-16 Asm Ip Holding B.V. Gas supply manifold and method of supplying gases to chamber using same
US10167557B2 (en) 2014-03-18 2019-01-01 Asm Ip Holding B.V. Gas distribution system, reactor including the system, and methods of using the same
US11015245B2 (en) 2014-03-19 2021-05-25 Asm Ip Holding B.V. Gas-phase reactor and system having exhaust plenum and components thereof
US10047435B2 (en) 2014-04-16 2018-08-14 Asm Ip Holding B.V. Dual selective deposition
US10858737B2 (en) 2014-07-28 2020-12-08 Asm Ip Holding B.V. Showerhead assembly and components thereof
US9890456B2 (en) 2014-08-21 2018-02-13 Asm Ip Holding B.V. Method and system for in situ formation of gas-phase compounds
US9657845B2 (en) 2014-10-07 2017-05-23 Asm Ip Holding B.V. Variable conductance gas distribution apparatus and method
US10941490B2 (en) 2014-10-07 2021-03-09 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
SG11201703195QA (en) 2014-10-24 2017-05-30 Versum Materials Us Llc Compositions and methods using same for deposition of silicon-containing film
KR102300403B1 (ko) 2014-11-19 2021-09-09 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
KR102263121B1 (ko) 2014-12-22 2021-06-09 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 및 그 제조 방법
US9490145B2 (en) 2015-02-23 2016-11-08 Asm Ip Holding B.V. Removal of surface passivation
US10529542B2 (en) 2015-03-11 2020-01-07 Asm Ip Holdings B.V. Cross-flow reactor and method
US10276355B2 (en) 2015-03-12 2019-04-30 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
US9777025B2 (en) * 2015-03-30 2017-10-03 L'Air Liquide, Société pour l'Etude et l'Exploitation des Procédés Georges Claude Si-containing film forming precursors and methods of using the same
US11124876B2 (en) 2015-03-30 2021-09-21 L'Air Liquide, Société Anonyme pour l'Etude et l'Exploitation des Procédés Georges Claude Si-containing film forming precursors and methods of using the same
EP3310942B1 (en) * 2015-06-16 2022-07-13 Versum Materials US, LLC Processes for depositing silicon-containing films
US10458018B2 (en) 2015-06-26 2019-10-29 Asm Ip Holding B.V. Structures including metal carbide material, devices including the structures, and methods of forming same
US10600673B2 (en) 2015-07-07 2020-03-24 Asm Ip Holding B.V. Magnetic susceptor to baseplate seal
US10043661B2 (en) 2015-07-13 2018-08-07 Asm Ip Holding B.V. Method for protecting layer by forming hydrocarbon-based extremely thin film
US10083836B2 (en) 2015-07-24 2018-09-25 Asm Ip Holding B.V. Formation of boron-doped titanium metal films with high work function
US10428421B2 (en) 2015-08-03 2019-10-01 Asm Ip Holding B.V. Selective deposition on metal or metallic surfaces relative to dielectric surfaces
US10087525B2 (en) 2015-08-04 2018-10-02 Asm Ip Holding B.V. Variable gap hard stop design
US10121699B2 (en) 2015-08-05 2018-11-06 Asm Ip Holding B.V. Selective deposition of aluminum and nitrogen containing material
US10566185B2 (en) 2015-08-05 2020-02-18 Asm Ip Holding B.V. Selective deposition of aluminum and nitrogen containing material
US9647114B2 (en) 2015-08-14 2017-05-09 Asm Ip Holding B.V. Methods of forming highly p-type doped germanium tin films and structures and devices including the films
US20170051405A1 (en) * 2015-08-18 2017-02-23 Asm Ip Holding B.V. Method for forming sin or sicn film in trenches by peald
US9960072B2 (en) 2015-09-29 2018-05-01 Asm Ip Holding B.V. Variable adjustment for precise matching of multiple chamber cavity housings
US10343186B2 (en) 2015-10-09 2019-07-09 Asm Ip Holding B.V. Vapor phase deposition of organic films
US10695794B2 (en) 2015-10-09 2020-06-30 Asm Ip Holding B.V. Vapor phase deposition of organic films
US10814349B2 (en) 2015-10-09 2020-10-27 Asm Ip Holding B.V. Vapor phase deposition of organic films
US10211308B2 (en) 2015-10-21 2019-02-19 Asm Ip Holding B.V. NbMC layers
US10322384B2 (en) 2015-11-09 2019-06-18 Asm Ip Holding B.V. Counter flow mixer for process chamber
US9786492B2 (en) * 2015-11-12 2017-10-10 Asm Ip Holding B.V. Formation of SiOCN thin films
US9786491B2 (en) 2015-11-12 2017-10-10 Asm Ip Holding B.V. Formation of SiOCN thin films
CN108431013B (zh) * 2015-12-18 2021-03-16 美国陶氏有机硅公司 二硅烷基胺和聚硅烷基胺的合成
US9627221B1 (en) 2015-12-28 2017-04-18 Asm Ip Holding B.V. Continuous process incorporating atomic layer etching
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
US10468251B2 (en) 2016-02-19 2019-11-05 Asm Ip Holding B.V. Method for forming spacers using silicon nitride film for spacer-defined multiple patterning
US10529554B2 (en) 2016-02-19 2020-01-07 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
SG11201807211XA (en) * 2016-02-26 2018-09-27 Versum Materials Us Llc Compositions and methods using same for deposition of silicon-containing film
US9981286B2 (en) 2016-03-08 2018-05-29 Asm Ip Holding B.V. Selective formation of metal silicides
US10501866B2 (en) 2016-03-09 2019-12-10 Asm Ip Holding B.V. Gas distribution apparatus for improved film uniformity in an epitaxial system
US10343920B2 (en) 2016-03-18 2019-07-09 Asm Ip Holding B.V. Aligned carbon nanotubes
JP6478330B2 (ja) * 2016-03-18 2019-03-06 株式会社Kokusai Electric 半導体装置の製造方法、基板処理装置およびプログラム
US9892913B2 (en) 2016-03-24 2018-02-13 Asm Ip Holding B.V. Radial and thickness control via biased multi-port injection settings
US20170298503A1 (en) * 2016-04-18 2017-10-19 Asm Ip Holding B.V. Combined anneal and selective deposition systems
US10204782B2 (en) 2016-04-18 2019-02-12 Imec Vzw Combined anneal and selective deposition process
US10551741B2 (en) 2016-04-18 2020-02-04 Asm Ip Holding B.V. Method of forming a directed self-assembled layer on a substrate
US10865475B2 (en) 2016-04-21 2020-12-15 Asm Ip Holding B.V. Deposition of metal borides and silicides
US10087522B2 (en) 2016-04-21 2018-10-02 Asm Ip Holding B.V. Deposition of metal borides
US10190213B2 (en) 2016-04-21 2019-01-29 Asm Ip Holding B.V. Deposition of metal borides
US10367080B2 (en) 2016-05-02 2019-07-30 Asm Ip Holding B.V. Method of forming a germanium oxynitride film
US10032628B2 (en) 2016-05-02 2018-07-24 Asm Ip Holding B.V. Source/drain performance through conformal solid state doping
US11081342B2 (en) 2016-05-05 2021-08-03 Asm Ip Holding B.V. Selective deposition using hydrophobic precursors
KR102378021B1 (ko) 2016-05-06 2022-03-23 에이에스엠 아이피 홀딩 비.브이. SiOC 박막의 형성
KR102592471B1 (ko) 2016-05-17 2023-10-20 에이에스엠 아이피 홀딩 비.브이. 금속 배선 형성 방법 및 이를 이용한 반도체 장치의 제조 방법
US10384944B2 (en) * 2016-05-19 2019-08-20 L'Air Liquide, Société Anonyme pour l'Etude et l'Exploitation des Procédés Georges Claude Preparation of Si—H containing iodosilanes via halide exchange reaction
US11453943B2 (en) 2016-05-25 2022-09-27 Asm Ip Holding B.V. Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor
US10453701B2 (en) 2016-06-01 2019-10-22 Asm Ip Holding B.V. Deposition of organic films
US10373820B2 (en) 2016-06-01 2019-08-06 Asm Ip Holding B.V. Deposition of organic films
US10014212B2 (en) 2016-06-08 2018-07-03 Asm Ip Holding B.V. Selective deposition of metallic films
US9803277B1 (en) 2016-06-08 2017-10-31 Asm Ip Holding B.V. Reaction chamber passivation and selective deposition of metallic films
US10388509B2 (en) 2016-06-28 2019-08-20 Asm Ip Holding B.V. Formation of epitaxial layers via dislocation filtering
US10612137B2 (en) 2016-07-08 2020-04-07 Asm Ip Holdings B.V. Organic reactants for atomic layer deposition
US9859151B1 (en) 2016-07-08 2018-01-02 Asm Ip Holding B.V. Selective film deposition method to form air gaps
US9793135B1 (en) 2016-07-14 2017-10-17 ASM IP Holding B.V Method of cyclic dry etching using etchant film
US10714385B2 (en) 2016-07-19 2020-07-14 Asm Ip Holding B.V. Selective deposition of tungsten
KR102354490B1 (ko) 2016-07-27 2022-01-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
US10177025B2 (en) 2016-07-28 2019-01-08 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10395919B2 (en) 2016-07-28 2019-08-27 Asm Ip Holding B.V. Method and apparatus for filling a gap
KR102532607B1 (ko) 2016-07-28 2023-05-15 에이에스엠 아이피 홀딩 비.브이. 기판 가공 장치 및 그 동작 방법
US9812320B1 (en) 2016-07-28 2017-11-07 Asm Ip Holding B.V. Method and apparatus for filling a gap
US9887082B1 (en) 2016-07-28 2018-02-06 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10090316B2 (en) 2016-09-01 2018-10-02 Asm Ip Holding B.V. 3D stacked multilayer semiconductor memory using doped select transistor channel
US10410943B2 (en) 2016-10-13 2019-09-10 Asm Ip Holding B.V. Method for passivating a surface of a semiconductor and related systems
US10464953B2 (en) 2016-10-14 2019-11-05 Versum Materials Us, Llc Carbon bridged aminosilane compounds for high growth rate silicon-containing films
US10643826B2 (en) 2016-10-26 2020-05-05 Asm Ip Holdings B.V. Methods for thermally calibrating reaction chambers
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
US10435790B2 (en) 2016-11-01 2019-10-08 Asm Ip Holding B.V. Method of subatmospheric plasma-enhanced ALD using capacitively coupled electrodes with narrow gap
US11735413B2 (en) * 2016-11-01 2023-08-22 Versum Materials Us, Llc Precursors and flowable CVD methods for making low-k films to fill surface features
US10643904B2 (en) 2016-11-01 2020-05-05 Asm Ip Holdings B.V. Methods for forming a semiconductor device and related semiconductor device structures
US10714350B2 (en) 2016-11-01 2020-07-14 ASM IP Holdings, B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10229833B2 (en) 2016-11-01 2019-03-12 Asm Ip Holding B.V. Methods for forming a transition metal nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10134757B2 (en) 2016-11-07 2018-11-20 Asm Ip Holding B.V. Method of processing a substrate and a device manufactured by using the method
KR102546317B1 (ko) 2016-11-15 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기체 공급 유닛 및 이를 포함하는 기판 처리 장치
US10340135B2 (en) 2016-11-28 2019-07-02 Asm Ip Holding B.V. Method of topologically restricted plasma-enhanced cyclic deposition of silicon or metal nitride
US11430656B2 (en) 2016-11-29 2022-08-30 Asm Ip Holding B.V. Deposition of oxide thin films
US10186420B2 (en) 2016-11-29 2019-01-22 Asm Ip Holding B.V. Formation of silicon-containing thin films
US10192734B2 (en) * 2016-12-11 2019-01-29 L'Air Liquide, Société Anonyme pour l'Etude et l'Exploration des Procédés Georges Claude Short inorganic trisilylamine-based polysilazanes for thin film deposition
US10647578B2 (en) 2016-12-11 2020-05-12 L'Air Liquide, Société Anonyme pour l'Etude et l'Exploitation des Procédés Georges Claude N—H free and SI-rich per-hydridopolysilzane compositions, their synthesis, and applications
KR20180068582A (ko) 2016-12-14 2018-06-22 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11581186B2 (en) 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
US9916980B1 (en) 2016-12-15 2018-03-13 Asm Ip Holding B.V. Method of forming a structure on a substrate
US11447861B2 (en) 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
KR20180070971A (ko) 2016-12-19 2018-06-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10269558B2 (en) 2016-12-22 2019-04-23 Asm Ip Holding B.V. Method of forming a structure on a substrate
US10867788B2 (en) 2016-12-28 2020-12-15 Asm Ip Holding B.V. Method of forming a structure on a substrate
US11390950B2 (en) 2017-01-10 2022-07-19 Asm Ip Holding B.V. Reactor system and method to reduce residue buildup during a film deposition process
TWI700750B (zh) * 2017-01-24 2020-08-01 美商應用材料股份有限公司 用於介電薄膜的選擇性沉積之方法及設備
US10049882B1 (en) * 2017-01-25 2018-08-14 Samsung Electronics Co., Ltd. Method for fabricating semiconductor device including forming a dielectric layer on a structure having a height difference using ALD
JP6857503B2 (ja) * 2017-02-01 2021-04-14 株式会社Kokusai Electric 半導体装置の製造方法、基板処理装置およびプログラム
US10822458B2 (en) 2017-02-08 2020-11-03 Versum Materials Us, Llc Organoamino-functionalized linear and cyclic oligosiloxanes for deposition of silicon-containing films
US11591692B2 (en) 2017-02-08 2023-02-28 Versum Materials Us, Llc Organoamino-polysiloxanes for deposition of silicon-containing films
US10655221B2 (en) 2017-02-09 2020-05-19 Asm Ip Holding B.V. Method for depositing oxide film by thermal ALD and PEALD
US11094535B2 (en) 2017-02-14 2021-08-17 Asm Ip Holding B.V. Selective passivation and selective deposition
US10468261B2 (en) 2017-02-15 2019-11-05 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
US10529563B2 (en) 2017-03-29 2020-01-07 Asm Ip Holdings B.V. Method for forming doped metal oxide films on a substrate by cyclical deposition and related semiconductor device structures
US10283353B2 (en) 2017-03-29 2019-05-07 Asm Ip Holding B.V. Method of reforming insulating film deposited on substrate with recess pattern
US10103040B1 (en) 2017-03-31 2018-10-16 Asm Ip Holding B.V. Apparatus and method for manufacturing a semiconductor device
USD830981S1 (en) 2017-04-07 2018-10-16 Asm Ip Holding B.V. Susceptor for semiconductor substrate processing apparatus
US10847529B2 (en) 2017-04-13 2020-11-24 Asm Ip Holding B.V. Substrate processing method and device manufactured by the same
KR102548405B1 (ko) * 2017-04-20 2023-06-28 (주)디엔에프 다이실릴아민 화합물을 포함하는 실리콘 함유 박막증착용 조성물 및 이를 이용하는 실리콘 함유 박막의 제조방법
KR102457289B1 (ko) 2017-04-25 2022-10-21 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10504901B2 (en) 2017-04-26 2019-12-10 Asm Ip Holding B.V. Substrate processing method and device manufactured using the same
US11501965B2 (en) 2017-05-05 2022-11-15 Asm Ip Holding B.V. Plasma enhanced deposition processes for controlled formation of metal oxide thin films
CN114875388A (zh) 2017-05-05 2022-08-09 Asm Ip 控股有限公司 用于受控形成含氧薄膜的等离子体增强沉积方法
US10446393B2 (en) 2017-05-08 2019-10-15 Asm Ip Holding B.V. Methods for forming silicon-containing epitaxial layers and related semiconductor device structures
US10892156B2 (en) 2017-05-08 2021-01-12 Asm Ip Holding B.V. Methods for forming a silicon nitride film on a substrate and related semiconductor device structures
US10770286B2 (en) 2017-05-08 2020-09-08 Asm Ip Holdings B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
US11170993B2 (en) 2017-05-16 2021-11-09 Asm Ip Holding B.V. Selective PEALD of oxide on dielectric
US11177127B2 (en) 2017-05-24 2021-11-16 Versum Materials Us, Llc Functionalized cyclosilazanes as precursors for high growth rate silicon-containing films
US10504742B2 (en) 2017-05-31 2019-12-10 Asm Ip Holding B.V. Method of atomic layer etching using hydrogen plasma
US10886123B2 (en) 2017-06-02 2021-01-05 Asm Ip Holding B.V. Methods for forming low temperature semiconductor layers and related semiconductor device structures
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
US10685834B2 (en) 2017-07-05 2020-06-16 Asm Ip Holdings B.V. Methods for forming a silicon germanium tin layer and related semiconductor device structures
JP6805347B2 (ja) * 2017-07-13 2020-12-23 株式会社Kokusai Electric 半導体装置の製造方法、基板処理装置およびプログラム
US10900120B2 (en) 2017-07-14 2021-01-26 Asm Ip Holding B.V. Passivation against vapor deposition
KR20190009245A (ko) 2017-07-18 2019-01-28 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 구조물 형성 방법 및 관련된 반도체 소자 구조물
US11374112B2 (en) 2017-07-19 2022-06-28 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US11018002B2 (en) 2017-07-19 2021-05-25 Asm Ip Holding B.V. Method for selectively depositing a Group IV semiconductor and related semiconductor device structures
US10541333B2 (en) 2017-07-19 2020-01-21 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US10605530B2 (en) 2017-07-26 2020-03-31 Asm Ip Holding B.V. Assembly of a liner and a flange for a vertical furnace as well as the liner and the vertical furnace
US10312055B2 (en) 2017-07-26 2019-06-04 Asm Ip Holding B.V. Method of depositing film by PEALD using negative bias
US10590535B2 (en) 2017-07-26 2020-03-17 Asm Ip Holdings B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US10692741B2 (en) 2017-08-08 2020-06-23 Asm Ip Holdings B.V. Radiation shield
US10770336B2 (en) 2017-08-08 2020-09-08 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US10249524B2 (en) 2017-08-09 2019-04-02 Asm Ip Holding B.V. Cassette holder assembly for a substrate cassette and holding member for use in such assembly
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11139191B2 (en) 2017-08-09 2021-10-05 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US10236177B1 (en) 2017-08-22 2019-03-19 ASM IP Holding B.V.. Methods for depositing a doped germanium tin semiconductor and related semiconductor device structures
USD900036S1 (en) 2017-08-24 2020-10-27 Asm Ip Holding B.V. Heater electrical connector and adapter
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
KR102491945B1 (ko) 2017-08-30 2023-01-26 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11056344B2 (en) 2017-08-30 2021-07-06 Asm Ip Holding B.V. Layer forming method
KR102401446B1 (ko) 2017-08-31 2022-05-24 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10607895B2 (en) 2017-09-18 2020-03-31 Asm Ip Holdings B.V. Method for forming a semiconductor device structure comprising a gate fill metal
US11049714B2 (en) * 2017-09-19 2021-06-29 Versum Materials Us, Llc Silyl substituted organoamines as precursors for high growth rate silicon-containing films
KR102630301B1 (ko) 2017-09-21 2024-01-29 에이에스엠 아이피 홀딩 비.브이. 침투성 재료의 순차 침투 합성 방법 처리 및 이를 이용하여 형성된 구조물 및 장치
US10844484B2 (en) 2017-09-22 2020-11-24 Asm Ip Holding B.V. Apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US10658205B2 (en) 2017-09-28 2020-05-19 Asm Ip Holdings B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
US10403504B2 (en) 2017-10-05 2019-09-03 Asm Ip Holding B.V. Method for selectively depositing a metallic film on a substrate
US10319588B2 (en) 2017-10-10 2019-06-11 Asm Ip Holding B.V. Method for depositing a metal chalcogenide on a substrate by cyclical deposition
US10923344B2 (en) 2017-10-30 2021-02-16 Asm Ip Holding B.V. Methods for forming a semiconductor structure and related semiconductor structures
US10910262B2 (en) 2017-11-16 2021-02-02 Asm Ip Holding B.V. Method of selectively depositing a capping layer structure on a semiconductor device structure
KR102443047B1 (ko) 2017-11-16 2022-09-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 방법 및 그에 의해 제조된 장치
US20190157213A1 (en) * 2017-11-20 2019-05-23 Globalfoundries Inc. Semiconductor structure with substantially straight contact profile
US11022879B2 (en) 2017-11-24 2021-06-01 Asm Ip Holding B.V. Method of forming an enhanced unexposed photoresist layer
TWI791689B (zh) 2017-11-27 2023-02-11 荷蘭商Asm智慧財產控股私人有限公司 包括潔淨迷你環境之裝置
WO2019103613A1 (en) 2017-11-27 2019-05-31 Asm Ip Holding B.V. A storage device for storing wafer cassettes for use with a batch furnace
US10991573B2 (en) 2017-12-04 2021-04-27 Asm Ip Holding B.V. Uniform deposition of SiOC on dielectric and metal surfaces
US10290508B1 (en) 2017-12-05 2019-05-14 Asm Ip Holding B.V. Method for forming vertical spacers for spacer-defined patterning
US10872771B2 (en) 2018-01-16 2020-12-22 Asm Ip Holding B. V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
US11482412B2 (en) 2018-01-19 2022-10-25 Asm Ip Holding B.V. Method for depositing a gap-fill layer by plasma-assisted deposition
TWI799494B (zh) 2018-01-19 2023-04-21 荷蘭商Asm 智慧財產控股公司 沈積方法
USD903477S1 (en) 2018-01-24 2020-12-01 Asm Ip Holdings B.V. Metal clamp
US11018047B2 (en) 2018-01-25 2021-05-25 Asm Ip Holding B.V. Hybrid lift pin
USD880437S1 (en) 2018-02-01 2020-04-07 Asm Ip Holding B.V. Gas supply plate for semiconductor manufacturing apparatus
US10535516B2 (en) 2018-02-01 2020-01-14 Asm Ip Holdings B.V. Method for depositing a semiconductor structure on a surface of a substrate and related semiconductor structures
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
CN111699278B (zh) 2018-02-14 2023-05-16 Asm Ip私人控股有限公司 通过循环沉积工艺在衬底上沉积含钌膜的方法
US10896820B2 (en) 2018-02-14 2021-01-19 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
US10731249B2 (en) 2018-02-15 2020-08-04 Asm Ip Holding B.V. Method of forming a transition metal containing film on a substrate by a cyclical deposition process, a method for supplying a transition metal halide compound to a reaction chamber, and related vapor deposition apparatus
US10658181B2 (en) 2018-02-20 2020-05-19 Asm Ip Holding B.V. Method of spacer-defined direct patterning in semiconductor fabrication
KR102636427B1 (ko) 2018-02-20 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 장치
KR20200119852A (ko) * 2018-02-21 2020-10-20 레르 리키드 쏘시에떼 아노님 뿌르 레뜌드 에렉스뿔라따시옹 데 프로세데 조르즈 클로드 퍼하이드로폴리실라잔 조성물 및 이를 사용하여 산화물 막을 형성하는 방법
TWI793262B (zh) * 2018-02-21 2023-02-21 法商液態空氣喬治斯克勞帝方法研究開發股份有限公司 全氫聚矽氮烷組成物和用於使用其形成氮化物膜之方法
US10975470B2 (en) 2018-02-23 2021-04-13 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
US11629406B2 (en) 2018-03-09 2023-04-18 Asm Ip Holding B.V. Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate
US11114283B2 (en) 2018-03-16 2021-09-07 Asm Ip Holding B.V. Reactor, system including the reactor, and methods of manufacturing and using same
KR102646467B1 (ko) 2018-03-27 2024-03-11 에이에스엠 아이피 홀딩 비.브이. 기판 상에 전극을 형성하는 방법 및 전극을 포함하는 반도체 소자 구조
US11088002B2 (en) 2018-03-29 2021-08-10 Asm Ip Holding B.V. Substrate rack and a substrate processing system and method
US10510536B2 (en) 2018-03-29 2019-12-17 Asm Ip Holding B.V. Method of depositing a co-doped polysilicon film on a surface of a substrate within a reaction chamber
US11230766B2 (en) 2018-03-29 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102501472B1 (ko) 2018-03-30 2023-02-20 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
SG10201903201XA (en) 2018-04-11 2019-11-28 Versum Materials Us Llc Organoamino-functionalized cyclic oligosiloxanes for deposition of silicon-containing films
JP7146690B2 (ja) 2018-05-02 2022-10-04 エーエスエム アイピー ホールディング ビー.ブイ. 堆積および除去を使用した選択的層形成
KR20190128558A (ko) 2018-05-08 2019-11-18 에이에스엠 아이피 홀딩 비.브이. 기판 상에 산화물 막을 주기적 증착 공정에 의해 증착하기 위한 방법 및 관련 소자 구조
TW202349473A (zh) 2018-05-11 2023-12-16 荷蘭商Asm Ip私人控股有限公司 用於基板上形成摻雜金屬碳化物薄膜之方法及相關半導體元件結構
KR102596988B1 (ko) 2018-05-28 2023-10-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 그에 의해 제조된 장치
US11270899B2 (en) 2018-06-04 2022-03-08 Asm Ip Holding B.V. Wafer handling chamber with moisture reduction
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
US11286562B2 (en) 2018-06-08 2022-03-29 Asm Ip Holding B.V. Gas-phase chemical reactor and method of using same
US10797133B2 (en) 2018-06-21 2020-10-06 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
KR102568797B1 (ko) 2018-06-21 2023-08-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 시스템
WO2020002995A1 (en) 2018-06-27 2020-01-02 Asm Ip Holding B.V. Cyclic deposition methods for forming metal-containing material and films and structures including the metal-containing material
KR20210027265A (ko) 2018-06-27 2021-03-10 에이에스엠 아이피 홀딩 비.브이. 금속 함유 재료를 형성하기 위한 주기적 증착 방법 및 금속 함유 재료를 포함하는 막 및 구조체
US10612136B2 (en) 2018-06-29 2020-04-07 ASM IP Holding, B.V. Temperature-controlled flange and reactor system including same
KR20200002519A (ko) 2018-06-29 2020-01-08 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10755922B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10388513B1 (en) 2018-07-03 2019-08-20 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10767789B2 (en) 2018-07-16 2020-09-08 Asm Ip Holding B.V. Diaphragm valves, valve components, and methods for forming valve components
KR20230088843A (ko) * 2018-07-24 2023-06-20 램 리써치 코포레이션 이종 전구체 상호 작용을 사용한 탄화 실리콘 막의 컨포멀한 증착
US10483099B1 (en) 2018-07-26 2019-11-19 Asm Ip Holding B.V. Method for forming thermally stable organosilicon polymer film
US11053591B2 (en) 2018-08-06 2021-07-06 Asm Ip Holding B.V. Multi-port gas injection system and reactor system including same
US10883175B2 (en) 2018-08-09 2021-01-05 Asm Ip Holding B.V. Vertical furnace for processing substrates and a liner for use therein
US10829852B2 (en) 2018-08-16 2020-11-10 Asm Ip Holding B.V. Gas distribution device for a wafer processing apparatus
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US20200075325A1 (en) * 2018-08-29 2020-03-05 Asm Ip Holding B.V. Film forming method
US11024523B2 (en) 2018-09-11 2021-06-01 Asm Ip Holding B.V. Substrate processing apparatus and method
KR20200030162A (ko) 2018-09-11 2020-03-20 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
US11049751B2 (en) 2018-09-14 2021-06-29 Asm Ip Holding B.V. Cassette supply system to store and handle cassettes and processing apparatus equipped therewith
CN110970344A (zh) 2018-10-01 2020-04-07 Asm Ip控股有限公司 衬底保持设备、包含所述设备的系统及其使用方法
JP2020056104A (ja) 2018-10-02 2020-04-09 エーエスエム アイピー ホールディング ビー.ブイ. 選択的パッシベーションおよび選択的堆積
US11232963B2 (en) 2018-10-03 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102592699B1 (ko) 2018-10-08 2023-10-23 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 박막 증착 장치와 기판 처리 장치
US10847365B2 (en) 2018-10-11 2020-11-24 Asm Ip Holding B.V. Method of forming conformal silicon carbide film by cyclic CVD
US10811256B2 (en) 2018-10-16 2020-10-20 Asm Ip Holding B.V. Method for etching a carbon-containing feature
KR102546322B1 (ko) 2018-10-19 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
KR20230085954A (ko) 2018-10-19 2023-06-14 램 리써치 코포레이션 갭 충진 (gapfill) 을 위한 도핑되거나 도핑되지 않은 실리콘 카바이드 증착 및 원격 수소 플라즈마 노출
KR102605121B1 (ko) 2018-10-19 2023-11-23 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
USD948463S1 (en) 2018-10-24 2022-04-12 Asm Ip Holding B.V. Susceptor for semiconductor substrate supporting apparatus
US10381219B1 (en) 2018-10-25 2019-08-13 Asm Ip Holding B.V. Methods for forming a silicon nitride film
US11087997B2 (en) 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
KR20200051105A (ko) 2018-11-02 2020-05-13 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 기판 처리 장치
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US11031242B2 (en) 2018-11-07 2021-06-08 Asm Ip Holding B.V. Methods for depositing a boron doped silicon germanium film
US10847366B2 (en) 2018-11-16 2020-11-24 Asm Ip Holding B.V. Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process
US10818758B2 (en) 2018-11-16 2020-10-27 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US10559458B1 (en) 2018-11-26 2020-02-11 Asm Ip Holding B.V. Method of forming oxynitride film
CN109487233A (zh) * 2018-11-27 2019-03-19 合肥安德科铭半导体科技有限公司 一种氧化硅薄膜的低温制备方法
US11217444B2 (en) 2018-11-30 2022-01-04 Asm Ip Holding B.V. Method for forming an ultraviolet radiation responsive metal oxide-containing film
KR102636428B1 (ko) 2018-12-04 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치를 세정하는 방법
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
JP2020096183A (ja) 2018-12-14 2020-06-18 エーエスエム・アイピー・ホールディング・ベー・フェー 窒化ガリウムの選択的堆積を用いてデバイス構造体を形成する方法及びそのためのシステム
KR20210104123A (ko) * 2018-12-21 2021-08-24 레르 리키드 쏘시에떼 아노님 뿌르 레뜌드 에렉스뿔라따시옹 데 프로세데 조르즈 클로드 550℃ 이상의 온도에서 ald를 사용하여 si-함유 막을 증착시키기 위한 전구체 및 방법
TW202405220A (zh) 2019-01-17 2024-02-01 荷蘭商Asm Ip 私人控股有限公司 藉由循環沈積製程於基板上形成含過渡金屬膜之方法
KR20200091543A (ko) 2019-01-22 2020-07-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
CN111524788B (zh) 2019-02-01 2023-11-24 Asm Ip私人控股有限公司 氧化硅的拓扑选择性膜形成的方法
EP3902939A4 (en) 2019-02-05 2022-09-28 Versum Materials US, LLC CARBON DOPED SILICON OXIDE DEPOSIT
KR20200102357A (ko) 2019-02-20 2020-08-31 에이에스엠 아이피 홀딩 비.브이. 3-d nand 응용의 플러그 충진체 증착용 장치 및 방법
KR102638425B1 (ko) 2019-02-20 2024-02-21 에이에스엠 아이피 홀딩 비.브이. 기판 표면 내에 형성된 오목부를 충진하기 위한 방법 및 장치
KR102626263B1 (ko) 2019-02-20 2024-01-16 에이에스엠 아이피 홀딩 비.브이. 처리 단계를 포함하는 주기적 증착 방법 및 이를 위한 장치
JP2020136677A (ja) 2019-02-20 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材表面内に形成された凹部を充填するための周期的堆積方法および装置
JP2020133004A (ja) 2019-02-22 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材を処理するための基材処理装置および方法
KR20200108242A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. 실리콘 질화물 층을 선택적으로 증착하는 방법, 및 선택적으로 증착된 실리콘 질화물 층을 포함하는 구조체
KR20200108243A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOC 층을 포함한 구조체 및 이의 형성 방법
KR20200108248A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOCN 층을 포함한 구조체 및 이의 형성 방법
JP2020167398A (ja) 2019-03-28 2020-10-08 エーエスエム・アイピー・ホールディング・ベー・フェー ドアオープナーおよびドアオープナーが提供される基材処理装置
KR20200116855A (ko) 2019-04-01 2020-10-13 에이에스엠 아이피 홀딩 비.브이. 반도체 소자를 제조하는 방법
US20210017198A1 (en) 2019-04-05 2021-01-21 Versum Materials Us, Llc Organoamino-Functionalized Cyclic Oligosiloxanes For Deposition Of Silicon-Containing Films
US11965238B2 (en) 2019-04-12 2024-04-23 Asm Ip Holding B.V. Selective deposition of metal oxides on metal surfaces
US11447864B2 (en) 2019-04-19 2022-09-20 Asm Ip Holding B.V. Layer forming method and apparatus
KR20200125453A (ko) 2019-04-24 2020-11-04 에이에스엠 아이피 홀딩 비.브이. 기상 반응기 시스템 및 이를 사용하는 방법
KR20200130118A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 비정질 탄소 중합체 막을 개질하는 방법
KR20200130121A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 딥 튜브가 있는 화학물질 공급원 용기
KR20200130652A (ko) 2019-05-10 2020-11-19 에이에스엠 아이피 홀딩 비.브이. 표면 상에 재료를 증착하는 방법 및 본 방법에 따라 형성된 구조
JP2020188255A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
JP2020188254A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
USD935572S1 (en) 2019-05-24 2021-11-09 Asm Ip Holding B.V. Gas channel plate
USD922229S1 (en) 2019-06-05 2021-06-15 Asm Ip Holding B.V. Device for controlling a temperature of a gas supply unit
KR20200141002A (ko) 2019-06-06 2020-12-17 에이에스엠 아이피 홀딩 비.브이. 배기 가스 분석을 포함한 기상 반응기 시스템을 사용하는 방법
KR20200143254A (ko) 2019-06-11 2020-12-23 에이에스엠 아이피 홀딩 비.브이. 개질 가스를 사용하여 전자 구조를 형성하는 방법, 상기 방법을 수행하기 위한 시스템, 및 상기 방법을 사용하여 형성되는 구조
USD944946S1 (en) 2019-06-14 2022-03-01 Asm Ip Holding B.V. Shower plate
USD931978S1 (en) 2019-06-27 2021-09-28 Asm Ip Holding B.V. Showerhead vacuum transport
KR20210005515A (ko) 2019-07-03 2021-01-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치용 온도 제어 조립체 및 이를 사용하는 방법
JP2021015791A (ja) 2019-07-09 2021-02-12 エーエスエム アイピー ホールディング ビー.ブイ. 同軸導波管を用いたプラズマ装置、基板処理方法
CN112216646A (zh) 2019-07-10 2021-01-12 Asm Ip私人控股有限公司 基板支撑组件及包括其的基板处理装置
KR20210010307A (ko) 2019-07-16 2021-01-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210010820A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 실리콘 게르마늄 구조를 형성하는 방법
KR20210010816A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 라디칼 보조 점화 플라즈마 시스템 및 방법
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
JP2021019198A (ja) 2019-07-19 2021-02-15 エーエスエム・アイピー・ホールディング・ベー・フェー トポロジー制御されたアモルファスカーボンポリマー膜の形成方法
TW202113936A (zh) 2019-07-29 2021-04-01 荷蘭商Asm Ip私人控股有限公司 用於利用n型摻雜物及/或替代摻雜物選擇性沉積以達成高摻雜物併入之方法
CN112309900A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
CN112309899A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
KR20210018759A (ko) 2019-08-05 2021-02-18 에이에스엠 아이피 홀딩 비.브이. 화학물질 공급원 용기를 위한 액체 레벨 센서
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
JP2021031769A (ja) 2019-08-21 2021-03-01 エーエスエム アイピー ホールディング ビー.ブイ. 成膜原料混合ガス生成装置及び成膜装置
USD930782S1 (en) 2019-08-22 2021-09-14 Asm Ip Holding B.V. Gas distributor
USD949319S1 (en) 2019-08-22 2022-04-19 Asm Ip Holding B.V. Exhaust duct
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
USD940837S1 (en) 2019-08-22 2022-01-11 Asm Ip Holding B.V. Electrode
KR20210024423A (ko) 2019-08-22 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 홀을 구비한 구조체를 형성하기 위한 방법
KR20210024420A (ko) 2019-08-23 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 비스(디에틸아미노)실란을 사용하여 peald에 의해 개선된 품질을 갖는 실리콘 산화물 막을 증착하기 위한 방법
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
US11186909B2 (en) * 2019-08-26 2021-11-30 Applied Materials, Inc. Methods of depositing low-K films
KR102138149B1 (ko) * 2019-08-29 2020-07-27 솔브레인 주식회사 박막 형성용 성장 억제제, 이를 이용한 박막 형성 방법 및 이로부터 제조된 반도체 기판
KR20210029090A (ko) 2019-09-04 2021-03-15 에이에스엠 아이피 홀딩 비.브이. 희생 캡핑 층을 이용한 선택적 증착 방법
KR20210029663A (ko) 2019-09-05 2021-03-16 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
US11164776B2 (en) 2019-09-30 2021-11-02 International Business Machines Corporation Metallic interconnect structure
CN112593212B (zh) 2019-10-02 2023-12-22 Asm Ip私人控股有限公司 通过循环等离子体增强沉积工艺形成拓扑选择性氧化硅膜的方法
TW202129060A (zh) 2019-10-08 2021-08-01 荷蘭商Asm Ip控股公司 基板處理裝置、及基板處理方法
TW202115273A (zh) 2019-10-10 2021-04-16 荷蘭商Asm Ip私人控股有限公司 形成光阻底層之方法及包括光阻底層之結構
KR20210045930A (ko) 2019-10-16 2021-04-27 에이에스엠 아이피 홀딩 비.브이. 실리콘 산화물의 토폴로지-선택적 막의 형성 방법
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
KR20210047808A (ko) 2019-10-21 2021-04-30 에이에스엠 아이피 홀딩 비.브이. 막을 선택적으로 에칭하기 위한 장치 및 방법
KR20210050453A (ko) 2019-10-25 2021-05-07 에이에스엠 아이피 홀딩 비.브이. 기판 표면 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
US11139163B2 (en) 2019-10-31 2021-10-05 Asm Ip Holding B.V. Selective deposition of SiOC thin films
KR20210054983A (ko) 2019-11-05 2021-05-14 에이에스엠 아이피 홀딩 비.브이. 도핑된 반도체 층을 갖는 구조체 및 이를 형성하기 위한 방법 및 시스템
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
KR20210062561A (ko) 2019-11-20 2021-05-31 에이에스엠 아이피 홀딩 비.브이. 기판의 표면 상에 탄소 함유 물질을 증착하는 방법, 상기 방법을 사용하여 형성된 구조물, 및 상기 구조물을 형성하기 위한 시스템
KR20210065848A (ko) 2019-11-26 2021-06-04 에이에스엠 아이피 홀딩 비.브이. 제1 유전체 표면과 제2 금속성 표면을 포함한 기판 상에 타겟 막을 선택적으로 형성하기 위한 방법
CN112951697A (zh) 2019-11-26 2021-06-11 Asm Ip私人控股有限公司 基板处理设备
CN112885693A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
CN112885692A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
JP2021090042A (ja) 2019-12-02 2021-06-10 エーエスエム アイピー ホールディング ビー.ブイ. 基板処理装置、基板処理方法
KR20210070898A (ko) 2019-12-04 2021-06-15 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
TW202125596A (zh) 2019-12-17 2021-07-01 荷蘭商Asm Ip私人控股有限公司 形成氮化釩層之方法以及包括該氮化釩層之結構
KR20210080214A (ko) 2019-12-19 2021-06-30 에이에스엠 아이피 홀딩 비.브이. 기판 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
TW202140135A (zh) 2020-01-06 2021-11-01 荷蘭商Asm Ip私人控股有限公司 氣體供應總成以及閥板總成
US11993847B2 (en) 2020-01-08 2024-05-28 Asm Ip Holding B.V. Injector
US20210225633A1 (en) * 2020-01-17 2021-07-22 Asm Ip Holding B.V. FORMATION OF SiOCN THIN FILMS
US20210225634A1 (en) * 2020-01-17 2021-07-22 Asm Ip Holding B.V. FORMATION OF SiCN THIN FILMS
KR20210095050A (ko) 2020-01-20 2021-07-30 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법 및 박막 표면 개질 방법
WO2021153986A1 (ko) * 2020-01-31 2021-08-05 주식회사 유피케미칼 실리콘 전구체 화합물, 이를 포함하는 실리콘-함유 막 형성용 조성물 및 실리콘-함유 막 형성 방법
TW202130846A (zh) 2020-02-03 2021-08-16 荷蘭商Asm Ip私人控股有限公司 形成包括釩或銦層的結構之方法
KR20210100010A (ko) 2020-02-04 2021-08-13 에이에스엠 아이피 홀딩 비.브이. 대형 물품의 투과율 측정을 위한 방법 및 장치
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
TW202146715A (zh) 2020-02-17 2021-12-16 荷蘭商Asm Ip私人控股有限公司 用於生長磷摻雜矽層之方法及其系統
TW202203344A (zh) 2020-02-28 2022-01-16 荷蘭商Asm Ip控股公司 專用於零件清潔的系統
KR20210116249A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 록아웃 태그아웃 어셈블리 및 시스템 그리고 이의 사용 방법
KR20210116240A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 조절성 접합부를 갖는 기판 핸들링 장치
KR20210117157A (ko) 2020-03-12 2021-09-28 에이에스엠 아이피 홀딩 비.브이. 타겟 토폴로지 프로파일을 갖는 층 구조를 제조하기 위한 방법
TW202140832A (zh) 2020-03-30 2021-11-01 荷蘭商Asm Ip私人控股有限公司 氧化矽在金屬表面上之選擇性沉積
TW202204658A (zh) 2020-03-30 2022-02-01 荷蘭商Asm Ip私人控股有限公司 在兩不同表面上同時選擇性沉積兩不同材料
TW202140833A (zh) 2020-03-30 2021-11-01 荷蘭商Asm Ip私人控股有限公司 相對於金屬表面在介電表面上之氧化矽的選擇性沉積
KR20210124042A (ko) 2020-04-02 2021-10-14 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법
EP4110968A4 (en) 2020-04-02 2023-09-13 Versum Materials US, LLC ORGANOAMINO-FUNCTIONALIZED CYCLIC OLIGOSILOXANES FOR THE DEPOSITION OF SILICON-CONTAINING FILM
TW202146689A (zh) 2020-04-03 2021-12-16 荷蘭商Asm Ip控股公司 阻障層形成方法及半導體裝置的製造方法
TW202145344A (zh) 2020-04-08 2021-12-01 荷蘭商Asm Ip私人控股有限公司 用於選擇性蝕刻氧化矽膜之設備及方法
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
US11996289B2 (en) 2020-04-16 2024-05-28 Asm Ip Holding B.V. Methods of forming structures including silicon germanium and silicon layers, devices formed using the methods, and systems for performing the methods
TW202146831A (zh) 2020-04-24 2021-12-16 荷蘭商Asm Ip私人控股有限公司 垂直批式熔爐總成、及用於冷卻垂直批式熔爐之方法
CN113555279A (zh) 2020-04-24 2021-10-26 Asm Ip私人控股有限公司 形成含氮化钒的层的方法及包含其的结构
KR20210132600A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐, 질소 및 추가 원소를 포함한 층을 증착하기 위한 방법 및 시스템
KR20210134226A (ko) 2020-04-29 2021-11-09 에이에스엠 아이피 홀딩 비.브이. 고체 소스 전구체 용기
KR20210134869A (ko) 2020-05-01 2021-11-11 에이에스엠 아이피 홀딩 비.브이. Foup 핸들러를 이용한 foup의 빠른 교환
KR20210141379A (ko) 2020-05-13 2021-11-23 에이에스엠 아이피 홀딩 비.브이. 반응기 시스템용 레이저 정렬 고정구
TW202147383A (zh) 2020-05-19 2021-12-16 荷蘭商Asm Ip私人控股有限公司 基材處理設備
KR20210145078A (ko) 2020-05-21 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 다수의 탄소 층을 포함한 구조체 및 이를 형성하고 사용하는 방법
KR20210145080A (ko) 2020-05-22 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 과산화수소를 사용하여 박막을 증착하기 위한 장치
TW202201602A (zh) 2020-05-29 2022-01-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202218133A (zh) 2020-06-24 2022-05-01 荷蘭商Asm Ip私人控股有限公司 形成含矽層之方法
TW202217953A (zh) 2020-06-30 2022-05-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202219628A (zh) 2020-07-17 2022-05-16 荷蘭商Asm Ip私人控股有限公司 用於光微影之結構與方法
TW202204662A (zh) 2020-07-20 2022-02-01 荷蘭商Asm Ip私人控股有限公司 用於沉積鉬層之方法及系統
TW202212623A (zh) 2020-08-26 2022-04-01 荷蘭商Asm Ip私人控股有限公司 形成金屬氧化矽層及金屬氮氧化矽層的方法、半導體結構、及系統
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
TW202229613A (zh) 2020-10-14 2022-08-01 荷蘭商Asm Ip私人控股有限公司 於階梯式結構上沉積材料的方法
KR20220053482A (ko) 2020-10-22 2022-04-29 에이에스엠 아이피 홀딩 비.브이. 바나듐 금속을 증착하는 방법, 구조체, 소자 및 증착 어셈블리
TW202223136A (zh) 2020-10-28 2022-06-16 荷蘭商Asm Ip私人控股有限公司 用於在基板上形成層之方法、及半導體處理系統
US11447865B2 (en) * 2020-11-17 2022-09-20 Applied Materials, Inc. Deposition of low-κ films
TW202235675A (zh) 2020-11-30 2022-09-16 荷蘭商Asm Ip私人控股有限公司 注入器、及基板處理設備
CN114639631A (zh) 2020-12-16 2022-06-17 Asm Ip私人控股有限公司 跳动和摆动测量固定装置
TW202231903A (zh) 2020-12-22 2022-08-16 荷蘭商Asm Ip私人控股有限公司 過渡金屬沉積方法、過渡金屬層、用於沉積過渡金屬於基板上的沉積總成
TWI753739B (zh) 2021-01-08 2022-01-21 閎康科技股份有限公司 物性分析方法、物性分析試片及其製備方法
US20220262649A1 (en) * 2021-02-18 2022-08-18 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor Device and Method
CN116802776A (zh) * 2021-02-26 2023-09-22 株式会社国际电气 半导体器件的制造方法、衬底处理装置及程序
US11942426B2 (en) 2021-05-06 2024-03-26 International Business Machines Corporation Semiconductor structure having alternating selective metal and dielectric layers
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
USD1023959S1 (en) 2021-05-11 2024-04-23 Asm Ip Holding B.V. Electrode for substrate processing apparatus
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate

Family Cites Families (151)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US2907785A (en) 1957-10-07 1959-10-06 Du Pont Organic compounds of silicon and phosphorus and their preparation
DE1158972B (de) 1961-03-25 1963-12-12 Franz Josef Carduck Dipl Chem Verfahren zur Herstellung von Trisilylaminen
GB1006803A (en) 1963-05-10 1965-10-06 Standard Telephones Cables Ltd Improvements in or relating to semiconductor devices
US3532728A (en) 1965-01-27 1970-10-06 Monsanto Co Process for preparing high temperature resistant 1,3 - diaza-2-sila-cycloalkane derivatives
US4200666A (en) 1978-08-02 1980-04-29 Texas Instruments Incorporated Single component monomer for silicon nitride deposition
US4397828A (en) 1981-11-16 1983-08-09 Massachusetts Institute Of Technology Stable liquid polymeric precursor to silicon nitride and process
JPH0629123B2 (ja) 1984-09-18 1994-04-20 東芝シリコーン株式会社 ケイ素セラミックスの製造方法
JPS6172614A (ja) 1984-09-18 1986-04-14 Toshiba Silicone Co Ltd 水素化ケイ素化合物の製造方法
JPS61234534A (ja) 1985-04-11 1986-10-18 Semiconductor Energy Lab Co Ltd 窒化珪素被膜作成方法
US4675424A (en) 1986-03-19 1987-06-23 Union Carbide Corporation Method for making polysilazanes
US4720395A (en) 1986-08-25 1988-01-19 Anicon, Inc. Low temperature silicon nitride CVD process
US4882256A (en) 1986-10-14 1989-11-21 Minolta Camera Kabushiki Kaisha Photosensitive member having an overcoat layer comprising amorphous carbon
JPH0211587A (ja) 1988-06-29 1990-01-16 Shin Etsu Chem Co Ltd シリル化方法
EP0423884A1 (en) 1989-10-16 1991-04-24 Koninklijke Philips Electronics N.V. Method for deposition of silicon nitride layers on glass substrates
US5132354A (en) 1990-06-15 1992-07-21 Ethyl Corporation Silicon nitride precursor polymer
JP2551901B2 (ja) 1991-07-26 1996-11-06 エフ エム シー コーポレーション 接触アルキル化方法
US5340507A (en) 1991-07-26 1994-08-23 Fmc Corporation Catalyzed hydrocarbyllithium process
US5211888A (en) 1991-07-26 1993-05-18 Fmc Corporation Catalyzed hydrocarbyllithium process
EP0551771B1 (en) 1992-01-08 1997-07-30 Nippon Oil Co. Ltd. Process for producing polysilanes
US5932286A (en) 1993-03-16 1999-08-03 Applied Materials, Inc. Deposition of silicon nitride thin films
JP2508581B2 (ja) 1993-05-28 1996-06-19 日本電気株式会社 化学気相成長法
FR2708924B1 (fr) 1993-08-12 1995-10-20 Saint Gobain Vitrage Int Procédé de dépôt d'une couche de nitrure métallique sur un substrat transparent.
US5413813A (en) 1993-11-23 1995-05-09 Enichem S.P.A. CVD of silicon-based ceramic materials on internal surface of a reactor
US5663398A (en) 1996-05-17 1997-09-02 Fmc Corporation Processes for preparing functionalized alkyllithium compounds
US5888579A (en) 1996-07-29 1999-03-30 Texas Instruments-Acer Incorporated Method and apparatus for preventing particle contamination in a process chamber
JP3516815B2 (ja) 1996-08-06 2004-04-05 触媒化成工業株式会社 シリカ系被膜形成用塗布液および被膜付基材
FR2759362B1 (fr) 1997-02-10 1999-03-12 Saint Gobain Vitrage Substrat transparent muni d'au moins une couche mince a base de nitrure ou d'oxynitrure de silicium et son procede d'obtention
JPH1174485A (ja) 1997-06-30 1999-03-16 Toshiba Corp 半導体装置およびその製造方法
US5874368A (en) 1997-10-02 1999-02-23 Air Products And Chemicals, Inc. Silicon nitride from bis(tertiarybutylamino)silane
US6566281B1 (en) 1997-10-15 2003-05-20 International Business Machines Corporation Nitrogen-rich barrier layer and structures formed
US5968611A (en) 1997-11-26 1999-10-19 The Research Foundation Of State University Of New York Silicon nitrogen-based films and method of making the same
US6365231B2 (en) 1998-06-26 2002-04-02 Kabushiki Kaisha Toshiba Ammonium halide eliminator, chemical vapor deposition system and chemical vapor deposition process
JP2001168092A (ja) 1999-01-08 2001-06-22 Toshiba Corp 半導体装置およびその製造方法
US6645884B1 (en) 1999-07-09 2003-11-11 Applied Materials, Inc. Method of forming a silicon nitride layer on a substrate
US6630413B2 (en) 2000-04-28 2003-10-07 Asm Japan K.K. CVD syntheses of silicon nitride materials
JP2002009072A (ja) 2000-06-23 2002-01-11 Tokyo Electron Ltd シリコン窒化膜の形成方法及び形成装置
US7049308B2 (en) 2000-10-26 2006-05-23 Duke University C-nitroso compounds and use thereof
EP1421607A2 (en) 2001-02-12 2004-05-26 ASM America, Inc. Improved process for deposition of semiconductor films
KR20030018134A (ko) * 2001-08-27 2003-03-06 한국전자통신연구원 조성과 도핑 농도의 제어를 위한 반도체 소자의 절연막형성 방법
JP4116283B2 (ja) 2001-11-30 2008-07-09 レール・リキード−ソシエテ・アノニム・プール・レテュード・エ・レクスプロワタシオン・デ・プロセデ・ジョルジュ・クロード ヘキサキス(モノヒドロカルビルアミノ)ジシランおよびその製造方法
JP4021653B2 (ja) 2001-11-30 2007-12-12 レール・リキード−ソシエテ・アノニム・プール・レテュード・エ・レクスプロワタシオン・デ・プロセデ・ジョルジュ・クロード Cvd法によるシリコン窒化物膜またはシリコンオキシ窒化物膜の製造方法
JP2003209110A (ja) 2002-01-17 2003-07-25 Sony Corp 金属酸窒化膜の製造方法および絶縁ゲート型電界効果トランジスタおよびその製造方法
DE10208822A1 (de) 2002-03-01 2003-09-11 Solvent Innovation Gmbh Halogenfreie ionische Flüssigkeiten
KR20040097265A (ko) 2002-04-04 2004-11-17 데구사 아게 두자리 리간드로서의 비스포스핀
JP4358492B2 (ja) 2002-09-25 2009-11-04 レール・リキード−ソシエテ・アノニム・プール・レテュード・エ・レクスプロワタシオン・デ・プロセデ・ジョルジュ・クロード 熱化学気相成長法によるシリコン窒化物膜またはシリコンオキシ窒化物膜の製造方法
US7972663B2 (en) 2002-12-20 2011-07-05 Applied Materials, Inc. Method and apparatus for forming a high quality low temperature silicon nitride layer
US7122222B2 (en) 2003-01-23 2006-10-17 Air Products And Chemicals, Inc. Precursors for depositing silicon containing films and processes thereof
JP4403824B2 (ja) 2003-05-26 2010-01-27 東京エレクトロン株式会社 シリコン窒化膜の成膜方法
JP4259247B2 (ja) 2003-09-17 2009-04-30 東京エレクトロン株式会社 成膜方法
US6974781B2 (en) 2003-10-20 2005-12-13 Asm International N.V. Reactor precoating for reduced stress and uniform CVD
US7005317B2 (en) * 2003-10-27 2006-02-28 Intel Corporation Controlled fracture substrate singulation
TW200526804A (en) 2003-10-30 2005-08-16 Tokyo Electron Ltd Method of manufacturing semiconductor device, film-forming apparatus, and storage medium
US20050227017A1 (en) 2003-10-31 2005-10-13 Yoshihide Senzaki Low temperature deposition of silicon nitride
US20050181633A1 (en) 2004-02-17 2005-08-18 Hochberg Arthur K. Precursors for depositing silicon-containing films and processes thereof
JP4279176B2 (ja) 2004-03-02 2009-06-17 株式会社アルバック シリコン窒化膜の形成方法
US7098150B2 (en) * 2004-03-05 2006-08-29 Air Liquide America L.P. Method for novel deposition of high-k MSiON dielectric films
JP2006016641A (ja) 2004-06-30 2006-01-19 L'air Liquide Sa Pour L'etude & L'exploitation Des Procede S Georges Claude 金属シリコンオキサイドの製造方法、金属シリコンオキシナイトライドの製造方法、およびシリコンドープされた金属ナイトライドの製造方法
KR100569220B1 (ko) * 2004-04-06 2006-04-10 한국과학기술원 플라즈마 디스플레이 패널용 유전체 조성물
JP4470023B2 (ja) 2004-08-20 2010-06-02 レール・リキード−ソシエテ・アノニム・プール・レテュード・エ・レクスプロワタシオン・デ・プロセデ・ジョルジュ・クロード シリコン窒化物膜の製造方法
US8163261B2 (en) 2005-04-05 2012-04-24 Voltaix, Llc System and method for making Si2H6 and higher silanes
US7875556B2 (en) 2005-05-16 2011-01-25 Air Products And Chemicals, Inc. Precursors for CVD silicon carbo-nitride and silicon nitride films
US7601652B2 (en) 2005-06-21 2009-10-13 Applied Materials, Inc. Method for treating substrates and films with photoexcitation
JP4554446B2 (ja) 2005-06-21 2010-09-29 ルネサスエレクトロニクス株式会社 半導体装置の製造方法
KR101283835B1 (ko) 2005-06-29 2013-07-08 레르 리키드 쏘시에떼 아노님 뿌르 레?드 에렉스뿔라따시옹 데 프로세데 조르즈 클로드 3원 막의 증착 방법
US20070031598A1 (en) 2005-07-08 2007-02-08 Yoshikazu Okuyama Method for depositing silicon-containing films
EP1904493B1 (en) 2005-07-08 2013-03-27 Shangai Institute of Materia Medica, Chinese Academy of Sciences Tetrahydroprotoberberine compounds, the synthetic method and the use thereof
US20070010072A1 (en) 2005-07-09 2007-01-11 Aviza Technology, Inc. Uniform batch film deposition process and films so produced
US7943721B2 (en) 2005-10-05 2011-05-17 Kovio, Inc. Linear and cross-linked high molecular weight polysilanes, polygermanes, and copolymers thereof, compositions containing the same, and methods of making and using such compounds and compositions
US8153832B2 (en) 2006-04-03 2012-04-10 L'air Liquide Societe Anonyme Pour L'etude Et L'exploitation Des Procedes Georges Claude Pentakis(dimethylamino) disilane precursor comprising compound and method for the preparation thereof
US8377511B2 (en) 2006-04-03 2013-02-19 L'air Liquide Societe Anonyme Pour L'etude Et L'exploitation Des Procedes Georges Claude Method for depositing silicon nitride films and/or silicon oxynitride films by chemical vapor deposition
US7875312B2 (en) * 2006-05-23 2011-01-25 Air Products And Chemicals, Inc. Process for producing silicon oxide films for organoaminosilane precursors
US8530361B2 (en) * 2006-05-23 2013-09-10 Air Products And Chemicals, Inc. Process for producing silicon and oxide films from organoaminosilane precursors
US7638645B2 (en) 2006-06-28 2009-12-29 President And Fellows Of Harvard University Metal (IV) tetra-amidinate compounds and their use in vapor deposition
BRPI0603210A (pt) 2006-08-15 2008-04-08 Petroleo Brasileiro Sa método de preparação de lìquidos iÈnicos isentos de halogenetos e lìquidos iÈnicos assim preparados
US9245739B2 (en) 2006-11-01 2016-01-26 Lam Research Corporation Low-K oxide deposition by hydrolysis and condensation
KR20120118060A (ko) 2006-11-02 2012-10-25 어드밴스드 테크놀러지 머티리얼즈, 인코포레이티드 금속 박막의 cvd/ald용으로 유용한 안티몬 및 게르마늄 착체
US20080241575A1 (en) 2007-03-28 2008-10-02 Lavoie Adrein R Selective aluminum doping of copper interconnects and structures formed thereby
WO2008129508A2 (en) 2007-04-20 2008-10-30 L'air Liquide, Societe Anonyme Pour L'etude Et L'exploitation Des Procedes Georges Claude Deposition of transition metal carbide containing films
JP5437594B2 (ja) 2007-06-05 2014-03-12 ローム・アンド・ハース・エレクトロニック・マテリアルズ,エル.エル.シー. 有機金属化合物
US7605092B2 (en) 2007-06-29 2009-10-20 Silicon Storage Technology, Inc. Passive elements, articles, packages, semiconductor composites, and methods of manufacturing same
US20090075490A1 (en) 2007-09-18 2009-03-19 L'air Liquite Societe Anonyme Pour L'etude Et L'exploitation Des Procedes Georges Claude Method of forming silicon-containing films
US7867923B2 (en) * 2007-10-22 2011-01-11 Applied Materials, Inc. High quality silicon oxide films by remote plasma CVD from disilane precursors
US7960205B2 (en) 2007-11-27 2011-06-14 Air Products And Chemicals, Inc. Tellurium precursors for GST films in an ALD or CVD process
US20090162973A1 (en) 2007-12-21 2009-06-25 Julien Gatineau Germanium precursors for gst film deposition
JP5575582B2 (ja) 2007-12-26 2014-08-20 株式会社日立国際電気 半導体装置の製造方法、基板処理方法および基板処理装置
US9034105B2 (en) 2008-01-10 2015-05-19 American Air Liquide, Inc. Solid precursor sublimator
US8193388B2 (en) 2008-04-15 2012-06-05 American Air Liquide, Inc. Compounds for depositing tellurium-containing films
WO2009142663A1 (en) 2008-05-21 2009-11-26 The Regents Of The University Of Colorado Ionic liquids and methods for using same
US20090291874A1 (en) 2008-05-21 2009-11-26 Bara Jason E Ionic liquids and methods for using the same
US8101237B2 (en) 2008-05-29 2012-01-24 L'Air Liquide SociétéAnonyme pour I'Etude et I'Exploitation des Procédés Georges Claude Tellurium precursors for film deposition
US8636845B2 (en) 2008-06-25 2014-01-28 L'Air Liquide, Société Anonyme pour l'Etude et l'Exploitation des Procédés Georges Claude Metal heterocyclic compounds for deposition of thin films
US8236381B2 (en) 2008-08-08 2012-08-07 L'air Liquide Societe Anonyme Pour L'etude Et L'exploitation Des Procedes Georges Claude Metal piperidinate and metal pyridinate precursors for thin film deposition
US8772524B2 (en) * 2008-10-20 2014-07-08 Dow Corning Corporation CVD precursors
JP5671711B2 (ja) 2009-06-04 2015-02-18 レール リキッド, ソシエテ アノニム プール レチュード エ レクスプロイタシオン デ プロセデ ジョルジュ クロード トリシリルアミンを生成するための装置および方法
JP2011023718A (ja) * 2009-07-15 2011-02-03 Asm Japan Kk PEALDによってSi−N結合を有するストレス調節された誘電体膜を形成する方法
US8980382B2 (en) * 2009-12-02 2015-03-17 Applied Materials, Inc. Oxygen-doping for non-carbon radical-component CVD films
JP5467007B2 (ja) * 2009-09-30 2014-04-09 株式会社日立国際電気 半導体装置の製造方法および基板処理装置
US8173554B2 (en) 2009-10-14 2012-05-08 Asm Japan K.K. Method of depositing dielectric film having Si-N bonds by modified peald method
US8415259B2 (en) 2009-10-14 2013-04-09 Asm Japan K.K. Method of depositing dielectric film by modified PEALD method
WO2011056519A2 (en) 2009-10-26 2011-05-12 Asm International N.V. Synthesis and use of precursors for ald of group va element containing thin films
US20120328863A1 (en) * 2010-01-13 2012-12-27 Chung Mien Kuo Silicone-Based Releasable Adhesive Composition, Sheet-Form Substrate Having Releasable Adhesive Layer Formed By Curing This Composition, And Use Of Such A Protective Film Or Fixing Sheet
TWI498447B (zh) 2010-04-01 2015-09-01 Air Liquide 使用胺基金屬與鹵化金屬前驅物組合之含金屬氮化物之薄膜沈積
US8993460B2 (en) 2013-01-10 2015-03-31 Novellus Systems, Inc. Apparatuses and methods for depositing SiC/SiCN films via cross-metathesis reactions with organometallic co-reactants
US9228120B2 (en) 2010-06-07 2016-01-05 Central Glass Company, Limited Liquid chemical for forming protecting film
US8318584B2 (en) 2010-07-30 2012-11-27 Applied Materials, Inc. Oxide-rich liner layer for flowable CVD gapfill
US8394466B2 (en) 2010-09-03 2013-03-12 Asm Japan K.K. Method of forming conformal film having si-N bonds on high-aspect ratio pattern
US20120213940A1 (en) 2010-10-04 2012-08-23 Applied Materials, Inc. Atomic layer deposition of silicon nitride using dual-source precursor and interleaved plasma
CN102173398A (zh) 2011-01-14 2011-09-07 中国人民解放军国防科学技术大学 一种低分子无碳聚硅氮烷及其液相合成方法
US8771807B2 (en) * 2011-05-24 2014-07-08 Air Products And Chemicals, Inc. Organoaminosilane precursors and methods for making and using same
EP2714960B1 (en) * 2011-06-03 2018-02-28 Versum Materials US, LLC Compositions and processes for depositing carbon-doped silicon-containing films
WO2013052673A2 (en) 2011-10-07 2013-04-11 Voltaix, Inc. Apparatus and method for the condensed phase production of trisilylamine
CN103748150B (zh) 2011-10-20 2016-03-30 东丽电池隔膜株式会社 多孔膜的制备方法以及该多孔膜、电池用隔膜及电池
KR20140105503A (ko) 2011-12-02 2014-09-01 사빅 글로벌 테크놀러지스 비.브이. 코팅된 중합체 필름
US8592328B2 (en) 2012-01-20 2013-11-26 Novellus Systems, Inc. Method for depositing a chlorine-free conformal sin film
US20130209343A1 (en) 2012-02-10 2013-08-15 American Air Liquide, Inc. Liquid phase synthesis of trisilylamine
US8871656B2 (en) * 2012-03-05 2014-10-28 Applied Materials, Inc. Flowable films using alternative silicon precursors
US8846536B2 (en) 2012-03-05 2014-09-30 Novellus Systems, Inc. Flowable oxide film with tunable wet etch rate
WO2013134653A1 (en) * 2012-03-09 2013-09-12 Air Products And Chemicals, Inc. Methods for making silicon containing films on thin film transistor devices
US9337018B2 (en) 2012-06-01 2016-05-10 Air Products And Chemicals, Inc. Methods for depositing films with organoaminodisilane precursors
US9978585B2 (en) 2012-06-01 2018-05-22 Versum Materials Us, Llc Organoaminodisilane precursors and methods for depositing films comprising same
JP5874546B2 (ja) 2012-06-21 2016-03-02 富士通株式会社 半導体装置の実装構造
JP2015528011A (ja) * 2012-07-20 2015-09-24 レール・リキード−ソシエテ・アノニム・プール・レテュード・エ・レクスプロワタシオン・デ・プロセデ・ジョルジュ・クロード Ald/cvdシリコン含有膜用のオルガノシラン前駆体
KR101361454B1 (ko) 2012-08-23 2014-02-21 이근수 반도체 소자의 실리콘 산화막 형성 방법
JP6101467B2 (ja) 2012-10-04 2017-03-22 東京エレクトロン株式会社 成膜方法及び成膜装置
US9330899B2 (en) * 2012-11-01 2016-05-03 Asm Ip Holding B.V. Method of depositing thin film
KR20140057908A (ko) 2012-11-05 2014-05-14 삼성전자주식회사 무선 통신 시스템에서 섹터 스위핑을 수행하는 방법 및 장치
US10279959B2 (en) 2012-12-11 2019-05-07 Versum Materials Us, Llc Alkoxysilylamine compounds and applications thereof
KR101583232B1 (ko) * 2012-12-31 2016-01-07 제일모직 주식회사 중합체 제조 방법 및 실리카계 절연막 형성용 조성물
JP6414938B2 (ja) * 2013-03-15 2018-10-31 デノブクス,エルエルシー 表面エネルギーを改質した非晶質を使用した溶液からの意図された核形成及び結晶成長
DE102013209802A1 (de) 2013-05-27 2014-11-27 Evonik Industries Ag Verfahren zur gekoppelten Herstellung von Trisilylamin und Polysilazanen mit einer Molmasse bis 500 g/mol
US9245740B2 (en) 2013-06-07 2016-01-26 Dnf Co., Ltd. Amino-silyl amine compound, method for preparing the same and silicon-containing thin-film using the same
WO2014196827A2 (en) 2013-06-07 2014-12-11 Dnf Co., Ltd. Novel amino-silyl amine compound, method for perparing the 'same and silicon-containing thin-film using the same
US9382269B2 (en) * 2013-09-27 2016-07-05 Voltaix, Llc Halogen free syntheses of aminosilanes by catalytic dehydrogenative coupling
WO2015045426A1 (en) * 2013-09-30 2015-04-02 Toyo Gosei Co., Ltd. Compounders for Enhancing Generation of Chemical Species
KR101720017B1 (ko) * 2014-01-08 2017-03-27 (주)디엔에프 신규한 트리실릴아민 유도체, 이의 제조방법 및 이를 이용한 실리콘 함유 박막
WO2015105337A1 (en) 2014-01-08 2015-07-16 Dnf Co.,Ltd. Novel trisilyl amine derivative, method for preparing the same and silicon-containing thin film using the same
US9233990B2 (en) 2014-02-28 2016-01-12 Air Products And Chemicals, Inc. Organoaminosilanes and methods for making same
DE102014204785A1 (de) 2014-03-14 2015-09-17 Evonik Degussa Gmbh Verfahren zur Herstellung von reinem Trisilylamin
WO2015190749A1 (en) 2014-06-11 2015-12-17 Dnf Co., Ltd. Novel amino-silyl amine compound and the manufacturing method of dielectric film containing si-n bond by using atomic layer deposition
KR101875183B1 (ko) * 2014-06-11 2018-07-06 (주)디엔에프 신규한 아미노실릴아민 화합물 및 원자층 증착법을 이용한 Si-N 결합을 포함하는 절연막의 제조방법
SG11201703195QA (en) 2014-10-24 2017-05-30 Versum Materials Us Llc Compositions and methods using same for deposition of silicon-containing film
US10354860B2 (en) * 2015-01-29 2019-07-16 Versum Materials Us, Llc Method and precursors for manufacturing 3D devices
US9777025B2 (en) * 2015-03-30 2017-10-03 L'Air Liquide, Société pour l'Etude et l'Exploitation des Procédés Georges Claude Si-containing film forming precursors and methods of using the same
US20170051405A1 (en) 2015-08-18 2017-02-23 Asm Ip Holding B.V. Method for forming sin or sicn film in trenches by peald
KR102188750B1 (ko) * 2015-09-11 2020-12-08 버슘머트리얼즈 유에스, 엘엘씨 콘포말한 금속 또는 메탈로이드 실리콘 니트라이드 막을 증착시키는 방법 및 얻어진 막
WO2017070192A1 (en) 2015-10-22 2017-04-27 Applied Materials, Inc. METHODS OF DEPOSITING FLOWABLE FILMS COMPRISING SiO and SiN
SG11201807211XA (en) 2016-02-26 2018-09-27 Versum Materials Us Llc Compositions and methods using same for deposition of silicon-containing film
US10384944B2 (en) 2016-05-19 2019-08-20 L'Air Liquide, Société Anonyme pour l'Etude et l'Exploitation des Procédés Georges Claude Preparation of Si—H containing iodosilanes via halide exchange reaction
US10647578B2 (en) 2016-12-11 2020-05-12 L'Air Liquide, Société Anonyme pour l'Etude et l'Exploitation des Procédés Georges Claude N—H free and SI-rich per-hydridopolysilzane compositions, their synthesis, and applications
US10192734B2 (en) 2016-12-11 2019-01-29 L'Air Liquide, Société Anonyme pour l'Etude et l'Exploration des Procédés Georges Claude Short inorganic trisilylamine-based polysilazanes for thin film deposition
US11078569B2 (en) 2017-09-19 2021-08-03 Versum Materials Us, Llc Trisilylamine derivatives as precursors for high growth rate silicon-containing films

Also Published As

Publication number Publication date
CN107636198A (zh) 2018-01-26
TW202108596A (zh) 2021-03-01
US20190362961A1 (en) 2019-11-28
CN111041456B (zh) 2021-12-10
KR20180034710A (ko) 2018-04-04
JP2021088764A (ja) 2021-06-10
JP2018524464A (ja) 2018-08-30
JP2018518598A (ja) 2018-07-12
KR20170021379A (ko) 2017-02-27
TWI757922B (zh) 2022-03-11
JP7320544B2 (ja) 2023-08-03
JP2018510968A (ja) 2018-04-19
KR101845279B1 (ko) 2018-04-04
TWI710566B (zh) 2020-11-21
JP6537633B2 (ja) 2019-07-03
KR102092447B1 (ko) 2020-03-24
EP3277698A1 (en) 2018-02-07
CN107636198B (zh) 2020-01-31
CN107429389A (zh) 2017-12-01
JP6827457B2 (ja) 2021-02-10
US11699584B2 (en) 2023-07-11
US20150376211A1 (en) 2015-12-31
JP2019060024A (ja) 2019-04-18
KR20170029581A (ko) 2017-03-15
US20210225635A1 (en) 2021-07-22
EP3277698A4 (en) 2019-03-20
EP3277698B1 (en) 2021-04-28
TW201641505A (zh) 2016-12-01
CN111041456A (zh) 2020-04-21
CN107429389B (zh) 2019-09-24
US10403494B2 (en) 2019-09-03
SG11201707756WA (en) 2017-10-30
JP6494137B2 (ja) 2019-04-03
US9777025B2 (en) 2017-10-03
KR101803245B1 (ko) 2017-11-29
WO2016201314A1 (en) 2016-12-15
CN107667187B (zh) 2019-07-02
TWI718136B (zh) 2021-02-11
CN107667187A (zh) 2018-02-06
SG10201810679XA (en) 2019-01-30
WO2016201320A1 (en) 2016-12-15
WO2016160990A1 (en) 2016-10-06
KR20180008372A (ko) 2018-01-24
JP6441498B2 (ja) 2018-12-19
US20180022761A1 (en) 2018-01-25
KR102113412B1 (ko) 2020-05-20

Similar Documents

Publication Publication Date Title
JP7320544B2 (ja) Si含有膜形成組成物およびその使用方法
EP3307744B1 (en) Vapor deposition processes for forming silicon- and oxygen-containing thin films
US9719167B2 (en) Cobalt-containing film forming compositions, their synthesis, and use in film deposition
US10011903B2 (en) Manganese-containing film forming compositions, their synthesis, and use in film deposition
EP3307745B1 (en) Vapor deposition processes for forming silicon- and nitrogen-containing thin films
US20160115586A1 (en) Group 8-containing film forming compositions, their synthesis, and use in film deposition