JP4870759B2 - 3成分膜の新規な堆積方法 - Google Patents

3成分膜の新規な堆積方法 Download PDF

Info

Publication number
JP4870759B2
JP4870759B2 JP2008518643A JP2008518643A JP4870759B2 JP 4870759 B2 JP4870759 B2 JP 4870759B2 JP 2008518643 A JP2008518643 A JP 2008518643A JP 2008518643 A JP2008518643 A JP 2008518643A JP 4870759 B2 JP4870759 B2 JP 4870759B2
Authority
JP
Japan
Prior art keywords
source
transition metal
silicon
metal
film
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Expired - Fee Related
Application number
JP2008518643A
Other languages
English (en)
Other versions
JP2008545061A (ja
Inventor
デュサラ、クリスティアン
和孝 柳田
ガティノー、ジュリアン
Original Assignee
レール・リキード−ソシエテ・アノニム・プール・レテュード・エ・レクスプロワタシオン・デ・プロセデ・ジョルジュ・クロード
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by レール・リキード−ソシエテ・アノニム・プール・レテュード・エ・レクスプロワタシオン・デ・プロセデ・ジョルジュ・クロード filed Critical レール・リキード−ソシエテ・アノニム・プール・レテュード・エ・レクスプロワタシオン・デ・プロセデ・ジョルジュ・クロード
Publication of JP2008545061A publication Critical patent/JP2008545061A/ja
Application granted granted Critical
Publication of JP4870759B2 publication Critical patent/JP4870759B2/ja
Expired - Fee Related legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Classifications

    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/06Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of metallic material
    • C23C16/18Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of metallic material from metallo-organic compounds
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/34Nitrides
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes

Landscapes

  • Chemical & Material Sciences (AREA)
  • General Chemical & Material Sciences (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Engineering & Computer Science (AREA)
  • Materials Engineering (AREA)
  • Mechanical Engineering (AREA)
  • Metallurgy (AREA)
  • Organic Chemistry (AREA)
  • Inorganic Chemistry (AREA)
  • Chemical Vapour Deposition (AREA)
  • Electrodes Of Semiconductors (AREA)

Description

半導体装置の製造は、下地ローk誘電体層と銅配線の間にローk誘電体の銅被毒を防ぐためのバリアとして用いられる薄い遷移金属含有膜(典型的に窒化タンタルまたは窒化チタン)を供する。メモリ適当のために電極の上部または底部に既に用いられているので、この種のフィルムはCMOSにおいてハイk誘電体薄膜との組み合わせで金属電極に同様に供することが期待されている。一般式MxSiyztを持つ遷移金属含有膜をハイkまたはローk膜上に堆積することはゲート電極またはバリア層のいずれかを形成する。金属膜の成長のための典型的な方法は、化学気相堆積法、パルス化学気相堆積法および原子層堆積法を含む。集積回路装置寸法が縮小するので、金属を基にする誘電体膜の使用はゲート電極として用いられるこれら金属および多結晶シリコン(ポリSi)の使用の互換性に比べて問題を提起する。金属を基にしたゲート電極の新しい分類は、現在、空乏層、相互汚染のような問題を克服すると考えられている。
Cu相互配線または電極とローk誘電体膜の間に挟まれるバリア層としての金属シリコン窒化物の適用は、金属およびシリコンを含む化合物の適用の他の例である。前記金属窒化物は、良好な導電性を有し、かつまたCuによるローk誘電体膜の汚染を防ぐことができる。また、バリア層の低抵抗はRC遅延低減の観点から有益である。
金属シリコン窒化物膜は、これまで例えばアンモニアおよびハロゲン化金属(例えばTiCl4,TaCl5)を用いるCVDによって形成されている。しかしながら、この取り込み方は高い熱出費、高い処理温度(>650℃)を必要とし、かつバック・エンド・オブ・ライン(BEOL)法との互換性がない。
US−B−6,602,783は、CVDによる金属窒化物膜形成のためにアンモニアおよびアミノ金属前駆体(例えばTDMAT,TDEAT,TBTDET,TAIMATA)の使用を開示している。そのようなアミノ金属前駆体の使用は、例えばCVD−TiSiNの膜性状を改善するために見出されている。金属窒化物膜の形成はアミノ金属前駆体、シランSiH4を用いるCVDによって少量のシリコンがドープされ、かつアンモニアはバリア性状の改善に関して有益であることも見出されている。しかしながら、SiH4は高圧力発火ガスであり、かつSiH4漏れは損害を引き起こす本質的なリスクをもたらす。一方、ジアルキルアミノシランSi(NR1R2)4がシランの代わりにシリコン源として用いる場合、1つは膜に多量の炭素の取り込みの強力なポテンシャルおよび増大したバリア層抵抗を与える。窒素および/またはシリコンに基づく化合物は、その目的に非常に効果的であることが見出されている。したがって、要求される電気的性状(適切な仕事関数、高導電性)を持つ金属膜堆積の新しい方法を開発することが望ましい。
特別な関心事は、それゆえ、金属窒化物、金属シリサイドまたはシリコン窒化物のいずれかである遷移金属含有膜(“MSiN”)の形成である。金属膜を形成することは、典型的に金属源、シリコン源および窒素源(ここに“前駆体”として一括して呼ぶ)を含む適切な化学品を適切な量で堆積装置、ここで基板は上昇された温度に保持される、に供給することを含む。前記前駆体は、“配送システム”を通して堆積チャンバに供給される。“配送システム”は、前記堆積装置に供給される種々の前駆体の量を測定し、制御するシステムである。種々の配送システムは、当業者に知られている。一旦堆積チャンバに入れば、前駆体は反応し、“形成”工程で膜を基板上に堆積する。この適用で用いられる“形成”工程は、物質が基板上に堆積されるか、または基板上の分子組成物もしくは膜構成が変更される工程である。前記膜の“所望最終組成”は厳密な化学組成および最終形成工程の完了後の層の原子構成である。タンタル、チタンおよびタングステンの化合物、金属、金属窒化物、金属シリサイドまたは金属シリコン窒化物のいずれかは最も見込みのあるバリアまたは電極材料である。前記形成工程用金属源は、典型的に液体前駆体または溶媒中に所望の金属を含む液体前駆体溶液である。同様に、今日有用なシリコン源は典型的に低蒸気圧を有する液体前駆体を用いる。気化器または適切な溶媒への前駆体の希釈を含む、低蒸気圧シリコン化合物の配送の異なる手段が開発されている。
原子層堆積法(ALD)が用いられると、反応は自己終結して良好な制御処理を許容し、かつそれゆえ、有機前駆体は前駆体自身の化学的安定性、窒化のための反応性および炭素量制御のようないくつかの問題を提起する。金属ハロゲン化物の使用は、それゆえ広範囲に研究されている。
US−B−6,139,922は、フッ素含有前駆体を用いるTaN,TaSiおよびTaSiNの熱およびプラズマCVDを開示している。例は、N2/H2プラズマと共にTaF5を用いるPECVDおよびNH3と共にTaF5を用いる熱CVDを開示する。
US−B−6,200,893は、N2/H2ラジカルまたはNH/NH2ラジカルと共にTaCl5を用いるTaNの多数工程ALD法(窒化のための3工程)を開示する。さらに特に、処理の種々の工程で水素および窒素のラジカルの使用を開示している。しかしながら、処理情報はそのような種類のプラズマおよびそのような処理をなすために用いる処理温度を特許明細書に全く開示されていない。
US−B−6,265,311は、300〜500℃の堆積範囲でN2/H2プラズマと共にTaF5またはTaCl5を用いるタンタル窒化物のPECVDを開示している。直接RFプラズマ(0.1−5.0W/cm2)は堆積のために用いられている。US−B−6,268,288は、RFプラズマによって創られる水素含有ラジカルで膜の後処理に加えて、300〜500℃の堆積範囲でTaF5またはTaCl5を用いるTaNの熱CVDを開示している。US−B−6,410,433は、300〜500℃の堆積範囲でNH3/H2ガスと共にTaCl5を用いるタンタル窒化物の熱CVDの使用を開示している。
US−B−6,706,115は、アンモニアを含むNx3-xHと共にTaX5(X=Cl,Br,I)を用いるTaNの熱ALDにおいて、低抵抗性を有するタンタル窒化物薄層が350と500℃の間の基板温度で得られることを開示している。
前に引用された種々の文献は、誘電体膜の形成に関するが、これらの文献に開示された全ての方法はいくつかの障害を被る。
タンタルハロゲン化物は、大気条件で粉末化されることが知られている。これらの中で、TaF5は最も高い蒸気圧を有する。しかしながら、この前駆体に含まれるフッ素は、下の層、特にハイ−k誘電体の場合、あまりに攻撃的である。TaCl5は二量体であり、適正な蒸気圧(100℃で0.3Torr)を有するが、固体で空気に影響され易く、それゆえ安定的に配送すること、取り扱うことが困難になる。
膜の物理特性は、シリコン(Si)に対する金属(M)の比および窒素比、またはM/Si/Nによって影響されることが当業者にとって知られている。広い範囲に亘ってM/Si/Nを制御できることが望ましい。したがって、可能な限り広いM/Si/N比範囲を達成するために金属およびシリコン供給を独立的に変化できることが重要である。
いくつかの方法は、シリコン源前駆体を用い、前記シリコン源はまた堆積されるべき多少の量の窒素を含む。生じる問題は、窒素含有シリコン源前駆体で供給量を変化し、処理に供給される窒素の総量を変化する(シリコン前駆体に含まれる窒素のために)ことである。堆積処理中に膜組成を制御することを困難にする、なぜならばシリコン供給量は堆積チャンバに供給されるべき窒素の総量を影響することなく変化させることができからである。さらに、供給できるM/Si/Nの比はシリコン源前駆体中の窒素の組成によって制限される。したがって、所望のM/Si/Nの比の変化は処理に供給されるべき前駆体溶液を変化させることの必要性を意味するかもしれない。
直接Ta−C結合またはTa−N(−C)σ結合を有する前駆体を導入することは、非常に多量の炭素が導入できるので、膜組成の制御に同伴する問題を発生するかもしれない。そのような前駆体に同伴する炭素量は、しばしば窒素量より高くなる。その結果、別のパラメータが制御しなければならず、所望の特性(仕事関数、閾値電圧、導電性)の調整を困難にさせる。だがそれにも拘らず、炭素はこれらの特性にとって所望の効果を有することができ、かつそれは膜に取り込まれる量を制御できるために望ましい。
前述した理由のために、単一形成工程で最終所望の組成の膜を形成することが望ましい。さらに、前記膜は塩素またはいくつかの他のハロゲン化物の量を最小にし、かつ分子構造中の炭素量を最適化すべきである。炭素源供給、シリコン源、窒素源および金属源の供給が独立して制御するために、金属炭素結合または窒素炭素結合のない金属源を用いることが望ましい。
本発明は、優れた電気特性および高適合性を持つ薄膜を形成するための要求を満足する方法および組成物に向けられる。均一なカバーレージおよび高適合性を保証するために多数形成工程を使用することを避ける。提示される新しい化学は、ALD,CVDまたはパルスCVD様式堆積によって最適な膜特性の利益を提供する。さらに、本発明は塩素または他のハロゲン化物の量を最小にし、かつ炭素量の最適化をなす、両方が膜の電気特性を劣化させることができる、膜を提供する。また、本発明は前駆体溶液を変化させずに、広い範囲に亘って膜のM/Si/N比を制御する能力を提供する。
本発明によれば、試料上に遷移金属含有膜を形成する方法であって、
−堆積チャンバに試料を導入すること;
−前記試料を所望の温度まで加熱すること;
−液体または固体の遷移金属源を準備すること;
−少なくとも1つの前駆体源を準備し、前記前駆体源はシリコン源、炭素源、窒素源および/または還元源から実質的になる群から選択される;
−前記遷移金属を気化させて気化遷移金属源を形成すること;
−前記遷移金属蒸気を前記チャンバに供給すること;
−少なくとも1つの前駆体蒸気を前記少なくとも1つの前駆体源から前記チャンバに供給すること;および
−所望最終組成の金属膜を前記試料上に形成すること
の工程を含む方法を提供する。
好ましい実施形態によれば、前記金属遷移源は式;
MXm
または
MXm、ABn
ここで、Mは遷移金属であり、
Xはハロゲン、好ましくはClであり、
mは遷移金属の酸素価であり、
AはO,SおよびNからなる群から選ばれ、
Bは1と16の間の炭素原子を含む炭化水素鎖で、前記鎖は直鎖、分岐または環状であり、
nはAに結合する基Bの数である、
の化学化合物を含む。
本発明の種々の実施形態によれば、
−Mは遷移金属、好ましくは前期遷移金属、最も好ましくは前期遷移金属;Ta,Nb,W,Hfからなる群から選択される、
−前記シリコン源は、トリシリルアミン、ジシラン、トリシランのような少なくとも1つのシリル(SiH3)配位子によって末端基とする分子構造を含む、
−前記窒素源は、分子またはxが3以下である式NHxの基であるか、またはトリシリルアミン、ヘキサメチルジシラザン(ビス(トリメチルシリル)アミンとも呼ばれる)のような少なくとも1つのシリル配位子によって末端基とする分子構造を含む、
−前記還元源は分子または式Hxの基、ここでxは2以下である、である、
−前記炭素源は反応器内でC1−C16直鎖、分岐または環状の炭化水素である。
好ましくは、前記金属膜の形成工程は原子層堆積方法、ここで前駆体は好ましくは反応器に逐次導入される、を用いることによって完結されるべきである。
実施形態によれば、本発明の方法は所望の化学量論膜の堆積のための液相シリコン前駆体と組み合わせる気相シリコン前駆体の使用に基づかれる。気相シリコン前駆体は、キャリアガスを気化器に液体またはそれを加熱して通すバブリングを必要とせずに蒸気として処理に供給するために、15℃以上の温度で十分に蒸発する。これは、2つの前駆体(金属含有前駆体およびシリコン含有前駆体)を蒸気化するか、またシリコン源を供給するためにキャリアガスを液体に通すバブリングを必要とすることに関連する制御および量問題を解消する。また、気相シリコン前駆体は好ましくは金属を配位結合せず、金属源およびシリコン源の供給に亘って独立して制御をなす。したがって、M/Si比は新しい前駆体溶液を混合し、かつ処理を新しい前駆体混合物に再調整することを必要とせずに広い範囲に亘って容易に変化させることができる。同様の手法において、気相窒素前駆体は金属を配位結合せず、金属源およびシリコン源の供給に亘って独立して制御をなす。したがって、M/N比は新しい前駆体溶液を混合し、かつ処理を新しい前駆体混合物に再調整することを必要とせずに広い範囲に亘って容易に変化させることができる。さらに、気相シリコン前駆体は好ましくは炭素およびハロゲンなしであり、それゆえ膜の炭素およびハロゲンの好ましくない影響を劇的に低減する。最後に、本発明に係る当方法は単一工程で所望の最終組成の膜を生成する。
金属源は、典型的に液体前駆体または液体前駆体溶液である。液相前駆体は、それを気相に気化する(気化された遷移金属源を形成する)システムに注入される。気化された前駆体気相は、堆積が上昇した温度で生じる堆積チャンバに入る。金属源は、好ましくは4〜6のハロゲンと結合された金属から実質的になる。付加生成物を形成する電気的に“中性分子”と同様に結合され、液体または低融点の固体を形成する。中性分子は、イオウ、酸素、窒素のような元素で形成され、かつ2つまたは3つのアルキル基と結合する。付加生成物は、十分に高い温度で分解でき、それにより前記前駆体はバブラーまたは液体注入システムのいずれかによって有効に配送できる。低温度で分解でき、それにより中性分子に含まれる前記元素は膜中に取り込まれないかもしれない。中性分子自身は、十分に高い温度で安定であることを必要とする。さらに、金属ハロゲン化物が通常、蒸気圧の十分な改善をもたらす二量体であるのに対し、付加生成物は通常、モノマーである。
付加生成物の系列は、付加生成物TaCl5、SEt2によって例示され、付加生成物はTaCl5およびSEt2中で約200℃にて分解し、SEt2は少なくとも600℃の温度まで安定である。
TaCl5が蒸気圧の十分な改善をもたらす二量体であるのに対し、TaCl5およびSEt2はモノマーである。
当発明の膜のシリコン源は、実質上、好ましくは気化された金属前駆体と共存する堆積チャンバに注入される。シリコン源は、好ましくは処理供給条件で気相である。すなわち、シリコン源は好ましくは気化すべきことを必要とせず、またはキャリアガスを用いずに蒸気として源容器から供給測定および制御のシステムを通して流す。しかしながら、不活性ガスはより正確な流れ測定を得ることを必要とするならば、シリコン混合物の希釈のために用いてもよい。好ましくは、シリコン源はいかなる塩素および/またはハロゲン化物、および/または堆積金属をその分子構造中に含まれない。より好ましくは、炭素および塩素なしであるシリコン源は制限なしで次の化合物または次の化合物の混合物である。
Figure 0004870759
Figure 0004870759
Figure 0004870759
Figure 0004870759
8)トリシランおよびその誘導体
窒素含有ガスは、また気化された金属源およびシリコン源と共存的に堆積チャンバに注入してもよい。好ましい酸素含有ガスおよび窒素含有ガスは、それらの分子構造内に炭素および/または塩素なしである。
堆積チャンバ(反応器)内での異なる前駆体の反応は、シリコン基板上に膜形成を導く。膜の組成は、それぞれの前駆体の流量を独立して正確に制御することによって(かつ流量の比を制御することによって)正確に制御できる。シリコンおよび金属の源の流量は、独立して制御可能であり、したがってもたらされる膜のM/SiおよびM/Nの比は金属源およびシリコン源の組成を変化させずに広い範囲に亘って制御することができる。
堆積の期間、または膜に取り込まれる塩素量を減少する、もしくは膜品質を改善するための後処理の期間のいずれかの任意の時間に水素源を導入することが望ましいかもしれない。

図1−6の遷移金属含有膜堆積方法の参照において、気化工程1は金属源を気化して気化金属源を形成することを含む。1つの好ましい実施形態の金属源は液相中の前駆体溶液、好ましくはジアルキルアミノ、アルコキシ、および/またはハフニウム(Hf),ジルコニウム(Zr)、チタン(Ti)、ニオブ(Nb)、タンタル(Ta)、モリブデン(Mo)、タングステン(W)、または任意に他の遷移金属(M)の有機化合物である。液相金属前駆体溶液を調製しかつ気化することは、当業者に知られている適切な条件下、商業的に入手可能な装置でなされる。
供給工程2の間、シリコン源、窒素源、炭素源および水素源(一括して前駆体源と呼ぶ)は(堆積が必要とされる)基板が上昇した温度で配置される堆積チャンバに供給される。堆積チャンバは、典型的に約300℃と約900℃の間に維持される。好ましくは、堆積チャンバ内の被加工物表面は約500℃と約600℃の間になる。前駆体の供給は実質上、共存である(原子層堆積は供給物質の高スピード逐次パルスを伴う)。
図1−6の遷移金属含有膜堆積方法の供給工程2の間、シリコン源は気化金属源および他の前駆体またはシリコン膜成分と実質上、共存して堆積チャンバ内に制御可能に注入される。1つの好ましい実施形態において、シリコン源は圧縮供給条件で気相である。すなわち、1つの好ましい実施形態シリコン源は20℃、約50Torrより大きい蒸気圧を有し、配送システムに気化またはバブラー装置を必要としない供給制御システムにて気相で十分に存在する。トリシランおよびトリシリルアミン、2つの好ましいシリコン源、は液体として貯蔵されるが、十分な蒸気圧(25℃で200Torr蒸気圧以上)を有し、気化器またはバブラーシステムを用いることを必要とせずに配送システムにて気相である。なぜなら、シリコン源は気相であり、その流量は従来知られている従来装置で正確に測定、制御でき、かつシリコンまたは金属源の気化中、供給条件で気化器または振動での堆積によって影響を受けることがないからである。
好ましくは、シリコン源は分子構造内に炭素または塩素を存在しない。
好ましくは、水素および窒素ガスはシリコン源と共存して堆積チャンバに供給する。さらに、気化金属源もまた供給工程2で共存的に供給する。MSiNC方法の種々の好ましい実施形態は、窒素源を用い、それらはそれらの分子構造中に炭素および/または塩素なしである。窒素源、シリコン源または炭素源は分離流れとして供給することを必要としない。窒素源は、シリコン源または炭素源と同じにできる。1つの好ましい実施形態の窒素源は、アンモニアである。別の好ましい実施形態の窒素源は、トリシリルアミンである。窒素源は、当業者に知られた装置で供給され、制御される。
堆積チャンバの堆積および前駆体の反応は、形成工程3の間、加熱されたシリコン基板上に遷移金属含有膜の形成を導く。遷移金属含有膜の好ましい実施形態は、金属源(TaCl5,SEt2のような)、トリシリルアミンおよび/またはアミンの混合物を用いてタンタル金属を供給することによって形成されるタンタルシリコン炭窒化物膜である。
遷移金属含有膜の組成は、供給工程2の間に各誘電体前駆体の流を独立して変化させることによって制御できる。特に、シリコン源および金属源の流量はシリコン源がいかなる堆積金属を含まない理由から独立して制御可能である。したがって、シリコン源流量は金属源流量に独立して変化でき、シリコン(Si)、窒素および炭素に対する所望の金属(M)の比に影響を及ぶす。同様に、金属源流量はシリコン源流量に影響を及ぼすことなく変化でき、またM/Si/N比も変化させる。なぜなら、シリコン源、窒素、炭素および金属源の流量は独立して制御可能であり、もたらされる膜のM/Si/N/C比は金属源またはシリコン源の組成を変化させることなく広い範囲に亘って制御可能であるからである。
しかしながら、本発明はそのいくつかの好ましい種類に関して考慮する詳細を既に述べ、他の種類も可能である。例えば、1つまたは多くの源はタンタル、タンタルシリサイド,
タンタルシリコン窒化物(図2)、タンタル炭化物(図3)、タンタル窒化物、タンタルシリコン窒化物(図4)、タンタルシリコン炭化物(図6)を得るために省略できる。組成物および方法は、化学気相堆積または原子層堆積以外の方法で実践してもよい。また、誘電体膜の堆積は様々の温度および条件で達成できる。さらに、本発明は従来知られる様々の金属、シリコン、炭素および窒素源を含んでもよい。それゆえ、最後に追加する請求の範囲の精神および範囲はここに含まれる好ましい種類の1つの記述に限定されるべきではない。本出願の発明は、最後に追加する請求の範囲によって規定される発明の精神および範囲内で全ての変更、等価、代替を補填する。

本発明の例は、図面を参照して以下に述べる。
例1
この例は、タンタルシリコン窒化物膜の製造に関する。
この例に用いられるCVDツールは、図7に示す。図7において、シリコンウェハ1はCVDチャンバ11に導入され、かつ所望の膜はシリコンウェハ1表面上に形成される。CVDチャンバ11は、ポンプ12により排気される。金属前駆体、この場合タンタル五塩化物、ジエチル硫黄付加生成物TaCl5,SEt2、は液体容器21に収納される。窒素源22からの窒素ガスはTaCl5,SEt2に対してキャリアガスとして用いられる。液体容器のTaCl5,SEt2は、圧力下、マスフローコントローラ23を通す窒素ガスによって液体形態で取出され、かつ気化しかつ必要に応じてMFC24を通して窒素源22からの窒素(または任意の源からの任意の他の不活性ガス)と混合する気化器25に達する。窒素源33からの窒素は、シリンダ31に収納され、マスフローコントローラ32を通過するトリシリルアミン(TSA)、および圧力調節器43およびマスフローコントローラ42を通して供給される追加ガス(水素ガス、還元源)と共にマスフローコントローラを通してCVDチャンバ11に輸送される。
タンタルシリコン窒化物膜の薄膜は、前記CVDツールを用いる次の条件下で生成される。
圧力=1torr,温度=600℃、TaCl5,SEt2流量=0.5ccm、N2流量(気化器)=20sccm、TSA流量=5sccm、H2流量=10sccm、N2流量=100sccm。
例2
この例は、タンタルシリコン窒化物膜の製造に関する。
この例に用いられるCVDツールは、図8に示す。図8において、図7と同様な装置は同じ数字参照を記載する。この例に用いられるCVDツールは図7に示す。図8において、シリコンウェハ1は周囲に亘って加熱手段2が設けられたCVDチャンバ11に導入され、かつ所望の膜はシリコンウェハ1表面上に形成される。CVDチャンバ11は、ポンプ12により排気される。金属前駆体、この場合タンタル五塩化物、ジエチル硫黄付加生成物TaCl5,SEt2、は液体容器21に収納される。窒素源22からの窒素ガスはTaCl5,SEt2に対してキャリアガスとして用いられる。液体容器のTaCl5,SEt2は、圧力下、ニードルバルブ、マスフローコントローラ23を通す窒素ガスによって液体形態で取出され、かつ気化しかつ必要に応じてMFC24を通して窒素源22からの窒素(または任意の源からの任意の他の不活性ガス)と混合する気化器25に達する。シリンダ31に収納されるトリシリルアミン(TSA)は、制御弁43およびマスフローコントローラ42を通して供給される追加ガス(アンモニアガス、窒素源)41と共にマスフローコントローラ(MFC)32を通してCVDチャンバ11に輸送される。
タンタルシリコン窒化物膜の薄膜は、前記CVDツールを用いる次の条件下で生成される。
圧力=1torr,温度=500℃、TaCl5,SEt2流量=0.5ccm、TSA流量=5sccm、NH3流量=20sccm、N2流量=100sccm。
例3
この例は、タンタルシリコン窒化物膜の製造に関する。
この例に用いるCVDツールは図9に示す。図9において、シリコンウェハ1は周囲に亘って加熱手段2が設けられたCVDチャンバ11に導入され、かつ所望の膜はシリコンウェハ1表面上に形成される。CVDチャンバ11は、ポンプ12により排気される。金属前駆体、この場合タンタル五塩化物、ジエチル硫黄付加生成物TaCl5,SEt2、は液体容器51に収納される。TaCl5,SEt2蒸気は、窒素源52からの窒素のバブリングによってCVDチャンバ11に供給され、前記窒素は圧力調節器53およびMFC54を通してバイパスシステム55によって2つの道に流れ、それから液体源51を通過する。金属前駆体および/または窒素の混合物は、それから制御システム56を通して反応器に供給される。シリンダ31に収納されるトリシリルアミン(TSA)は、マスフローコントローラ32を通して供給される。アンモニアガスのような追加ガス41はマスフローコントローラ42を通して供給される。
タンタルシリコン窒化物膜は、前記CVDツールを用いる次の条件下で生成される。
モード3−1
圧力=1torr,温度=470℃、TaCl5,SEt2流量=0.5sccm、TSA流量=4sccm、NH3流量=5sccm、N2流量=100sccm。
この所定の条件を用いて、Ta/Si=4:1およびTa/N=1:1の成分比を持つタンタルシリコン窒化物は10Å/分の膜形成速度で得た。
モード3−2
圧力=1torr,温度=550℃、TaCl5,SEt2流量=0.5sccm、TSA流量=5sccm、NH3流量=0sccm、N2流量=100sccm。この形式は、アンモニアを流さなかった場合を除いて1−1と同様であった。
この所定の条件を用いて、Ta/Si=6:1およびTa/N=2.6:1の成分比を持つタンタルシリコン窒化物は15Å/分の膜形成速度で得た。
例4
この例は、シリコンドープタンタル窒化物膜の製造に関する。
この例に用いるCVDツールは図9に示す。金属前駆体、この場合チタン四塩化物TiCl4、はバブラー51に収納され、かつTiCl4蒸気は例3で述べたようにCVDチャンバ11に供給される。トリシリルアミン(TSA)は、シリンダ31に収納され、かつこのTSAはマスフローコントローラ32を通してCVDチャンバ11に輸送される。CVDチャンバからのオフガスは、除去システム(吸着剤)13を通して排気される。シリコンドープタンタル窒化物は、前記CVDツールを用いる次の条件の下で生成された。
モード4−1
圧力=1torr,温度=625℃、TiCl4流量=5sccm、TSA流量=4sccm、N2流量=20sccm、時間=15分間。
AES分析によれば、もたらされる膜は極微量のシリコンを含む化学量論組成を持つチタン窒化物であった。この膜は、約4000Å厚さであった。膜形成速度は、約270Å/分であった。
モード4−2
圧力=1torr,温度=550℃(この膜形成温度はTiCl4/NH3を用いる従来の膜形成温度より本質的に低かった)、TiCl4流量=5sccm、TSA流量=4sccm、N2流量=20sccm、時間=15分間。
AES分析によれば、もたらされる膜は極微量のシリコンを含む化学量論組成を持つチタン窒化物であった。この膜は、約290Å厚さであった。膜形成速度は、約19Å/分であった。
例5;タンタルシリサイド膜
この例は、タンタルシリサイド窒化物膜の製造に関する。
この例に用いるCVDツールは図9に示す。図9において、シリコンウェハ1は設けられたCVDチャンバ11に導入され、かつ所望の膜はシリコンウェハ1表面上に形成される。CVDチャンバ11は、ポンプ12により排気される。金属前駆体、この場合タンタル五塩化物、ジエチル硫黄付加生成物TaCl5,SEt2、は液体容器51に収納される。TaCl5,SEt2蒸気は、窒素源52からの窒素のバブリングによってCVDチャンバ11に供給され、前記窒素は圧力調節器53およびMFC54を通してバイパスシステム55によって2つの道に流れ、それから液体源51を通過する。金属前駆体および/または窒素の混合物は、それから制御システム56を通して反応器に供給される。シリンダ31に収納されるトリシリルアミンは、マスフローコントローラ32を通して供給される。追加ガス、ここでアンモニアガス(窒素源)、41はマスフローコントローラ42を通して供給される。
タンタルシリコン窒化物膜は、前記CVDツールを用いる次の条件下で生成される。
圧力=1torr,温度=430℃、TaCl5,SEt2流量=0.5sccm、トリシラン流量=5sccm、NH3流量=5sccm、N2流量=120sccm。
この所定の条件を用いて、Ta/Si=4:5およびTa/N=4:1の成分比を持つタンタルシリコン窒化物は10Å/分の膜形成速度で得た。
例6;タンタルシリコン炭窒化物の堆積
この例に用いるCVDツールは図9に示す。図9において、シリコンウェハ1は設けられたCVDチャンバ11に導入され、かつ所望の膜はシリコンウェハ1表面上に形成される。CVDチャンバ11は、ポンプ12により排気される。金属前駆体、この場合タンタル五塩化物、ジエチル硫黄付加生成物TaCl5,SEt2、は液体容器51に収納される。TaCl5,SEt2蒸気は、窒素源52からの窒素のバブリングによってCVDチャンバ11に供給され、前記窒素は圧力調節器53およびMFC54を通してバイパスシステム55によって2つの道に流れ、それから液体源51を通過する。金属前駆体および/または窒素の混合物は、それから制御システム56を通して反応器に供給される。シリンダ31に収納されるトリシランは、マスフローコントローラ32を通して供給される。追加ガス、ここでモノメチルアミン(MMA)ガス(炭素および窒素源)、41はマスフローコントローラ42を通して供給される。
タンタルシリコン炭窒化物膜は、前記CVDツールを用いる次の条件下で生成される。
圧力=1torr,温度=430℃、TaCl5,SEt2流量=0.5sccm、トリシラン流量=5sccm、MMA流量=5sccm、N2流量=120sccm。
この所定の条件を用いて、Ta/Si=1:4、Ta/N=2:1、Ta/C=2:1の成分比を持つタンタルシリコン窒化物が得られた。
例7;タンタル炭窒化物の堆積
この例に用いるCVDツールは図9に示す。図9において、シリコンウェハ1は設けられたCVDチャンバ11に導入され、かつ所望の膜はシリコンウェハ1表面上に形成される。CVDチャンバ11は、ポンプ12により排気される。金属前駆体、この場合タンタル五塩化物、ジエチル硫黄付加生成物TaCl5,SEt2、は液体容器51に収納される。TaCl5,SEt2蒸気は、窒素源52からの窒素のバブリングによってCVDチャンバ11に供給され、前記窒素は圧力調節器53およびMFC54を通してバイパスシステム55によって2つの道に流れ、それから液体源51を通過する。金属前駆体および/または窒素の混合物は、それから制御システム56を通して反応器に供給される。シリンダ31に収納される水素は、マスフローコントローラ32を通して供給される。追加ガス、ここでモノメチルアミン(MMA)ガス(炭素および窒素源)、41はマスフローコントローラ42を通して供給される。
タンタルシリコン炭窒化物膜は、前記CVDツールを用いる次の条件下で生成される。
圧力=5torr,温度=600℃、TaCl5,SEt2流量=0.5sccm、H2流量=5sccm、MMA流量=5sccm、N2流量=200sccm。
この所定の条件を用いて、Ta/N=1:1、Ta/C=4:1の成分比を持つタンタルシリコン窒化物が得られた。
例8;タンタルシリコン窒化物の原子層堆積
この例は、タンタルシリコン窒化物膜の製造に関する。
この例に用いるCVDツールは図9に示す。図9において、シリコンウェハ1は周囲に亘って加熱手段2が設けられたCVDチャンバ11に導入され、かつ所望の膜はシリコンウェハ1表面上に形成される。CVDチャンバ11は、ポンプ12により排気される。金属前駆体、この場合タンタル五塩化物、ジエチル硫黄付加生成物TaCl5,SEt2、は、液体容器51に収納される。TaCl5,SEt2蒸気は、窒素源52からの窒素のバブリングによってCVDチャンバ11に供給され、前記窒素は圧力調節器53およびMFC54を通してバイパスシステム55によって2つの道に流れ、それから液体源51を通して供給される。金属前駆体および/または窒素の混合物は、それから制御システム56を通して反応器に供給され、作動弁V5の開/閉によって堆積チャンバ11に逐次的に導入される。シリンダ31に収納されるトリシリルアミン(TSA)は、マスフローコントローラ32を通して供給され、作動弁V3の開/閉によって堆積チャンバ11に逐次的に導入される。追加ガス41、この場合なし、はマスフローコントローラ42を通して供給でき、作動弁V4の開/閉によって堆積チャンバ11に逐次的に導入される。
タンタルシリコン窒化物膜は、前記CVDツールを用いる次の条件下で生成される。
圧力=1torr,温度=400℃、TaCl5,SEt2流量=0.25sccm、TSA流量=1sccm、N2流量=200sccm。
この所定の条件を用いて、Ta/N=1:1、Ta/Si=1:8の成分比を持つタンタルシリコン窒化物が得られた。
従来のMSiN膜形成方法のフロー図。 MSiN膜形成方法の工程のフロー図。 MC膜形成方法の工程のフロー図。 MNC膜形成方法の工程のフロー図。 MSiNC膜形成方法の工程のフロー図。 MSiC膜形成方法の工程のフロー図。 この発明の例1に用いられるCVDツールの構造図。 この発明の例2に用いられるCVDツールの構造図。 この発明の例3およびこの発明の次の例の1つに用いられるCVDツールの構造図。
符号の説明
1…シリコンウェハ、
11…堆積(CVD)チャンバ、
12…ポンプ、
13…吸着器、
21…液体容器、
22…Heガス、
23…液体マスフローコントローラ、
24…マスフローコントローラ、
25…気化器、
31…シリンダ、
32…マスフローコントローラ、
33…N2ガス、
41…追加ガス、
42…マスフローコントローラ、
43…バブラー、
51…バブラー、
52…窒素ガス、
53…圧力調節器、
54…マスフローコントローラ、
55…バイパスによる2つの道、
56…流制御システム、
V3…作動弁、
V4…作動弁、
V5…作動弁。

Claims (6)

  1. 試料上に遷移金属シリコン窒化物含有膜を形成する方法であって、
    −堆積チャンバに試料を導入すること;
    −前記試料を所望の温度まで加熱すること;
    −液体または固体の遷移金属源を準備すること;
    −少なくとも1つのシリコンおよび窒素前駆体源を準備すること、前記前駆体源はトリシリルアミン、ジシリルアミン、シリルアミン、トリ(ジシリル)アミン、アミノジシリルアミンおよびテトラシリルジアミンからなる群から選択される
    −前記遷移金属を気化させて遷移金属源蒸気を形成すること;
    −前記遷移金属蒸気を前記チャンバに供給すること;
    −少なくとも1つのシリコンおよび窒素前駆体蒸気を前記少なくとも1つのシリコンおよび窒素前駆体源から前記チャンバに供給すること;および
    −所望最終組成の遷移金属シリコン窒化物含有膜を前記試料上に形成すること
    の工程を含む方法。
  2. 前記遷移金属源は、式;
    MXm
    または
    MXm、SEt 2
    ここで、Mは遷移金属であり、
    Xはハロゲンであり
    mは遷移金属の酸素価である、
    の化学化合物を含む請求項1記載の方法。
  3. 前記Mは、Ta,Nb,W,Hfからなる群から選択される遷移金属である請求項2記載の方法。
  4. 炭素源を準備すること、前記炭素源はC1−C16直鎖、分岐または環状の炭化水素を含む、および前記炭素原からの炭素源蒸気を前記チャンバに供給すること、の工程をさらに含む請求項1または2記載の方法。
  5. 前記遷移金属シリコン窒化物含有膜形成工程は、原子層堆積方法、ここで前記前駆体は逐次導入される、を用いることによって完結される請求項1〜4いずれか記載の方法。
  6. 前記遷移金属シリコン窒化物含有膜形成工程は、250と650℃の間温度範囲、および0.01と1000Torrの間圧力範囲でなされる請求項1〜いずれか記載の方法。
JP2008518643A 2005-06-29 2005-06-29 3成分膜の新規な堆積方法 Expired - Fee Related JP4870759B2 (ja)

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
PCT/EP2005/008196 WO2007000186A1 (en) 2005-06-29 2005-06-29 Deposition method of ternary films

Publications (2)

Publication Number Publication Date
JP2008545061A JP2008545061A (ja) 2008-12-11
JP4870759B2 true JP4870759B2 (ja) 2012-02-08

Family

ID=35788045

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2008518643A Expired - Fee Related JP4870759B2 (ja) 2005-06-29 2005-06-29 3成分膜の新規な堆積方法

Country Status (7)

Country Link
US (1) US20100104755A1 (ja)
EP (1) EP1899497A1 (ja)
JP (1) JP4870759B2 (ja)
KR (1) KR101283835B1 (ja)
CN (1) CN101213322A (ja)
TW (1) TWI392758B (ja)
WO (1) WO2007000186A1 (ja)

Families Citing this family (28)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US8617301B2 (en) 2007-01-30 2013-12-31 Lam Research Corporation Compositions and methods for forming and depositing metal films on semiconductor substrates using supercritical solvents
US8071163B2 (en) * 2007-04-07 2011-12-06 L'air Liquide Societe Anonyme Pour L'etude Et L'exploitation Des Procedes Georges Claude Deposition of Ta- or Nb-doped high-k films
US20080268642A1 (en) * 2007-04-20 2008-10-30 Kazutaka Yanagita Deposition of transition metal carbide containing films
US9175390B2 (en) 2008-04-25 2015-11-03 Asm International N.V. Synthesis and use of precursors for ALD of tellurium and selenium thin films
US8889235B2 (en) 2009-05-13 2014-11-18 Air Products And Chemicals, Inc. Dielectric barrier deposition using nitrogen containing precursor
WO2010132871A1 (en) 2009-05-15 2010-11-18 Wayne State University Thermally stable volatile film precursors
KR101829380B1 (ko) * 2009-10-26 2018-02-19 에이에스엠 인터내셔널 엔.브이. 5a족 원소 함유 박막의 원자 층 증착용 전구체의 합성 및 용도
US9822446B2 (en) 2010-08-24 2017-11-21 Wayne State University Thermally stable volatile precursors
US9255327B2 (en) 2010-08-24 2016-02-09 Wayne State University Thermally stable volatile precursors
JP5951443B2 (ja) * 2011-12-09 2016-07-13 株式会社日立国際電気 半導体装置の製造方法、基板処理方法、基板処理装置およびプログラム
JP6041527B2 (ja) * 2012-05-16 2016-12-07 キヤノン株式会社 液体吐出ヘッド
US9758866B2 (en) 2013-02-13 2017-09-12 Wayne State University Synthesis and characterization of first row transition metal complexes containing α-imino alkoxides as precursors for deposition of metal films
US9249505B2 (en) 2013-06-28 2016-02-02 Wayne State University Bis(trimethylsilyl) six-membered ring systems and related compounds as reducing agents for forming layers on a substrate
CN105492656B (zh) * 2013-06-28 2018-03-23 韦恩州立大学 作为用于在衬底上形成层的还原剂的二(三甲基甲硅烷基)六元环系统和相关化合物
US9157149B2 (en) 2013-06-28 2015-10-13 Wayne State University Bis(trimethylsilyl) six-membered ring systems and related compounds as reducing agents for forming layers on a substrate
CN105849221B (zh) 2013-09-27 2019-06-18 乔治洛德方法研究和开发液化空气有限公司 胺取代的三甲硅烷基胺和三-二甲硅烷基胺化合物
CN113373428B (zh) * 2014-10-24 2023-07-14 弗萨姆材料美国有限责任公司 组合物和使用所述组合物沉积含硅膜的方法
US9777025B2 (en) 2015-03-30 2017-10-03 L'Air Liquide, Société pour l'Etude et l'Exploitation des Procédés Georges Claude Si-containing film forming precursors and methods of using the same
TW201715070A (zh) 2015-08-03 2017-05-01 韋恩州立大學 作為用於藉由氣相沉積進行的元素膜成長之強還原前驅物的六員環二烯
US9607842B1 (en) 2015-10-02 2017-03-28 Asm Ip Holding B.V. Methods of forming metal silicides
US10192734B2 (en) 2016-12-11 2019-01-29 L'Air Liquide, Société Anonyme pour l'Etude et l'Exploration des Procédés Georges Claude Short inorganic trisilylamine-based polysilazanes for thin film deposition
KR102548405B1 (ko) * 2017-04-20 2023-06-28 (주)디엔에프 다이실릴아민 화합물을 포함하는 실리콘 함유 박막증착용 조성물 및 이를 이용하는 실리콘 함유 박막의 제조방법
US10689405B2 (en) 2017-11-30 2020-06-23 L'Air Liquide, Société Anonyme pour l'Etude et l'Exploitation des Procédés Georges Claude Titanium-containing film forming compositions for vapor deposition of titanium-containing films
US10584039B2 (en) 2017-11-30 2020-03-10 L'Air Liquide, Société Anonyme pour l'Etude et l'Exploitation des Procédés Georges Claude Titanium-containing film forming compositions for vapor deposition of titanium-containing films
US11021793B2 (en) 2018-05-31 2021-06-01 L'Air Liquide, Société Anonyme pour I'Etude et I'Exploitation des Procédés Georges Claude Group 6 transition metal-containing compositions for vapor deposition of group 6 transition metal-containing films
US20220305524A1 (en) * 2020-02-21 2022-09-29 Korea Advanced Institute Of Science And Technology Polymer film using chemical vapor deposition using sulfur as initiator (scvd), method of preparing the same and apparatus for preparing the same
KR102443090B1 (ko) * 2020-02-21 2022-09-14 한국과학기술원 황을 개시제로서 사용한 화학기상증착(sCVD)을 이용한 고분자막, 그 제조방법 및 제조장치
JP2022124227A (ja) * 2021-02-15 2022-08-25 日東電工株式会社 ガスバリアフィルムおよびその製造方法、ならびに偏光板および画像表示装置

Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2001102326A (ja) * 1999-10-01 2001-04-13 Tori Chemical Kenkyusho:Kk 導電性バリア膜形成材料、導電性バリア膜形成方法、及び配線膜形成方法、並びにulsi
JP2001308087A (ja) * 2000-04-26 2001-11-02 Tokyo Electron Ltd 成膜方法及び成膜装置
US20040043604A1 (en) * 2002-08-28 2004-03-04 Micron Technology, Inc. Systems and methods for forming refractory metal nitride layers using disilazanes
JP2005533390A (ja) * 2002-07-18 2005-11-04 アヴィザ テクノロジー インコーポレイテッド 混合成分を有する薄膜の分子層蒸着

Family Cites Families (18)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US3771976A (en) * 1971-01-08 1973-11-13 Texas Instruments Inc Metal carbonitride-coated article and method of producing same
DE2523257C2 (de) * 1975-05-26 1982-10-28 Siemens AG, 1000 Berlin und 8000 München Verfahren zur Beschichtung von Innenflächen bei rohrförmigen Hohlkörpern mit Tantal durch chemische Dampfphasenabscheidung
JPH04254585A (ja) * 1991-02-04 1992-09-09 Central Glass Co Ltd タングステンカーバイト膜の形成方法
US5252518A (en) * 1992-03-03 1993-10-12 Micron Technology, Inc. Method for forming a mixed phase TiN/TiSi film for semiconductor manufacture using metal organometallic precursors and organic silane
US5344792A (en) * 1993-03-04 1994-09-06 Micron Technology, Inc. Pulsed plasma enhanced CVD of metal silicide conductive films such as TiSi2
US5763007A (en) * 1996-06-25 1998-06-09 The Aerospace Corporation Method of Controlling Reactions between tetrakis dialkylamine titanium and ammonia for producing titanium nitride films
JP4086124B2 (ja) * 1998-09-28 2008-05-14 株式会社トリケミカル研究所 Ta系膜形成材料及びTa系膜形成方法並びにULSI
US6139992A (en) * 1999-01-11 2000-10-31 United Microelectronics Corp Photomask used in fabrication of mask read only memory
US6200893B1 (en) * 1999-03-11 2001-03-13 Genus, Inc Radical-assisted sequential CVD
US6268288B1 (en) * 1999-04-27 2001-07-31 Tokyo Electron Limited Plasma treated thermal CVD of TaN films from tantalum halide precursors
US6265311B1 (en) * 1999-04-27 2001-07-24 Tokyo Electron Limited PECVD of TaN films from tantalum halide precursors
US6602783B1 (en) * 1999-10-06 2003-08-05 Air Products And Chemicals, Inc. Deposition of titanium amides
US6630413B2 (en) * 2000-04-28 2003-10-07 Asm Japan K.K. CVD syntheses of silicon nitride materials
KR101027485B1 (ko) * 2001-02-12 2011-04-06 에이에스엠 아메리카, 인코포레이티드 반도체 박막 증착을 위한 개선된 공정
FI109770B (fi) * 2001-03-16 2002-10-15 Asm Microchemistry Oy Menetelmä metallinitridiohutkalvojen valmistamiseksi
US20050104142A1 (en) * 2003-11-13 2005-05-19 Vijav Narayanan CVD tantalum compounds for FET get electrodes
JP2006089790A (ja) * 2004-09-22 2006-04-06 L'air Liquide Sa Pour L'etude & L'exploitation Des Procede S Georges Claude 貴金属膜、貴金属酸化物膜、および貴金属ケイ化物膜の製造方法
US7314835B2 (en) * 2005-03-21 2008-01-01 Tokyo Electron Limited Plasma enhanced atomic layer deposition system and method

Patent Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2001102326A (ja) * 1999-10-01 2001-04-13 Tori Chemical Kenkyusho:Kk 導電性バリア膜形成材料、導電性バリア膜形成方法、及び配線膜形成方法、並びにulsi
JP2001308087A (ja) * 2000-04-26 2001-11-02 Tokyo Electron Ltd 成膜方法及び成膜装置
JP2005533390A (ja) * 2002-07-18 2005-11-04 アヴィザ テクノロジー インコーポレイテッド 混合成分を有する薄膜の分子層蒸着
US20040043604A1 (en) * 2002-08-28 2004-03-04 Micron Technology, Inc. Systems and methods for forming refractory metal nitride layers using disilazanes

Also Published As

Publication number Publication date
US20100104755A1 (en) 2010-04-29
KR101283835B1 (ko) 2013-07-08
KR20080026195A (ko) 2008-03-24
EP1899497A1 (en) 2008-03-19
TW200710257A (en) 2007-03-16
JP2008545061A (ja) 2008-12-11
WO2007000186A1 (en) 2007-01-04
TWI392758B (zh) 2013-04-11
CN101213322A (zh) 2008-07-02

Similar Documents

Publication Publication Date Title
JP4870759B2 (ja) 3成分膜の新規な堆積方法
JP5048476B2 (ja) 絶縁膜または金属膜を形成する方法
US10995405B2 (en) Deposition of molybdenum thin films using a molybdenum carbonyl precursor
EP1691400B1 (en) Preparation of metal silicon nitride films via cyclic deposition
US8460989B2 (en) Niobium and vanadium organometallic precursors for thin film deposition
JP6317370B2 (ja) コバルト含有化合物、その合成及びコバルト含有膜の堆積におけるその使用
US9085823B2 (en) Method of forming a tantalum-containing layer on a substrate
US8686138B2 (en) Heteroleptic pyrrolecarbaldimine precursors
EP4301896A1 (en) Reagents to remove oxygen from metal oxyhalide precursors in thin film deposition processes
TWI628305B (zh) 包含具高鋁含量的鋁合金之膜的沉積
WO2009068454A1 (en) Metal-organic compounds containing an amidinate ligand and their use of vapour phase deposition of metal containing thin films
KR102209476B1 (ko) 코발트-함유 화합물, 이의 합성, 및 코발트-함유 필름 침착에서의 용도
KR102211654B1 (ko) 텅스텐 전구체 화합물 및 이를 이용하여 제조된 텅스텐 함유 박막
TW202402774A (zh) 供化學氣相沉積(cvd)及原子層沉積(ald)應用之具有磷基配位體之金屬羰基錯合物
WO2023122471A1 (en) Homoleptic bismuth precursors for depositing bismuth oxide containing thin films
WO2024097547A1 (en) High purity alkynyl amines for selective deposition
TWI389219B (zh) 形成介電或金屬薄膜的方法
KR20040102754A (ko) 원자층 적층 방법 및 이를 이용한 박막 형성 방법

Legal Events

Date Code Title Description
A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20110531

A521 Written amendment

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20110831

TRDD Decision of grant or rejection written
A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

Effective date: 20111018

A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

A61 First payment of annual fees (during grant procedure)

Free format text: JAPANESE INTERMEDIATE CODE: A61

Effective date: 20111117

R150 Certificate of patent or registration of utility model

Free format text: JAPANESE INTERMEDIATE CODE: R150

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20141125

Year of fee payment: 3

LAPS Cancellation because of no payment of annual fees