KR20220056248A - 갭 충진 (gapfill) 을 위한 도핑되거나 도핑되지 않은 실리콘 카바이드 증착 및 원격 수소 플라즈마 노출 - Google Patents

갭 충진 (gapfill) 을 위한 도핑되거나 도핑되지 않은 실리콘 카바이드 증착 및 원격 수소 플라즈마 노출 Download PDF

Info

Publication number
KR20220056248A
KR20220056248A KR1020227013124A KR20227013124A KR20220056248A KR 20220056248 A KR20220056248 A KR 20220056248A KR 1020227013124 A KR1020227013124 A KR 1020227013124A KR 20227013124 A KR20227013124 A KR 20227013124A KR 20220056248 A KR20220056248 A KR 20220056248A
Authority
KR
South Korea
Prior art keywords
remote
film
sic
plasma
thickness
Prior art date
Application number
KR1020227013124A
Other languages
English (en)
Inventor
구앙비 위안
이에바 나르케비쿠테
보 공
바드리 엔. 바라다라잔
Original Assignee
램 리써치 코포레이션
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 램 리써치 코포레이션 filed Critical 램 리써치 코포레이션
Publication of KR20220056248A publication Critical patent/KR20220056248A/ko

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/02274Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition in the presence of a plasma [PECVD]
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/02Pretreatment of the material to be coated
    • C23C16/0272Deposition of sub-layers, e.g. to promote the adhesion of the main coating
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/04Coating on selected surface areas, e.g. using masks
    • C23C16/045Coating cavities or hollow spaces, e.g. interior of tubes; Infiltration of porous substrates
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/308Oxynitrides
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/32Carbides
    • C23C16/325Silicon carbide
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/448Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for generating reactive gas streams, e.g. by evaporation or sublimation of precursor materials
    • C23C16/452Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for generating reactive gas streams, e.g. by evaporation or sublimation of precursor materials by activating reactive gas streams before their introduction into the reaction chamber, e.g. by ionisation or addition of reactive species
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45527Atomic layer deposition [ALD] characterized by the ALD cycle, e.g. different flows or temperatures during half-reactions, unusual pulsing sequence, use of precursor mixtures or auxiliary reactants or activations
    • C23C16/45536Use of plasma, radiation or electromagnetic fields
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45553Atomic layer deposition [ALD] characterized by the use of precursors specially adapted for ALD
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45563Gas nozzles
    • C23C16/45565Shower nozzles
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/50Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges
    • C23C16/505Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges using radio frequency discharges
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/56After-treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32082Radio frequency generated discharge
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32357Generation remote from the workpiece, e.g. down-stream
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/02126Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material containing Si, O, and at least one of H, N, C, F, or other non-metal elements, e.g. SiOC, SiOC:H or SiONC
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/02126Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material containing Si, O, and at least one of H, N, C, F, or other non-metal elements, e.g. SiOC, SiOC:H or SiONC
    • H01L21/0214Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material containing Si, O, and at least one of H, N, C, F, or other non-metal elements, e.g. SiOC, SiOC:H or SiONC the material being a silicon oxynitride, e.g. SiON or SiON:H
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/02167Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material being a silicon carbide not containing oxygen, e.g. SiC, SiC:H or silicon carbonitrides
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/0228Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition deposition by cyclic CVD, e.g. ALD, ALE, pulsed CVD
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02296Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer
    • H01L21/02318Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment
    • H01L21/02337Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment treatment by exposure to a gas or vapour
    • H01L21/0234Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment treatment by exposure to a gas or vapour treatment by exposure to a plasma
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/76Making of isolation regions between components
    • H01L21/762Dielectric regions, e.g. EPIC dielectric isolation, LOCOS; Trench refilling techniques, SOI technology, use of channel stoppers
    • H01L21/76224Dielectric regions, e.g. EPIC dielectric isolation, LOCOS; Trench refilling techniques, SOI technology, use of channel stoppers using trench refilling with dielectric materials
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/76Making of isolation regions between components
    • H01L21/762Dielectric regions, e.g. EPIC dielectric isolation, LOCOS; Trench refilling techniques, SOI technology, use of channel stoppers
    • H01L21/76224Dielectric regions, e.g. EPIC dielectric isolation, LOCOS; Trench refilling techniques, SOI technology, use of channel stoppers using trench refilling with dielectric materials
    • H01L21/76232Dielectric regions, e.g. EPIC dielectric isolation, LOCOS; Trench refilling techniques, SOI technology, use of channel stoppers using trench refilling with dielectric materials of trenches having a shape other than rectangular or V-shape, e.g. rounded corners, oblique or rounded trench walls
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76829Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing characterised by the formation of thin functional dielectric layers, e.g. dielectric etch-stop, barrier, capping or liner layers
    • H01L21/76831Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing characterised by the formation of thin functional dielectric layers, e.g. dielectric etch-stop, barrier, capping or liner layers in via holes or trenches, e.g. non-conductive sidewall liners
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J2237/00Discharge tubes exposing object to beam, e.g. for analysis treatment, etching, imaging
    • H01J2237/32Processing objects by plasma generation
    • H01J2237/33Processing objects by plasma generation characterised by the type of processing
    • H01J2237/332Coating

Abstract

도핑되거나 도핑되지 않은 실리콘 카바이드 (SiCxOyNz) 막은 갭 충진을 위하여 기판의 하나 이상의 피처들 내 증착될 수 있다. 도핑되거나 도핑되지 않은 제 1 두께의 실리콘 카바이드 막이 하나 이상의 피처들 내 도핑된 이후, 도핑되거나 도핑되지 않은 실리콘 카바이드 막은 하나 이상의 피처들 각각의 상단 표면 근처 개구부의 사이즈가 증가하도록 야기하는 조건들 하에서 리모트 수소 플라즈마에 노출되고, 조건들은 처리 시간, 처리 주파수, 처리 전력, 및/또는 리모트 플라즈마 가스 조성을 제어하도록 제어될 수 있다. 추가적인 두께의 실리콘 카바이드 막을 증착하는 단계와 리모트 수소 플라즈마 처리를 수행하는 단계의 동작들은 하나 이상의 피처들을 적어도 실질적으로 충진시키도록 반복된다. 증착과 플라즈마 처리 사이의 다양한 시간 인터벌들이 갭 충진 성능을 조정하도록 더해질 수도 있다.

Description

갭 충진 (gapfill) 을 위한 도핑되거나 도핑되지 않은 실리콘 카바이드 증착 및 원격 수소 플라즈마 노출{DOPED OR UNDOPED SILICON CARBIDE DEPOSITION AND REMOTE HYDROGEN PLASMA EXPOSURE FOR GAPFILL}
반도체 디바이스들과 같은 디바이스들의 제조 (fabrication) 는 기판의 리세스된 (recessed) 피처 (feature) 들 내에 다양한 유전체, 전도체, 또는 반도체 막들의 증착을 수반할 수도 있다. 이러한 피처들을 충진 (filling) 하기 위한 다양한 기법들이 존재하지만, 디바이스들이 수축되고 피처들이 보다 작아짐에 따라, 보이드 (void) 들 또는 심 (seam) 들이 없는 피처 충진이 점점 어려워진다.
본 명세서에 제공된 배경기술은 일반적으로 본 개시의 맥락을 제시하기 위한 목적이다. 본 배경 기술에 기술된 범위까지, 현재 명명된 발명자들의 업적, 뿐만 아니라 출원시 종래 기술로서 달리 인정되지 않을 수도 있는 기술의 양태들은 본 개시에 대한 선행 기술로서 명시적으로 또는 묵시적으로 인정되지 않는다.
참조로서 인용.
PCT 출원서 양식은 본 출원의 일부로서 본 명세서와 동시에 제출된다. 본 출원이 동시에 제출된 PCT 출원서에서 식별된 바의 이점 또는 우선권을 주장하는 출원 각각은 모든 목적을 위해 전체가 참조로서 본 명세서에 인용된다.
기판의 하나 이상의 피처들 내에 도핑되거나 도핑되지 않은 실리콘 카바이드 (SiCxOyNz) 막을 증착하는 방법이 본 명세서에 제공된다. 방법은 기판의 하나 이상의 피처들 내 제 1 두께의 SiCxOyNz 막을 증착하는 단계, 하나 이상의 피처들 각각의 상단 표면 근처 개구부 (opening) 의 사이즈를 증가시키는 조건들 하에서 리모트 수소 플라즈마에 SiCxOyNz 막을 노출시키는 단계, 및 제 1 두께의 SiCxOyNz 막 상에 제 2 두께의 SiCxOyNz 막을 증착하는 단계를 포함하며, x 는 0 초과의 값을 가지고, y 는 0 이상의 값을 가지고, z 는 0 이상의 값을 가진다.
일부 구현예들에서, 방법은 리모트 수소 플라즈마로 SiCxOyNz 막을 노출시키는 단계 및 하나 이상의 피처들이 실질적으로 채워질 때까지 하나 이상의 피처들 내 새로운 두께의 SiCxOyNz 막을 증착하는 단계의 반복되는 동작들을 더 포함한다. 일부 구현예들에서, 리모트 수소 플라즈마의 조건들은 처리 시간, 처리 주파수 (treatment frequency), 처리 전력, 및/또는 리모트 플라즈마 (remote plasma) 가스 조성을 포함하며, 처리 시간, 처리 주파수, 처리 전력, 및/또는 리모트 플라즈마 가스 조성은 하나 이상의 피처들 각각의 상단 표면 근처 개구부의 사이즈가 하나 이상의 피처들 각각의 하단 표면 근처 개구부의 사이즈보다 더 증가되도록 제어된다. 리모트 수소 플라즈마로 노출의 처리 시간은 약 0.5 초에서 약 120 초 사이가 될 수도 있다. 처리 주파수는 리모트 수소 플라즈마로 SiCxOyNz 막을 노출시키고 SiCxOyNz 막을 증착하는 단계의 사이클 당 SiCxOyNz 막의 10 Å 이하일 수 있다. 리모트 수소 플라즈마의 리모트 플라즈마 가스 조성은 약 10 부피 %에서 약 50 부피 % 사이의 수소의 농도를 가지는 리모트 수소 플라즈마를 포함할 수도 있다. 일부 구현예들에서, 제 1 두께 및 제 2 두께 각각은 약 0.5 Å 과 약 4.5 Å 사이다. 일부 구현예들에서, 제 1 두께의 SiCxOyNz 막을 증착하는 단계는 반응 챔버 내로 하나 이상의 실리콘-함유 전구체들을 플로우 하는 단계, 및 리모트 플라즈마 소스로부터 생성된 하나 이상의 수소 라디칼들을 반응 챔버 내 기판을 향하여 도입 (introducing) 하는 단계를 포함하며, 하나 이상의 수소 라디칼들은 제 1 두께의 SiCxOyNz 막을 증착하도록 하나 이상의 실리콘-함유 전구체들과 반응한다. 일부 구현예들에서, 수소 라디칼들의 적어도 90 %는 바닥 상태 (ground state) 인 수소 라디칼들이다. 일부 구현예들에서, 리모트 수소 플라즈마의 조건들은 적어도 약 5 %까지 하나 이상의 피처들 각각의 상단 표면 근처 개구부의 사이즈를 증가시킨다. 일부 구현예들에서, 리모트 수소 플라즈마의 조건들은 제 1 두께의 SiCxOyNz 막의 탄소의 원자 농도가 약 10 %에서 약 30 % 사이인 경우, 하나 이상의 피처들 각각의 상단 표면 근처 개구부의 사이즈를 증가시킨다. 일부 구현예들에서, 제 1 두께의 SiCxOyNz 막을 증착하는 단계 및 리모트 수소 플라즈마로 SiCxOyNz 막을 노출시키는 단계의 동작들은 진공 브레이크 (vacuum break) 의 도입 없이 발생한다. 일부 구현예들에서, 방법은 갭 충진 성능을 조정하기 (modulate) 위하여 제 1 두께의 SiCxOyNz 막을 증착하는 단계와 리모트 수소 플라즈마로 제 1 두께의 SiCxOyNz 막을 노출시키는 단계 사이에 시간 인터벌을 도입하는 단계를 더 포함한다.
또 다른 양태는 장치를 수반한다 (involve). 장치는 반응 챔버, 반응 챔버 내 기판을 지지하기 위한 기판 지지체, 하나 이상의 피처들을 가지는 기판, 및 제어기를 포함한다. 제어기는 다음 동작들을 수행하기 위한 인스트럭션 (instruction) 들로 구성된다: 기판의 하나 이상의 피처들 내 도핑되거나 도핑되지 않은 실리콘 카바이드 제 1 두께의 SiCxOyNz 막을 증착하는 단계, 하나 이상의 피처들 각각의 상단 표면 근처 개구부의 사이즈를 증가시키는 조건들 하에서 리모트 수소 플라즈마로 SiCxOyNz 막을 노출시키는 단계, 증착시키는 단계를 포함하며, 제 1 두께의 SiCxOyNz 막 상에 제 2 두께의 SiCxOyNz 막을 증착하는 단계를 포함하며, x 는 0 초과의 값을 가지고, y 는 0 이상의 값을 가지고, z 는 0 이상의 값을 가진다.
일부 구현예들에서, 제어기는 다음의 동작을 수행하기 위한 인스트럭션들로 더 구성된다: 리모트 수소 플라즈마로 SiCxOyNz 막을 노출시키는 단계 및 하나 이상의 피처들이 실질적으로 채워질 때까지 하나 이상의 피처들 내 새로운 두께의 SiCxOyNz 막을 증착하는 단계의 반복되는 동작들. 일부 구현예들에서, 리모트 수소 플라즈마의 조건들은 처리 시간, 처리 주파수, 처리 전력, 및/또는 리모트 플라즈마 가스 조성을 포함하며, 처리 시간, 처리 주파수, 처리 전력, 및/또는 리모트 플라즈마 가스 조성은 하나 이상의 피처들 각각의 상단 표면 근처 개구부의 사이즈가 하나 이상의 피처들 각각의 하단 표면 근처 개구부의 사이즈보다 더 증가되도록 제어된다. 리모트 수소 플라즈마의 리모트 플라즈마 가스 조성은 약 10 부피 %에서 약 50 부피 % 사이의 수소의 농도를 가지는 리모트 수소 플라즈마를 포함할 수도 있다. 일부 구현예들에서, 제 1 두께 및 제 2 두께 각각은 10 Å 이하다. 일부 구현예들에서, 제어기는 다음의 동작을 수행하기 위한 인스트럭션들로 더 구성된다: 갭 충진 성능을 조정하기 위하여 제 1 두께의 SiCxOyNz 막을 증착하는 단계와 리모트 수소 플라즈마로 제 1 두께의 SiCxOyNz 막을 노출시키는 단계 사이에 시간 인터벌을 도입하는 단계.
이들 및 다른 양태는 도면들을 참조하여 아래에서 더 기술된다.
도 1 은 기판의 예시적인 피처의 단면 개략도를 예시한다.
도 2a 내지 2c는 증착-에칭-증착 프로세싱 (dep-etch-dep processing) 을 사용하는 갭 충진을 겪는 (undergoing) 예시적인 기판의 피처의 단면의 개략적인 예시들이다.
도 3a 내지 3c는 억제 화학 물질 (inhibition chemistry) 을 사용하는 갭 충진을 겪는 예시적인 기판의 피처의 3c는 단면 개략적 예시들이다.
도 4는 일부 구현예들에 따른 예시적인 기판 내 상이한 갭 충진 재료들의 단면 개략도를 예시한다.
도 5a 내지 5c는 일부 구현예들에 따른 리모트 플라즈마 화학적 기상 증착 및 리모트 수소 플라즈마 노출 동작들을 사용하는 갭 충진을 겪는 예시적인 기판의 피처의 단면 개략적 예시들이다.
도 6은 일부 구현예들에 따른 리모트 플라즈마 소스를 가지는 예시적인 플라즈마 프로세싱 장치의 개략도를 예시한다.
도 7은 다른 구현예들에 따른 리모트 플라즈마 소스를 가지는 예시적인 플라즈마 프로세싱 장치의 개략도를 예시한다.
도 8은 일부 구현예들에 따른 기판의 복수의 피처들 내에 증착된 SiCxOyNz 막의 TEM 이미지를 도시한다.
도 9는 일부 구현예들에 따른 기판의 복수의 피처들 내에 증착된 SiCxOyNz 막의 TEM 이미지를 도시한다.
본 개시에서, 용어 “반도체 웨이퍼”, “웨이퍼”, “기판”, “웨이퍼 기판” 및 “부분적으로 제작된 직접 회로 (partially fabricated integrated circuit)”는 상호 교환적 (interchangeably) 으로 사용된다. 통상의 기술자는 “부분적으로 제작된 직접 회로” 라는 용어가 직접 회로 제조의 많은 단계들 중 임의의 단계 동안 실리콘 웨이퍼를 지칭할 수 있다는 것을 이해할 것이다. 반도체 디바이스 산업에서 사용되는 웨이퍼 또는 기판은 통상적으로 200 ㎜, 또는 300 ㎜, 또는 450 ㎜ 의 직경을 가진다. 다음의 상세한 기술은 본 개시가 웨이퍼 상에 구현된다고 가정한다 (assume). 그러나, 본 개시는 그렇게 제한되지 않는다. 워크피스는 다양한 모양들, 사이즈들 및 재료들이 될 수도 있다. 반도체 웨이퍼들에 더하여, 본 개시의 이점을 얻을 수도 있는 다른 워크피스들은 인쇄 회로 보드들 등과 같은 다양한 물품들 (articles) 을 포함한다.
기판들은 “피처들” 또는 “트렌치들” 을 포함할 수도 있다. 본 명세서에 사용된 “피처들” 은 기판의 비평면 구조체들, 통상적으로 반도체 디바이스 제작 동작에서 변형되는 표면을 지칭할 수도 있다. “네거티브 피처들” 또는 “리세스된 피처들” 로 또한 지칭될 수도 있는, 피처들의 예시들은 트렌치들, 홀들, 비아들, 갭들, 리세스된 영역들 등을 포함한다. 이런 용어들은 본 개시에서 상호 교환적으로 사용될 수 있다. 피처의 한 예시는 반도체 기판 내 또는 기판 상의 층 (layer) 내의 홀 또는 비아이다. 또 다른 예시는 기판 또는 층 내의 트렌치이다. 피처는 통상적으로 종횡비 (측면 치수에 대한 깊이) 를 가진다. 피처는 하나 이상의 좁은 및/또는 재-진입 (re-entrant) 개구부들, 피처 내의 협폭부 (constriction) 들, 및 고종횡비들에 의해 특징될 수도 있다. 고종횡비를 가지는 피처는 약 10:1 이상이거나, 약 15:1 이상이거나, 약 20:1 이상이거나, 약 25:1 이상이거나, 약 30:1 이상이거나, 약 40:1 이상이거나, 약 50:1 이상이거나, 약 100:1 이상인 측면 치수에 대한 깊이 종횡비를 가질 수 있다. 다양한 실시예들에서, 피처는 배리어 층 또는 접착 층과 같은 하부 층을 가질 수도 있다. 하부 층들의 제한 없는 (non-limiting) 예시들은 예를 들어, 실리콘 옥사이드들, 실리콘 나이트라이드들, 도핑되지 않은 실리콘 카바이드들, 산소-도핑된 실리콘 카바이드들, 질소-도핑된 실리콘 카바이드들, 금속 옥사이드들, 금속 나이트라이드들, 금속 카바이드들 및 금속 층들과 같은 유전체 층 및 전도체 층을 포함한다.
기판의 피처들은 다양한 유형들일 수 있다. 일부 실시예들에서, 피처는 곧은 (straight) 측벽들 (sidewalls), 양으로 경사된 측벽들, 또는 음으로 경사된 측벽들을 가질 수 있다. 일부 실시예들에서, 피처는 피처를 형성하기 위한 에칭 프로세스의 결과로 발생할 수도 있는 측벽 토포그래피 또는 측벽 거칠기 (roughness) 을 가질 수 있다. 일부 실시예들에서, 피처는 하단에서보다 피처의 상단에서 보다 큰 피처 개구부를 가질 수 있거나, 피처는 상단에서 보다 피처의 하단에서보다 큰 피처 개구부를 가질 수 있다. 일부 실시예들에서, 피처는 재료로 부분적으로 충진되거나 하나 이상의 하부-층들을 가질 수 있다. 전술한 실시예들의 임의의 것과 같은 피처들의 갭 충진은 피처 유형 및 프로파일에 따를 (depend) 수 있다. 반도체 제작 프로세스들은 갭 충진 프로세스들 또는 유전체 갭 충진 프로세스들을 자주 포함한다. 통상적으로, 화학적 기상 증착 (CVD) 및/또는 원자층 증착 (ALD) 방법들이 피처들을 충진하도록 사용된다. 종래의 기법들은, 그러나, 피처 내의 원하지 않는 심들 또는 보이드들의 형성을 자주 초래한다. 일부 실시예들에서, 갭 충진에서 심들 및/또는 보이드들은 높은 저항, 오염, 충진된 재료들의 손실, 저하된 성능, 및 디바이스 결함 (failure) 으로 이어질 수도 있다.
피처의 종횡비가 증가하므로, CVD 기상 반응들의 대량 수송 제한들은 피처가 완전히 충진될 수 있기 전에 피처의 개구부의 상부가 닫히도록 야기하는 “브레드-로핑 (bread-loafing)” 증착 효과들을 야기할 수도 있다. CVD 프로세스들과 달리, ALD 프로세스들은 층별 (layer-by-layer) 기반 상에 막들을 증착하도록 표면-매개의 (surfacsur-mediated) 증착 반응들을 사용하고, 이런 막들은 통상적으로 컨포멀 (conformal) 하다. ALD 가 고도의 컨포멀한 막들을 증착할 수 있지만, 고종횡비 피처들 내로 막들의 증착은 어려울 (challenging) 수 있다. 측벽을 따른 막의 스텝 커버리지 (step coverage) 및 불균일도는, 예를 들어, 증착 전구체, 반응물질 이온들, 및/또는 라디칼들의 수송, 및 부산물들을 따른다. 피처의 측면 치수가 감소하거나, 피처의 깊이가 증가되면, 증착 전구체 및/또는 반응물질 종들의 수송 및 확산은 피처 내에서 점점 어려워진다. 따라서, 피처의 상단은 보다 많은 전구체 및 반응물질 종들에 노출되고 피처의 하단은 확산 제한들로 인하여 보다 적은 전구체 및 반응물질 종들에 노출된다. 이것은 고 종횡비 피처들 내 심들 및/또는 보이드들의 형성으로 이어질 수 있다.
도 1은 기판의 예시적인 피처의 단면 개략도를 예시한다. 기판 (100) 은 종래의 CVD, 플라즈마-강화 CVD (PECVD), ALD, 또는 플라즈마-강화 ALD (PEALD) 기법을 사용하여 충진된 피처 (101) 를 가진다. 심 (106) 은 피처 (1010) 의 측벽들을 따라 증착된 갭 충진 재료 (102) 가 만나는 곳에 형성된다. 만약 ALD 또는 PEALD 기법들이 사용되면, 피처 (101) 의 상단의 개구부는 닫히고, 분자 수송은 점진적으로 어려워지고, 이것은 심 (106) 이 피처의 (101) 의 상단 근처에 형성되고 피처 (101) 내 보이드 (108) 를 남기도록 야기한다. 만약 CVD 또는 PECVD 기법들이 사용되면, 갭 충진 재료 (102) 는 피처 (101) 의 상단이 피처 (101) 가 채워지기 전에 폐쇄 (close off) 되고 심 (106) 에서 “핀치 (pinched)” 되고, 이에 따라 피처 (101) 내 보이드 (108) 를 남기게 되도록, 피처의 측벽들을 따르는 것보다 피처 (101) 의 에지들에서 보다 빠르게 빌드 업 (build up) 되는 경항이 있을 것이다.
보이드들 및 심들의 형성에 더하여, 피처 내에 증착된 막은 피처의 상단 근처에 증착된 막 보다 저하된 (degraded) 막 품질 및 상이한 막 품질을 가질 수도 있다. 임의의 이론에 의해 제한되지 않고, 이것은 피처의 하단에 도달하는 반응물질 종들의 분배 및 수가 상단에서 보다 적고 상이하기 때문일 수도 있다. 일부 실시예들에서, 막 품질은 증착된 필름을 에칭하고, 피처의 상단, 피처의 하단, 피처의 측벽에서 에칭 레이트들을 관찰하고 비교함으로써 평가될 수 있다.
CVD, PECVD, ALD 또는 PEALD 프로세스들에서 갭 충진 성능을 향상시키도록, 많은 접근들 중 하나가 일반적으로 구현된다.
일부 실시예들에서, CVD, PECVD, ALD, 또는 PEALD 갭 충진에 대한 증착 레이트는 느려질 수 있다. 증착 레이트의 느려짐은 갭 충진 구조에서 증착 프로파일을 미세 조정하고 (fine tune) 갭 충진 성능을 향상시킬 수 있다. 예를 들어, 보다 많은 사이클들은 피처가 폐쇄되기 전에 ALD 또는 PEALD 프로세스들에서 수행될 수 있다. 이에 더하여 또는 대안적으로, 초기 사이클 동안 보다 긴 지속 (duration) 은 전구체 및/또는 반응물질 종들의 확산이 피처들의 하단 및 측벽들에 도달하게 허용한다. 그러나, 갭 충진을 향상하도록 증착 레이트를 느리게하는 것은 전체 처리량 (throughput) 을 감소시키고, 일반적으로 낮은 종횡비 피처들 및/또는 큰 개구부들을 가지는 피처들에 보다 적합하다.
일부 실시예들에서, 증착-에칭-증착 (dep-etch-dep) 기법들은 피처들을 충진하도록 이용된다. 증착-에칭-증착 기법은 갭 충진 재료의 증착하는 단계, 이어서 (followed by) 피처 개구부를 개발하도록 갭 충진 재료의 일부를 다시 에칭하는 단계, 그리고 이어서 갭 충진을 완료하거나 갭 충진 프로세스를 증진하도록 (advance) 동일한 갭 충진 재료의 일부를 다시 증착하는 단계를 수반한다. 도 2a 내지 도 2c는 증착-에칭-증착 프로세싱을 사용하는 갭 충진을 겪는 예시적인 기판의 피처의 단면 개략적 예시들이다. 도 2a는 재료 (202a) 가 피처 (201) 내에 증착되는 기판 (200) 의 피처 (201) 의 예시를 도시한다. 재료 (202a) 는 피처 (201) 의 상단 표면, 측벽들, 및 하단 표면을 따라 컨포멀하게 증착될 수도 있다. 일부 실시예들에서, 재료 (202a) 는 ALD 또는 PEALD 를 사용하여 증착될 수도 있다. 통상적인 ALD 사이클은 : (i) 기판의 표면 상에 전구체 재료를 전달하고 흡착시키는 도징하는 (dosing) 단계, (ii) 챔버로부터 과잉 (excess) 전구체 재료들을 퍼징하고 (purging) 기판 표면 상에 자기 제한된 (self-limited) 단층을 남기는 단계, (iii) 흡착된 전구체 재료들과 반응하도록 반응물질 재료의 전달, 및 (iv) 챔버로부터 반응하지 않은 반응물질 재료 또는 반응 부산물들을 퍼징하는 단계를 포함할 수도 있다. 도징하는 단계는 일단 활성점 (active site) 이 전구체 재료들에 의해 점유되면, 기판 표면 상에 추가 전구체 재료가 거의 또는 전혀 흡착되지 않도록 자기 제한된 방식으로 전구체 재료를 흡착할 수도 있다. 반응물질 재료들은 자기 제한 또는 흡착 제한 방식으로 전구체 재료들과 유사하게 반응할 수도 있다. 퍼징 단계들은 챔버로부터 과잉 전구체 재료, 반응 부산물들, 및/또는 반응하지 않은 반응물질 재료들을 제거하도록 선택가능하게 수행될 수도 있고, 이에 따라 ALD 사이클을 완료할 수도 있다. 도 2b는 재료 (202a) 가 다시 에칭되는 (etched back) 기판 (200) 의 피처 (201) 의 예시를 도시한다. 예를 들어, 도 2b에 도시된 바와 같이, 재료 (202a) 는 다시 에칭될 수 있고 테이퍼된 (tapered) 프로파일을 발생시킬 수 있다. 따라서, 보다 많은 재료 (202a) 가 피처 (201) 의 하단에서보다 피처 (201) 의 상단 근처에서 제거된다. 도 2b에서 수행되는 에칭 동작은 보다 많은 재료가 피처 (201) 내 충진될 수 있도록 증착된 재료 (202a) 를 개조한다 (reshape). 피처 (201) 의 하단보다 피처 (201) 의 상단 근처에 보다 많은 재료를 증착하는 후속 증착 동작이 수행 되는 경우, 피처 (201) 는 보이드들이 보다 작거나 없이 충진될 수 있다. 도 2c는 재료 (202b) 가 피처 (201) 내에 증착되는 기판 (200) 의 피처 (201) 의 예시를 도시한다. 재료 (202b) 는 도 2a 및 도 2b로부터 재료 (202a) 를 포함한다. 도 2c에 도시된 바와 같이, 재료 (202b) 는 증착 및 에칭 동작들 다음에 피처 (201) 를 실질적으로 충진한다. 재료 (202b) 의 증착은 보이드 (203) 의 형성을 발생시킬 수도 있으나, 보이드 (203) 는 하나 이상의 에칭 동작들로 증착 동작을 방해함으로써 더 작을 수도 있다. 증착-에칭-증착 기법은 보이드들 및/또는 심들의 형성을 완화시킬 수도 있는 반면에, 증착-에칭-증착 동안 에칭 동작들은, 디바이스 불안정 및 가능한 디바이스 결함으로 자주 이어지는, 하부 (underlying) 재료들을 에칭할 수도 있다. 더욱이, 증착-에칭-증착 기법들은 전체 처리량을 낮추는, 증착-에칭-증착의 다수의 라운드 (round) 들 및/또는 증착 챔버와 및 에칭 챔버 사이의 다수의 웨이퍼 수송들을 자주 수반한다.
일부 실시예들에서, 억제 화학 물질이 갭 충진 재료가 토포그래픽적으로 (topographically) 상이한 방식으로 피처 내 성장하거나 그렇지 않으면 형성되도록 사용될 수 있다. 예를 들어, 억제제는 재료와 반응하고 성장을 억제하도록 패시베이팅된 (passivated) 표면을 생성 (create) 할 수 있다. 이러한 방법으로, 피처의 상단에서 증착이 선택적으로 억제되고 피처의 하부 부분들 내 증착이 보다 적은 억제와 함께 또는 억제되지 않고 진행될 수 있다. 결과적으로, 보텀-업 (bottom-up) 충진이 강화된다. 도 3a 내지 도 3c는 억제 화학 물질을 사용하는 갭 충진을 겪는 예시적인 기판의 피처의 단면 개략적 예시들이다. 도 3a는 적어도 피처 (301) 의 상부 영역들 및 필드 영역은 갭 충진 재료의 증착/성장을 억제하는 반응물질로 노출되는 기판 (300) 의 피처 (301) 의 예시를 예시한다. 반응물질은 기판 (300) 상에 패시베이팅된 층 (305) 을 형성하도록 재료와 반응한다. 예시로서, 질소 가스 (N2) 또는 암모니아 (NH3) 는 나이트라이드로 만들어진 패시베이팅된 층 (305) 을 형성하도록 사용될 수도 있다. ALD 실리콘 다이옥사이드 (SiO2) 와 같은, 갭 충진 재료는 나이트라이드 표면들 상에 보다 느린 레이트로 핵을 형성한다 (nucleates). 도 3b에서, 갭 충진 재료 (302a) 는 피처 (301) 내에 증착된다. 갭 충진 재료 (302a) 는 피처 (301) 의 상단 표면, 측벽들, 및 하단 표면을 따라 증착될 수도 있다. 패시베이팅된 층 (305) 은 피처 (301) 의 다른 영역들에서 갭 충진 재료 (302a) 의 증착/성장이 더 적은 억제와 함께 또는 억제되지 않고 진행될 수 있도록 피처 (301) 의 필드 영역 및 상부 영역들에서 갭 충진 재료 (302a) 의 증착/성장을 선택적으로 억제한다. 도 3c에서, 갭 충진 재료 (302b) 는 피처 (301) 를 실질적으로 충진하도록 증착된다. 갭 충진 재료 (302b) 의 증착은 보이드 (303) 의 형성을 발생시킬 수도 있지만, 보이드 (303) 는 피처 (301) 내 보텀-업 충진을 촉진하는 억제화학의 덕분에 보다 작을 수도 있다. 억제 화학 물질이 보이드들 및/또는 심들의 형성을 완화시킬 수도 있는 반면에, 억제 화학 물질들은 프로세스들 및 화학들의 특정 유형들로 제한된다. 다시 말해서, 상이한 프로세스들은 상이한 억제 화학 물질들을 요구한다. 특정 억제 화학 물질들은 SiCxOyNz 막들의 증착/성장, 또는 특정한 (particular) 증착 기법 (예를 들어, 리모트 플라즈마 CVD) 을 사용하는 SiCxOyNz 막들의 증착/성장, 또는 목표된 속성들 (properties) (예를 들어, 옥사이드 및 나이트라이드에 대한 높은 에칭 선택도) 을 가지는 SiCxOyNz 막들의 증착/성장을 제한하기 위해 적합하지 않을 수도 있다. 따라서, 갭 충진에서 억제 화학 물질의 적용은 특정 화학들, 증착 기법들, 및 막 속성들로 제한될 수도 있다.
본 개시는 리모트 플라즈마 CVD 및 리모트 플라즈마 노출을 사용하여 갭 충진을 위한 도핑되거나 도핑되지 않은 실리콘 카바이드 막의 증착과 관련한다. 하나 이상의 고종횡비 피처들은 도핑되거나 도핑되지 않은 실리콘 카바이드 갭 충진 재료들로 충진되거나 적어도 실질적으로 충진된다. 일부 실시예들에서, 도핑되거나 도핑되지 않은 실리콘 카바이드 갭 충진 재료는 실리콘 옥시카바이드 (SiCO) 이다. 일부 실시예들에서, 도핑된 또는 도핑되지 않은 실리콘 카바이드 갭 충진 재료는 실리콘 니트리카바이드 (SiCN) 이다. 수소 가스를 포함하는 소스 가스는 여기된 에너지 상태 (excited energy state) 에서 소스 가스로 하여금 해리되고 (dissociate) 이온들 및 라디칼들을 생성하도록 야기하는 리모트 플라즈마 소스로 제공된다. 여기 (excitation) 이후, 여기된 에너지 상태의 라디칼들은 반응 챔버에서 실질적으로 낮은 에너지 상태의 라디칼들 또는 바닥 상태의 라디칼들로 안정 (relax) 된다. 하나 이상의 실리콘-함유 전구체들은 반응 챔버에 제공되며, 하나 이상의 실리콘-함유 전구체들의 결합들은 하나 이상의 고종횡비 피처들 내 도핑된 또는 도핑되지 않은 실리콘 카바이드 갭 충진 재료를 형성하도록 실질적으로 낮은 에너지 상태 라디칼들 또는 바닥 상태 라디칼들에 의해 선택적으로 끊어진다 (broken). 갭 충진은 증착 및 처리 동작들을 교번함으로써 발생하고, 증착 동작은 리모트 플라즈마 CVD 에 의해 도핑되거나 도핑되지 않은 실리콘 카바이드 갭 충진 재료의 특정 두께를 증착시키는 단계를 포함하고 처리 동작은 리모트 수소 플라즈마에 도핑되거나 도핑되지 않은 실리콘 카바이드 갭 충진 재료를 노출시키는 단계를 포함한다. 리모트 수소 플라즈마 처리 조건들은 고 종횡비 피처들 각각의 상단 표면 근처 개구부의 사이즈가 처리 이후 증가하도록 제어된다. 일부 예들에서, 상단 표면 근처 개구부의 사이즈는 처리 이후 고 종횡비 피처들 각각의 하단 표면 근처 개구부의 사이즈보다 더 증가된다. 일부 실시예들에서, 리모트 수소 플라즈마 처리 조건들은 처리 시간, 처리 주파수, 처리 전력 및/또는 리모트 플라즈마 가스 조성을 제어함으로써 제어된다. 다양한 시간 인터벌들은 갭 충진 성능을 조정하기 위해 플라즈마 증착과 플라즈마 처리 사이에 도입될 수 있다.
실리콘 카바이드 막들은 반도체 디바이스들에 흔히 사용된다. 본 명세서에 사용된 바와 같이, 용어 “실리콘 카바이드” 는, 산소 도핑 실리콘 카바이드 또는 실리콘 옥시 카바이드 (SiCO), 질소 도핑 실리콘 카바이드 또는 실리콘 니트리카바이드 (SiCN), 그리고 질소 및 산소 도핑 실리콘 카바이드 또는 실리콘 옥시니트리카바이드 (SiOCN) 와 같은 도핑된 실리콘 카바이드들 또는 도핑되지 않은 실리콘 카바이드들을 포함한다. 많은 경우, 도핑된 실리콘 카바이드들은, 도핑된 원자들이 산소, 질소, 또는 또 다른 원소의 원자이든 아니든, 최대 약 50 원자 % 의 도핑된 원자들을 가진다. 도핑 레벨은 목표된 막 속성들을 제공한다. 본 명세서에서 사용된 바와 같이, “도핑되거나 도핑되지 않은 실리콘 카바이드” 에 대한 참조는 “SiCxOyNz,” 를 특히 지칭하고, x 는 0 초과의 값을 가지고, y 는 0 이상의 값을 가지고, z 는 0 이상의 값을 가진다.
도핑되거나 도핑되지 않은 실리콘 카바이드 막들은, 다른 적용들 중에서도, 금속 확산 장벽들, 에칭 정지 층들, 하드 마스크 층들, 소스 및 드레인 임플란트들에 대한 게이트 스페이서들, 자기 저항성 랜덤 액세스 메모리 (magnetoresistive random-access memory, MRAM) 또는 저항성 랜덤 액세스 메모리 (resistive random-access memory, RRAM) 를 위한 캡슐화 장벽들, 및 에어 갭들에서 밀폐 확산 장벽들로서 이용될 수도 있다. 일부 실시예들에서, 도핑되거나 도핑되지 않은 실리콘 카바이드 막들은 트랜지스터 디바이스들의 고종횡비 피처들 내 갭 충진 재료들로서 사용될 수도 있다.
도 4는 일부 구현예들에 따른 예시적인 기판 내 상이한 갭 충진 재료들의 단면 개략도를 예시한다. 반도체 디바이스 (400) 는 제 1 전기적 전도성 구조체들 (402) 및 제 2 전기적 전도성 구조체들 (404) 을 포함할 수도 있다. 일부 구현예들에서, 반도체 디바이스 (400) 는 트랜지스터 디바이스이다. 스페이서들 (420) 은 제 1 전기적 전도성 구조체들 (402) 및 제 2 전기적 전도성 구조체들 (404) 을 분리할 수도 있다. 제 1 갭 충진 재료는 제 1 절연 캡 층 (412) 을 제 1 전기적 전도성 구조체들 (402) 위에 형성하고, 제 2 갭 충진 재료는 제 2 절연 캡 층 (414) 을 제 2 전기적 전도성 구조체들 (404) 위에 형성한다. 제 1 절연 캡 층 (412) 은 제 2 절연 캡 층과 상이한 에칭 선택도를 가질 수도 있다. 예를 들어, 제 1 절연 캡 층 (412) 의 제 1 갭 충진 재료는 제 2 절연 캡 층 (414) 의 제 2 갭 충진 재료들에 반해 (against) 건식 에칭 또는 습식 에칭 조건들 하에서 적어도 7:1 의 에칭 선택도를 가질 수도 있다. 제 1 갭 충진 재료는 높은 항복 전압 (breakdown voltage) 들 및 낮은 누설 전류 (leakage current) 들을 포함하는 뛰어난 전기적 속성들을 가질 수도 있다. 게다가, 제 1 갭 충진 재료는 낮은 유전 상수 (로우-k; low-k) 을 가질 수도 있고, 제 1 갭 충진 재료의 유효 유전상수는 약 4.0 이하, 약 3.5 이하, 약 3.0 이하, 또는 약 2.5 이하이다. 일부 실시예들에서, 제 1 갭 충진 재료는 본 개시의 플라즈마 CVD 프로세스에 의해 형성되는 SiCO 이다. 제 2 갭 충진 재료는, 실리콘 나이트라이드 또는 실리콘 옥사이드와 같은, 나이트라이드 또는 옥사이드 일 수도 있다. 일부 실시예들에서, 제 1 전기적 전도성 구조체들 (402) 은 트랜지스터 디바이스 내 소스/드레인 콘택트들을 포함하고, 제 2 전기적 전도성 구조체들 (404) 은 트랜지스터 디바이스 내 게이트 전극 층 및 게이트 유전체 층을 가지는 게이트 스택 (stack) 을 포함한다. 제 1 갭 충진 재료가 본 개시의 리모트 플라즈마 CVD 프로세스에 의해 형성되는 SiCO 가 되게 하는 것은 우수한 전기적 속성들, 낮은 유전 상수, 및 다른 갭 충진 재료들에 반해 높은 에칭 선택도를 제공할 뿐만 아니라, 우수한 단자 커버리지 및 상당한 심 및/또는 보이드를 남기지 않는 갭 충진 성능을 제공한다.
기판의 피처들은 리모트 플라즈마 CVD 및 리모트 수소 플라즈마 노출의 교번하는 동작들을 수반하는 프로세스를 사용하여 도핑되거나 도핑되지 않은 실리콘 카바이드 갭 충진 재료들로 충진되거나 적어도 실질적으로 충진된다. 다시 말해서, 도핑되거나 도핑되지 않은 실리콘 카바이드 갭 충진 재료의 특정 두께는 리모트 플라즈마 CVD 에 의해 증착될 수도 있고, 제어된 리모트 수소 플라즈마 노출이 뒤따르고, 이 단계들은 피처들이 충진되거나 적어도 실질적으로 충진될 때까지 반복될 수도 있다. 본 명세서에서 사용된 바와 같이, “실질적으로 충진된” 은 적어도 98 부피 %로 충진된 피처를 가지는 것을 지칭할 수도 있다.
도 5a 내지 도 5c는 일부 구현예들에 따른 리모트 플라즈마 화학적 기상 증착 및 리모트 수소 플라즈마 노출 동작들을 사용하는 갭 충진을 겪는 예시적인 기판의 피처의 단면 개략적 예시들이다. 도 5a 내지 도 5c에 도시된 프로세스 (500) 의 동작들 (500a 내지 500c) 은 추가적인, 보다 적은, 또는 상이한 작업들을 포함할 수도 있다. 도 5a 내지 5c에 도시된 프로세스 (500) 의 동작들 (500a 내지 500c) 은 도 6 및 도 7 에 기술된 바와 같은 플라즈마 프로세싱 장치들 중 임의의 하나에 의해 수행될 수도 있다.
프로세스 (500) 의 동작 (500a) 에서, 제 1 두께의 SiCxOyNz 막 (506) 은 기판 (502) 의 피처 (504) 내에 증착된다. 기판 (502) 은 도 5a 내지 도 5c 에서 단일 피처 (504) 만을 도시하지만, 기판 (502) 은 하나 이상의 피처들 (504) 을 가질 수도 있다는 것이 이해될 것이다. 일부 실시예들에서, SiCxOyNz 막 (506) 은 실리콘 옥시카바이드 (SiCO) 를 포함한다. 제 1 두께의 SiCxOyNz 막 (506) 은 리모트 플라즈마 CVD 프로세스에 의해 증착된다. 리모트 플라즈마 CVD 프로세스는 기판 (502) 에 인접한 비교적 약한 (mild) 조건들을 겪는 기판 (502) 의 표면 상에 제 1 두께의 SiCxOyNz 막 (506) 을 증착한다.
제 1 두께의 SiCxOyNz 막 (506) 을 증착하는 단계는 반응 챔버 안으로 하나 이상의 실리콘-함유 전구체들을 플로우 하는 단계 및 반응 챔버 내 기판 (502) 을 향하여 리모트 플라즈마 소스로부터 생성된 하나 이상의 수소 라디칼들을 도입하는 단계를 포함하며, 하나 이상의 수소 라디칼들은 제 1 두께의 SiCxOyNz 막 (506) 을 증착하도록 하나 이상의 실리콘-함유 전구체들과 반응한다. 하나 이상의 실리콘-함유 전구체들은 하나 이상의 실리콘-수소 (Si-H) 결합들 및/또는 실리콘-실리콘 (Si-Si) 결합들을 가지는 실리콘-함유 전구체를 포함할 수 있다. 일부 실시예들에서, 실리콘-함유 전구체는 하나 이상의 실리콘-탄소 (Si-C) 결합들을 가질 수 있다. 일부 실시예들에서, 실리콘-함유 전구체는 하나 이상의 실리콘-산소 (Si-O) 결합들을 가질 수 있다. 일부 실시예들에서, 실리콘-함유 전구체는 하나 이상의 실리콘-질소 (Si-N) 결합들을 가질 수 있다. 실리콘-함유 전구체들의 예시들은 아래에서 더욱 자세히 논의된다.
증착 프로세스 동안, Si-H 결합들 및/또는 Si-Si 결합들은 끊어지고 증착된 SiCxOyNz 막 (506) 내 실리콘-함유 전구체들 사이의 결합들을 형성하기 위한 반응점 (reactive site) 들의 역할을 한다. 끊어진 결합들은 또한 증착 중 또는 증착 이후 행해지는 열적 프로세싱 동안 가교 결합 (cross-linking) 을 위한 자리 (site) 들의 역할을 할 수 있다. 반응점들에서 결합 및 가교 결합은 발생하는 SiCxOyNz 막 (506) 에 집합적으로 1 차 백본 (backbone) 및 매트릭스를 형성할 수 있다. 일부 실시예들에서, 비교적 약한 조건들은 증착된 때 (as-deposited) SiCxOyNz 막 (506) 의 층 내 Si-C 결합들 및, 만약 존재한다면, Si-O 결합들 및 Si-N 결합들을 보존하거나 실질적으로 보존할 수 있다. 이에 따라, 기판 (502) 에 인접한 반응 조건들은 예를 들어 끊어진 Si-H 결합들로부터 수소를 추출하는 것과 같은 Si-H 및/또는 Si-Si 결합들의 선택적인 끊음을 제공하지만, 반응 조건들은 Si-O 결합들로부터 산소, Si-N 결합들로부터 질소, 또는 Si-C 결합들로부터 탄소를 추출하는 것은 제공하지 않는다. 그러나, 산소와 같은 공-반응물질 (co-reactant) 의 도입은 Si-C 결합들로부터 탄소를 추출할 수도 있다. 일반적으로, 기술된 반응 조건들은 기판 (502) 의 노출된 표면에 (SiCxOyNz 막 (506) 이 증착되는 표면에) 존재한다. 그들은 기판 (502) 위 약간의 거리에, 예를 들어 기판 (502) 위 약 0.5 마이크로 미터 내지 약 150 밀리미터에 더 존재할 수도 있다. 사실상, 실리콘-함유 전구체들의 활성화는 기판 (502) 위의 상당 (substantial) 거리에서 가스상으로 일어날 수 있다. 통상적으로, 특정 적용들은 일부 변화를 허용할 수도 있긴 하지만, 적절한 (pertinent) 반응 조건들은 노출된 기판 (502) 의 표면 전체에 걸쳐 균일하거나 실질적으로 균일할 것이다.
실리콘-함유 전구체들에 더해서, 기판 (502) 에 인접한 분위기는 실질적으로 낮은 에너지 상태 또는 바닥 상태에 있는 하나 이상의 라디칼들을 포함한다. 하나 이상의 라디칼들은 하나 이상의 수소 라디칼들을 포함할 수 있고, 이는 수소 원자 라디칼들 또는 수소 라디칼 종들로 또한 지칭될 수도 있다. 일부 실시예들에서, 기판 (502) 에 인접한 수소 라디칼들의 전부, 또는 실질적으로 전부, 또는 상당 부분이 바닥상태, 예를 들어 기판 (502) 에 인접한 수소 라디칼들의 적어도 약 90 % 또는 95 %이 바닥 상태다. 예로서, 수소 가스 (H2) 는 리모트 플라즈마 소스 내 헬륨과 같은 불활성 캐리어 가스 내로 제공될 수도 있다. 수소 라디칼들은 리모트 플라즈마 소스 내에서 생성되고 반응 챔버로 도입된다. 일단 리모트 플라즈마 소스 내에서 생성되면, 수소 라디칼들은 여기된 에너지 상태에 있다, 예를 들어, 여기된 에너지 상태의 수소는 적어도 10.2 eV (제 1 여기된 상태) 의 에너지를 가질 수 있다. 여기된 수소 라디칼들은, Si-H, Si-Si, Si-N, Si-O, 및 Si-C 결합들을 쉽게 끊으며, 실리콘-함유 전구체의 비선택적 분해를 야기할 수도 있고, 이는 SiCxOyNz 막 (506) 의 조성 또는 물리적 또는 전기적 특성들을 바꿀 수 있다. 이는 높은 유전 상수들, 낮은 항복 전압들, 높은 누설 전류들, 및 열악한 컨포멀도 (conformality) 를 가지는 막들로 이어질 수 있다. 프로세스 조건들은 수소 라디칼들이 재결합 없이 기판 (502) 과 직면할 때 그들의 에너지를 잃거나 안정되도록 제어된다. 프로세스 조건들은 수소 라디칼들이 기판 (502) 에 인접한 분위기에서 실질적으로 낮은 에너지 상태 또는 바닥 상태에 있도록 제어되고, 실질적으로 낮은 에너지 상태 또는 바닥 상태의 수소 라디칼들은 Si-O, Si-N, 및 Si-C 결합들을 일반적으로 보존하는 반면, Si-H, 및 Si-Si 결합들을 선택적으로 끊는 것이 가능 할 수 있다. 예를 들어, 플라즈마 프로세싱 장치 또는 연관된 컴포넌트들은 리모트 플라즈마 소스로부터 기판 (502) 으로 확산하는 수소 라디칼들의 체류 시간이 여기된 수소 원자 라디칼의 에너지적 안정 시간 보다 크도록 설계 될 수도 있다. 도 6 및 도 7에 도시된 플라즈마 프로세싱 장치들은 기판 (502) 에 인접한 분위기의 수소 라디칼들의 상당 부분이 바닥 상태인 약한 상태를 생산하도록 구성될 수도 있다.
수소 라디칼들을 위한 소스 가스는, 캐리어 가스를 포함해, 다른 종들과 함께 전달될 수도 있다. 실리콘-함유 전구체들은, 캐리어 가스를 포함해, 다른 종들과 함께 전달될 수도 있다. 캐리어 가스들의 예는 아르곤 (Ar), 헬륨 (He), 네온 (Ne), 크립톤 (Kr), 및 제논 (Xe) 을 포함하지만 이로 제한되지 않는다. 캐리어 가스의 농도는 소스 가스의 농도보다 실질적으로 보다 클 수 있다. 본 명세서에 사용된 바와 같이, 소스 가스에 대한 캐리어 가스의 농도와 관련하여 “실질적으로 보다 큰” 은 적어도 3배는 큰 부피 퍼센트를 지칭할 수 있다. 예시로서, 수소 가스는 약 1 % 내지 50 % 수소의 농도에서 헬륨 캐리어 가스에 제공될 수도 있다. 캐리어 가스의 존재는 소스 가스의 증가된 이온화 및 감소한 재결합에 공헌할 수 있다. 보다 낮은 압력이 소스 가스의 증가된 이온화 및 감소된 재결합을 통상적으로 용이하게 하지만, 캐리어 가스의 존재는 동일한 효과를 제공할 수 있다. 이러한 방법으로, 심지어 보다 높은 압력에서도, 라디칼들의 상당 부분은 헬륨과 같은 캐리어 가스가 소스 가스와 함께 플로우되는 경우 최소의 재결합과 함께 생성될 수도 있다. 증착 동안 반응 챔버 내 보다 높은 압력은 SiCxOyNz 막 (506) 의 컨포멀도를 개선할 수도 있다. 반응 챔버 내 보다 높은 압력은, 약 3 Torr 보다 큰 압력 또는 약 5 Torr 보다 큰 압력, 예컨대 약 7 torr 에 대응할 수도 있다.
일부 실시예들에서, 실리콘-함유 전구체들은 주 된 (major) 종 및 부 된 (minor) 종들을 가지는 혼합물로서 도입된다. 부 된 종들은 SiCxOyNz 막 (506) 의 조성 또는 구조적 특징들에 상당하게 공헌하지 않을 수도 있다. 일부 실시예들에서, 리모트 플라즈마로부터 수소 또는 다른 원소들이 약 5 원자 퍼센트 미만 또는 2 원자 퍼센트 미만을 제공하는 소량의 리모트 플라즈마로부터 수소 또는 다른 원소들과 함께 실리콘-함유 전구체들은 증착된 SiCxOyNz 막 (506) 의 질량의 본질적으로 전부를 제공한다. 일부 실시예들에서, 증착 반응은 실리콘-함유 전구체들 및 수소 라디칼들 이외에 공-반응물질을 포함하고, 이는 증착된 SiCxOyNz 막 (506) 의 조성에 공헌하거나 공헌하지 않을 수도 있다. 따라서, 공-반응물질은 제 1 두께의 SiCxOyNz 막 (506) 의 조성을 튜닝할 수도 있다. 공-반응물질들의 예시들은 이산화탄소 (CO2), 일산화 탄소 (CO), 물 (H2O), 메탄올 (CH3OH), 산소 (O2), 오존 (O3), 질소 (N2), 아산화질소 (N2O), 암모니아 (NH3), 디아젠 (diazene, N2H2), 메탄 (CH4), 에탄 (C2H6), 아세틸렌 (C2H2), 에틸렌 (C2H4), 다이보레인 (B2H6), 및 이들의 조합들을 포함한다. 이러한 재료들은 질화제 (nitriding agents), 산화제, 환원제, 등으로 사용될 수도 있다. 공-반응물질의 선택에 따라, 공-반응물질은 SiCxOyNz 막 (506) 의 탄소, 산소 또는 질소 함량을 증가 또는 감소시킬 수도 있다. 일부 실시예들에서, 공-반응물질은 수소 라디칼들과 동일한 플로우 경로를 따라 반응 챔버 내로 도입될 수도 있다. 공-반응물질은 실리콘-함유 전구체들의 업스트림 (upstream) 으로 도입될 수 있고, 공-반응물질은 라디칼들 및/또는 이온들로 적어도 부분적으로 전환된다. 일부 실시예들에서, 공-반응물질은 실리콘-함유 전구체들과 동일한 플로우 경로를 따라 반응 챔버 내로 도입될 수도 있다. 이러한 예들에서, 공-반응물질은, 통상적으로 플라즈마에 직접 노출 없이, 수소 라디칼들의 다운스트림 (downstream) 으로 도입될 수도 있다. 일부 실시예들에서, 공-반응물질은 약 0.05 질량% 이하, 또는 약 0.01 질량% 이하, 또는 약 0.001 질량% 이하로 프로세스 가스들 내에 존재할 수도 있다. 일부 실시예들에서, 공-반응물질은 약 2 질량% 이하, 또는 약 0.1 질량% 이하와 같은, 보다 높은 농도로 존재할 수도 있다. 일부 실시예들에서, 공-반응물질 내 결합들은 공-반응물질을 활성화하도록 수소 라디칼들에 의해 선택적으로 끊어질 수도 있다.
제 1 두께의 SiCxOyNz 막 (506) 을 증착하기 위한 프로세스 조건들은 제어될 수 있다. 일부 실시예들에서, 기판 (502) 에 인접한 분위기에서 온도는 SiCxOyNz 막 (506) 의 증착 동안 기판 (502) 이 지지되는 페데스탈의 온도에 의해 대체로 제어될 수 있다. 일부 실시예들에서, 동작 온도는 약 50 ℃ 와 약 500 ℃ 사이 또는 약 250 ℃ 와 약 400 ℃ 사이 일 수 있다. 온도를 상승시키는 것은 기판 표면 상에 증가된 가교 결합으로 이어질 수 있다. 일부 실시예들에서, 반응 챔버의 압력은 반응 라디칼들의 생산을 용이하게 하도록 제어될 수 있다. 일부 실시예들에서, 챔버 압력은 약 35 torr 이하, 일부 적용예들에서 약 10 torr 와 약 20 torr 사이, 또는 일부 다른 적용예들에서 약 0.2 torr 와 약 5 torr 사이다.
SiCxOyNz 막 (506) 을 형성하는데 사용되는 실리콘-함유 전구체들은 각각 적어도 하나의 Si-H 및/또는 적어도 하나의 Si-Si 결합을 포함할 수도 있다. 실리콘-포함 전구체들은 선택적가능하게 각각 적어도 하나의 Si-O 결합, Si-N 결합, 및/또는 Si-C 결합을 함유할 수도 있다. 일부 실시예들에서, 실리콘-함유 전구체들은 O-C 또는 N-C 결합들을 각각 함유하지 않는다; 예를 들어, 전구체들은 알콕시 (-O-R) 를 포함하지 않고, R 은 탄화수소기 또는 또는 아민 (-NR1R2) 과 같은 유기기 이며, R1 및 R2 는 독립적으로 수소기 또는 유기기이다. 어떠한 이론에 의해 제한됨 없이, 이러한 기들은 그들이 존재하는 (reside) 전구체들 또는 단편 (fragment) 들에 높은 부착 계수 (sticking coefficient) 들을 부여할 수도 있다고 믿어진다.
증착 반응에 이용되는 실리콘-함유 전구체들은 특정한 화학 부류 (class) 또는 화학 부류들의 혼합물로 제한될 수도 있다. 일부 실시예들에서, 실리콘-함유 전구체들은 실록산 (siloxane) 들을 포함한다. 실록산들은 고리형 (cyclic), 3차원형 또는 케이지형, 또는 선형일 수도 있다. 일부 실시예들에서, 실리콘-함유 전구체들은 알킬 실란들 (alkyl silanes) 또는 다른 탄화수소-치환 실란들을 포함한다. 예를 들어, 실리콘-함유 전구체는 알킬카르보실란 (alkylcarbosilane) 을 포함할 수 있다. 일부 실시예들에서, 실리콘-함유 전구체들은 알콕시 실란들을 포함한다. 일부 실시예들에서, 실리콘-함유 전구체들은 실라잔 (silazane) 들을 포함한다. 실라잔들은 고리형이거나 선형일 수도 있다. 실리콘-함유 전구체들의 화학 부류들의 구체적인 예시들은, 전체적으로 그리고 모든 목적들을 위해 본 명세서에 참조로서 인용되는, 2015년 2월 6일에 출원된 명칭이 “CONFORMAL DEPOSITION OF SILICON CARBIDE FILMS”인 Varadarajan 등의 미국 특허 출원 번호 제 14/616,435 호에 기술된다.
SiCxOyNz 막 (506) 을 증착하는 단계에 있어서, 다수의 실리콘-함유 전구체들은 프로세스 가스 내에 존재할 수 있고, 실리콘-함유 전구체들의 일부는 상이하다. 예를 들어, 실록산 및 알킬 실란이 같이 사용될 수 있거나, 실록산 및 알콕시 실란이 같이 사용될 수 있다. 개별적인 전구체들의 상대적인 비율은 선택된 전구체들의 화학적 구조 및 발생하는 SiCxOyNz 막 (506) 의 적용예에 기초하여 선택될 수 있다. 예를 들어, 실록산의 양은 보다 다공성의 막을 생산하도록 몰 백분율에서 실란의 양보다 클 수 있다. 실리콘 옥시카바이드 막들을 증착하는 경우 일부 실시예들에서, 실리콘-함유 전구체들은 고리형 실록산들 또는 선형 실록산들과 같은 실록산들을 포함할 수도 있다. 실리콘 옥시카바이드 막들을 증착하는 경우 일부 실시예들에서, 실리콘-함유 전구체들은 알킬 실란들을 포함할 수도 있다. 산소-함유 공-반응물질은 알킬 실란들과 반응하도록 도입될 수도 있다.
실리콘-함유 전구체들은 매우 컨포멀한 (highly conformal) SiCxOyNz 막 (506) 을 생산하도록 선택될 수도 있다. 컨포멀도는 피처 (504) 의 하단, 측벽, 또는 상단의 증착된 막의 평균 두께에 대한 피처 (504) 의 하단, 측벽, 또는 상단의 증착된 막의 평균 두께를 비교함으로써 계산될 수도 있다. 예를 들어, 컨포멀도는 측벽 상에 증착된 막의 평균 두께를 피처 (504) 의 상단에 증착된 막의 평균 두께로 나누고 이를 퍼센트로 얻도록 100 으로 곱함으로써 계산될 수도 있다. 낮은 부착 계수들을 가지는 실리콘-함유 전구체들은 높은 컨포멀도의 막들을 생산할 수 있다고 믿어진다. “부착 계수” 는 동일한 기간의 시간 동안 표면 위에 충돌하는 (impinge) 종들의 총 수에 비교하여 표면에 흡착/부착되는 흡착물 종들 (예를 들어, 단편들 또는 분자들) 의 수의 비율을 기술하는데 (describe) 사용되는 용어이다. Sc 기호는 부착 계수를 지칭하는데 때때로 사용된다. Sc 값은 0 (어떤 종들도 부착되지 않음을 의미) 과 1 (충돌한 종들의 전부가 부착되는 것을 의미) 사이다. 다양한 요인들이 충돌 종들의 종류, 표면 온도, 표면 커버리지, 표면의 구조적 세부사항, 및 충돌하는 종들의 운동 에너지 포함하는 부착 계수에 영향을 미친다.
프로세스 (500) 의 동작 (500a) 에서, 제 1 두께의 SiCxOyNz 막 (506) 은 적어도 약 75 %, 적어도 약 80 %, 적어도 약 85 %, 적어도 약 90 %, 적어도 약 95 %, 또는 적어도 약 98 % 의 컨포멀도를 가질 수도 있다. 제 1 두께의 SiCxOyNz 막 (506) 은 약 10 Å 이하일 수도 있고, 또는 약 5 Å 이하일 수도 있다. 일부 실시예들에서, 제 1 두께의 SiCxOyNz 막 (506) 은 약 0.5 Å 와 약 5 Å 사이, 또는 약 0.5 Å 와 약 4.5 Å 사이일 수도 있다.
제 1 두께의 SiCxOyNz 막 (506) 은 목표된 두께를 달성하도록 미리 결정된 (predetermined) 증착 시간에 따라 증착될 수 있다. 일부 실시예들에서, 증착 시간은 약 1 초와 약 200 초, 또는 약 5 초와 약 100 초 사이일 수 있다. 제 1 두께는 제 1 두께의 SiCxOyNz 막 (506) 을 조밀화 및 수축시키도록 후속 리모트 플라즈마 처리의 충분한 침투가 가능하게 하도록 제어될 수 있다. 게다가, 제 1 두께는 후속 리모트 플라즈마 처리 동작들의 목표된 처리 주파수에 따라 제어될 수 있다.
제 1 두께의 SiCxOyNz 막 (506) 은 기판 (502) 의 피처 (504) 내 증착되고, 피처 (504) 는 트렌치, 리세스, 또는 홀의 형상을 취할 수 있다. 피처 (504) 는 적어도 약 5 : 1, 적어도 약 10 : 1, 적어도 약 15 : 1, 적어도 약 20 : 1, 적어도 약 30 : 1, 적어도 약 40 : 1, 적어도 약 50:1, 또는 적어도 약 100:1 의 깊이 대 측면 치수 종횡비를 가질 수 있다. 예를 들어, 고 종횡비를 가지는 피처들은 적어도 10:1 일 수도 있다. 측면 치수는 피처 (504) 의 상단에서 폭 또는 직경일 수도 있다. 일부 실시예들에서, 피처 (504) 의 측면 치수는 약 200 ㎚ 미만, 약 100 ㎚ 미만, 약 2㎚ 와 약 100 ㎚ 사이, 또는 약 2 ㎚ 와 약 50 ㎚ 사이일 수도 있다. 일부 실시예들에서, 피처 (504) 의 깊이는 약 0.1 ㎛ 와 약 100 ㎛ 사이, 약 0.5 ㎛ 와 약 50 ㎛ 사이, 약 0.5 ㎛ 와 약 25 ㎛ 사이, 또는 약 1 ㎛ 와 약 25 ㎛ 사이일 수도 있다.
SiCxOyNz 막 (506) 의 조성은 후속 리모트 플라즈마 노출 동작에서 제 1 두께의 SiCxOyNz 막 (506) 이 수축하는 양에 영향을 미칠 수도 있다. 일부 구현예들에서, SiCxOyNz 막 (506) 의 조성은 약 10 % 와 약 40 % 사이 또는 약 10 % 와 약 30 % 사이의 탄소의 원자 농도를 가질 수 있다. 일부 구현예들에서, 탄소의 원자 농도는 전구체들의 선택, 전구체들의 플로우 레이트, 공-반응물질의 선택, 및 산소와 같은 공-반응물질의 플로우 레이트와 같은 증착 파라미터들을 조정함으로써 제어될 수도 있다. 예를 들어, SiCxOyNz 막 (506) 의 증착에서 존재 산소를 증가시키는 것은 SiCxOyNz 막 (506) 으로부터 보다 많은 탄소를 추출 할 수 있다. 일부 구현예들에서, SiCxOyNz 막 (506) 에서 탄소의 원자 농도는 리모트 수소 플라즈마 노출의 조건들이 동작 (500b) 동안 피처 (504) 의 상단 표면 근처 개구부의 사이즈를 증가시키도록 동작 (500a) 동안 제어된다.
프로세스 (500) 의 동작 (500b) 에서, SiCxOyNz 막 (506) 은 피처 (504) 의 상단 표면 근처 개구부의 사이즈를 증가시키는 조건들 하에서 리모트 수소 플라즈마로 노출된다. 리모트 수소 플라즈마는 제 1 두께의 SiCxOyNz 막 (506) 이 피처 (504) 의 상단 표면 근처에서 수축되도록 제 1 두께의 SiCxOyNz 막 (506) 을 처리하기 위해 사용된다. 제 1 두께의 SiCxOyNz 막 (506) 이 피처 (504) 의 상단 표면 근처에서 얼마나 수축하는지는 제 1 두께의 SiCxOyNz 막 (506) 및 조성에 따를 수 있다. 제 1 두께의 SiCxOyNz 막 (506) 이 피처 (504) 의 상단 표면 근처에서 얼마나 수축하는지는 리모트 플라즈마 조건들에 또한 따를 수 있다.
증착 동안 소스 가스의 라디칼들을 생성하기 위한 리모트 플라즈마 소스는 피처 (504) 의 상단 표면 근처 제 1 두께의 SiCxOyNz 막 (506) 을 수축하도록 처리 동안 소스 가스의 라디칼들을 생성하게 가능할 수도 있다. 이에 따라, SiCxOyNz 막 증착은, SiCxOyNz 막 처리와 동일한 반응 챔버 내에서 발생할 수도 있다. 이는 교번하는 증착 및 처리 사이클들이 동일한 툴 (tool) 에서 수행되도록 허용한다. 결과적으로, 제 1 두께의 SiCxOyNz 막 (506) 을 증착하는 단계 및 제 1 두께의 SiCxOyNz 막 (506) 을 리모트 수소 플라즈마에 노출시키는 단계는 진공 브레이크 (vacuum break) (예를 들어, 공기 브레이크) 의 도입 없이 발생할 수 있다. 진공 브레이크는 처리량을 감소시키고 기판 (502) 에 산화를 도입할 수 있고, 이는 보다 높은 전기 저항 및 감소된 성능으로 이어질 수 있다.
제 1 두께의 SiCxOyNz 막 (506) 을 리모트 수소 플라즈마로 노출시키는 단계는 실리콘-함유 전구체들의 전달 없이 발생한다. 다시 말해서, 제 1 두께의 SiCxOyNz 막 (506) 을 증착하는 단계는 리모트 수소 플라즈마의 수소 라디칼들과 반응하도록 하나 이상의 실리콘-함유 전구체들을 플로우 하는 것을 수반하는 반면, 제 1 두께의 SiCxOyNz 막 (506) 을 노출시키는 단계는 실리콘-함유 전구체들의 플로우를 중단한다. 수소 가스를 포함하는 소스 가스는 헬륨과 같은 불활성 캐리어 가스와 제공될 수 있다. 일부 실시예들에서, 소스 가스는 수소, 질소, NH3 와 같은 N-H 함유 종들, 산소, H2O, CO2, 또는 N2O, 와 같은 산소-함유 종들, 또는 이들의 조합들을 포함할 수 있다. 소스 가스는 리모트 플라즈마 소스에 제공되고, 수소 라디칼들은 리모트 플라즈마 소스 내 생성되고 반응 챔버 내로 그리고 기판 (502) 을 향하여 도입된다. 일단 리모트 플라즈마 소스 내 생성되면, 수소 라디칼들은 여기된 에너지 상태에 있다. 수소 라디칼들은 재결합 없이 기판 (502) 과 직면할 때 그들의 에너지를 잃거나 안정된다. 제 1 두께의 SiCxOyNz 막 (506) 은 수소 라디칼들의 상당 (substantial) 부분이 실질적으로 낮은 에너지 상태 또는 바닥 상태에 있도록 리모트 수소 플라즈마에 노출된다. 일부 실시예들에서, 소스 가스의 라디칼들의 적어도 90 %는 바닥 상태의 수소 라디칼들이다. 이런 리모트 수소 플라즈마의 수소 라디칼들은 피처 (504) 의 상단 표면 근처 제 1 두께의 SiCxOyNz 막 (506) 을 조밀화 및 수축시키도록 사용된다. 이온들의 최소 농도 및 수소 라디칼들의 낮은 에너지 상태에 적어도 부분적으로 기인하여, SiCxOyNz 막 (506) 을 리모트 수소 플라즈마에 노출시키는 것은 일반적으로 기판 (502) 의 하부 층들에 손상 (damage) 를 야기하지 않는다.
SiCxOyNz 막 (506) 의 두께는 리모트 수소 플라즈마 처리 각각의 처리 주파수에 따라 증착될 수 있다. 따라서, SiCxOyNz 막 (506) 이 증착-처리 사이클 당 얼마나 증착되는지는 갭 충진 성능을 개선하도록 제어된다. 동작 (500a) 동안, 제 1 두께의 SiCxOyNz 막 (506) 은 약 10Å 이하, 약 5 Å 이하, 또는 약 0.5 Å 와 약 4.5 Å 사이다. 이에 따라, SiCxOyNz 막 (506) 의 약 10 Å 이하, 약 5 Å 이하, 또는 약 0.5 Å 와 약 4.5 Å 사이의 두께가 증착-처리 사이클 당 증착된다. 보다 높은 처리 주파수는 사이클 당 증착되는 SiCxOyNz 막 (506) 의 보다 작은 두께들에 대응되고, 보다 높은 처리 주파수는 보다 우수한 갭 충진 성능을 제공할 수도 있다.
SiCxOyNz 막 (506) 의 조성은 리모트 수소 플라즈마 처리가 제 1 두께의 SiCxOyNz 막 (506) 을 수축하는 것에 보다 큰 효과를 가지도록 증착될 수 있다. 구체적으로, SiCxOyNz 막 (506) 내 탄소의 원자 농도는 동작 (500a) 에서 튜닝될 수 있고, 탄소의 원자 농도는 약 10 % 와 약 40 % 사이 또는 약 10 % 와 약 30 % 사이다. 일부 구현예들에서, 피처 (504) 의 상단 표면 근처 개구부의 사이즈의 증가는 SiCxOyNz 막 (506) 내 탄소 원자 농도가 보다 작은 경우 보다 크다. 탄소의 원자 농도가 제어되면, 피처 (504) 의 상단 표면 근처 개구부의 사이즈는 리모트 수소 플라즈마의 조건들을 사용하여 증가할 수도 있다. 이것은 갭 충진 성능을 개선할 수 있다.
리모트 수소 플라즈마의 조건들은 피처 (504) 의 하단 표면 근처보다 상단 표면 근처의 제 1 두께의 SiCxOyNz 막 (506) 을 우선적으로 처리하도록 제어될 수 있다. 피처 (504) 의 상단 표면 근처의 제 1 두께의 SiCxOyNz 막 (506) 은 하단 표면 근처보다 리모트 수소 플라즈마의 보다 많은 수소 라디칼들에 노출될 수도 있다. 일부 실시예들에서, 처리 시간 및/또는 처리 주파수는 피처 (504) 의 하단 표면을 향하는 리모트 수소 플라즈마의 수소 라디칼들의 확산 또는 이송이 제한되도록 제어될 수도 있다. 예를 들어, 보다 짧은 처리 시간들은 수소 라디칼들의 확산/이송이 피처 (504) 의 하단 표면에 도달하는 것을 제한할 수도 있다. 일부 실시예들에서, 리모트 수소 플라즈마로 노출의 처리 시간은 약 0.5 초와 약 120 초 사이, 약 1 초와 약 30 초 사이, 약 2 초와 약 20 초 사이, 또는 약 5초와 약 15 초 사이이다. 일부 실시예들에서, 리모트 수소 플라즈마로 노출의 처리 시간은 약 10 초이다. 처리 시간은 피처 (504) 의 종횡비에 따라 가변할 수도 있고, 처리 시간은 제 1 두께의 SiCxOyNz 막 (506) 을 조밀화 및 수축시키기에 충분히 길지만 피처 (504) 의 하단 표면으로 수소 라디칼들의 확산 및 수송을 제한하기에 충분 짧다는 것이 이해될 것이다.
리모트 수소 플라즈마의 조건들은 피처 (504) 의 상단 표면 근처 개구부의 사이즈를 증가시키도록 제어될 수도 있다. 일부 실시예들에서, 피처 (504) 의 상단 표면 근처 개구부의 사이즈는 피처 (504) 의 하단 표면 근처 개구부의 사이즈보다 더 증가된다. 리모트 수소 플라즈마로 노출은 보다 많은 Si-O-Si 및 Si-C-Si 결합들을 형성할 수도 있도록 수소를 추출하고 가교 결합을 촉진함으로써 SiCxOyNz 막 (506) 을 조밀화할 수 있다. 게다가, 리모트 수소 플라즈마로 노출은 적합한 조건들 하에서 SiCxOyNz 막 (506) 의 두께를 수축할 수 있다. 일부 실시예들에서, 처리 전력은 SiCxOyNz 막 (506) 의 두께를 조밀화하고 수축하는 것을 용이하게 하도록 제어될 수 있다. 일부 실시예들에서, 유도 결합 플라즈마 (inductively-coupled plasma) 의 RF 전력은 처리 전력을 제어하도록 튜닝될 수 있고, RF 전력은 약 300 와트와 약 10 키로와트 사이, 약 1 키로와트와 약 8 키로와트 사이, 또는 약 2 키로와트와 약 6 키로와트 사이가 될 수 있다. 처리 동안 리모트 플라즈마 소스에 적용되는 RF 전력은 소스 가스의 수소 라디칼들의 생성을 증가시키도록 조정될 수 있다. 일부 실시예들에서, 처리 전력은 리모트 플라즈마 가스 조성과 적어도 부분적으로 상관될 (correlated) 수 있다. 리모트 플라즈마 가스 조성은 캐리어 가스에 대한 소스 가스의 농도를 포함할 수 있고, 더 큰 소스 가스의 농도는 라디칼들의 증가된 생성에 공헌하고, 그렇게 함으로써 더 높은 처리 전력으로 이어진다. 일부 실시예들에서, 소스 가스 (예를 들어, 수소 가스) 의 농도는 불활성 캐리어 가스의 균형과 함께 적어도 10 부피%, 불활성 캐리어 가스의 균형과 함께 적어도 15 부피%, 불활성 캐리어 가스의 균형과 함께 적어도 20 부피%, 불활성 캐리어 가스의 균형과 함께 적어도 25 부피%, 불활성 캐리어 가스의 균형과 함께 약 10 부피%와 약 50 부피% 사이, 또는 불활성 캐리어 가스의 균형과 함께 약 10 부피%와 약 30 부피% 사이이다. 구체적으로, 가스 혼합물은 힐륨과 같은 불활성 캐리어 가스의 균형과 함께 수소 가스를 포함할 수 있고, 가스 혼합물은 헬륨의 균형과 함께 적어도 10 부피% 수소 가스, 헬륨의 균형과 함께 적어도 15 부피% 수소 가스, 헬륨의 균형과 함께 적어도 20 부피% 수소 가스, 헬륨의 균형과 함께 적어도 25 부피% 수소 가스, 헬륨의 균형과 함께 약 10 부피%와 약 50 부피% 사이의 수소 가스, 또는 헬륨의 균형과 함께 약 10 부피%와 약 30 부피% 사이의 수소 가스를 포함한다. 반대로, 통상적인 가스 혼합물들은 헬륨의 균형과 함께 1 부피% 내지 10 부피% 의 농도로 수소 가스를 포함한다. 처리 전력 및 리모트 플라즈마 가스 조성은 SiCxOyNz 막 (506) 의 조성에 따라 조정될 수도 있다. 일부 실시예들에서, 처리 전력이 감소될 수도 있고 그리고/또는 수소 소스 가스 농도가 리모트 수소 플라즈마 처리에서 감소될 수도 있고 SiCxOyNz 막 (506) 의 탄소의 원자 농도가 감소하는 피처 (504) 의 상단 표면 근처 개구부의 사이즈에서 증가를 여전히 달성한다.
피처 (504) 의 상단 표면 근처 개구부의 사이즈는 피처 (504) 의 상단 표면을 따른 측면 거리 (예를 들어, 직경) 를 사용하여 측정될 수 있다. 구체적으로, 개구부의 사이즈는 피처 (504) 의 상단 표면의 반대쪽 모서리들 사이의 거리에서 피처 (504) 의 상단 표면의 모서리들에서 SiCxOyNz 막 (506) 의 두께의 감산에 의해 측정될 수 있다. 일부 실시예들에서, 동작 (500b) 이후 피처 (504) 의 상단 표면 근처 개구부의 사이즈는 적어도 약 1 %, 적어도 약 5 %, 적어도 약 10%, 적어도 약 20 %, 적어도 약 50 %, 적어도 약 100 %, 약 1 %와 약 100 % 사이, 약 5 %와 약 100% 사이, 약 1 %와 약 50 % 사이, 또는 약 5 %와 약 50 % 사이의 퍼센트 양으로 증가될 수 있다. 예시로서, 피처 (504) 의 상단 표면 근처 개구부의 사이즈는 동작 (500b) 이후, 적어도 50 % 의 증가를 나타내는, 20 ㎚ 로부터 30㎚ 보다 큰 양으로 증가될 수 있다.
일부 실시예들에서, 피처 (504) 의 상단 표면 근처 개구부의 사이즈는 피처 (504) 의 하단 표면 근처 개구부의 사이즈보다 더 증가한다. 피처 (504) 의 하단 표면 근처 개구부의 사이즈는 피처 (504) 의 하단 표면을 따른 측면 거리 (예를 들어, 직경) 를 사용하여 측정될 수 있다. 구체적으로, 개구부의 사이즈는 피처 (504) 의 하단 표면의 반대쪽 모서리들 사이의 거리에서 피처 (504) 의 하단 표면의 모서리들에서 SiCxOyNz 막 (506) 의 두께의 감산에 의해 측정될 수 있다. 피처 (504) 의 하단 표면은 피처 (504) 가 SiCxOyNz 막 (506) 에 의해 충진될 때 가장 낮은 노출된 표면을 지칭한다. 동작 (500b) 이후, 피처 (504) 의 하단 표면 근처 개구부의 사이즈는 증가하지 않거나 피처 (504) 의 상단 표면 근처 개구부 보다 적은 양만큼 증가한다.
일부 실시예들에서, 리모트 수소 플라즈마의 조건들은 SiCxOyNz 막 (506) 이 하단 개구부보다 상단 개구부에서 더 수축하도록 제어될 수 있다. 리모트 플라즈마의 수소 라디칼들 및/또는 이온들은 처리가 피처 (504) 의 하단 개구부보다 상단 개구부에서 우선적으로 발생하도록 사실상에서 등방성 (isotropic) 이거나 실질적으로 등방성일 수 있다. 처리 주파수, 처리 시간, 처리 전력, 및/또는 리모트 플라즈마 가스 조성은 피처 (504) 의 상단 표면 근처 개구부의 사이즈가 피처 (504) 의 하단 표면 근처 개구부보다 더 증가하도록 제어될 수 있다. SiCxOyNz 막 (506) 의 조성 및 두께는 피처 (504) 의 상단 표면 근처 개구부의 사이즈가 피처 (504) 의 하단 표면 근처 개구부보다 더 증가하도록 제어될 수 있다.
처리 주파수, 처리 시간, 처리 전력, 리모트 플라즈마 가스 조성, SiCxOyNz 막 (506) 의 조성, 및 SiCxOyNz 막 (506) 의 두께 이외의 파라미터들은 상단 개구부에서 SiCxOyNz 막 (506) 을 수축하도록 제어될 수 있다. 다른 튜닝 가능한 (tunable) 파라미터들은 타이밍, 가스 조성, 가스 플로우 레이트들, 챔버 압력, 챔버 온도, 기판 온도, 증착과 플라즈마 처리 사이의 시간 인터벌, 및 기판 위치를 포함하지만 제한되지는 않는다. 이러한 파라미터들은 리모트 수소 플라즈마로 노출 동안 리모트 플라즈마의 특성들에 영향을 주도록 튜닝될 수 있고, 이는 피처 (504) 의 상단 표면 근처 개구부의 사이즈에 영향을 미칠 수 있다. 일부 실시예들에서, 챔버 압력은 약 2.0 torr 와 약 5 torr 사이, 또는 약 1 torr 와 약 3 torr 사이일 수 있다. 일부 실시예들에서, 챔버 압력은 3 torr 보다 크거나 5 torr 보다 클 수 있고, 다른 프로세스 조건들 (예를 들어, 불활성 캐리어 가스) 은 충분한 이온화 및 감소된 체류 시간들을 야기한다. 일부 실시예들에서, 소스 가스는 CO2, CO, H2O, CH3OH, O2, O3, N2, N2O, NH3, N2H2, CH4, C2H6, C2H2, C2H4, B2H6, 또는 이들의 조합과 같은, 하나 이상의 공-반응물질들과 플로우될 수 있다. 공-반응물질들의 선택에 따라서, 하나 이상의 공-반응물질들은 SiCxOyNz 막 (506) 의 산소, 질소, 또는 탄소 함량을 증가시키거나 감소시킬 수 있다. 일부 실시예들에서, 하나 이상의 공-반응물질들은 CO2, O2, N2, NH3, 또는 이들의 조합을 포함할 수도 있다. 산소 가스 또는 산소 라디칼들의 존재는 Si-C 결합들로부터 탄소를 추출하는 경향이 있고, 그렇게 함으로써 카바이드를 옥사이드로 전환한다.
일부 실시예들에서, 시간 인터벌은 동작 (500a) 에서 제 1 두께의 SiCxOyNz 막 (506) 을 증착하는 단계와 동작 (500b) 에서 리모트 수소 플라즈마 처리에 제 1 두께의 SiCxOyNz 막 (506) 을 를 노출시키는 단계 사이에 도입될 수도 있다. 시간 인터벌 동안, 플라즈마는 턴 오프되고 일부 가스들은 반응 챔버내로 계속 플로우 된다. 일부 실시예들에서, 가스들은 동작 (500a) 에서 증착 동안 플로우되는 실리콘-함유 전구체들을 포함할 수도 있다. 플라즈마가 턴 오프된 시간 인터벌 동안, 갭 충진 성능에 부정적으로 영향을 미칠 수도 있는 잔류 증착은 발생하지 않는다. 일부 구현예들에서, 시간 인터벌은, 예를 들어 약 5 초, 약 10초, 또는 약 20 초와 같이, 약 1 초와 약 30 초 사이일 수도 있다.
프로세스 (500) 의 동작 (500c) 에서, 제 2 두께의 SiCxOyNz 막 (506) 은 기판 (502) 의 피처 (504) 에 증착된다. 제 2 두께는 제 1 두께의 SiCxOyNz 막 (506) 상에 또는 위에 (over) 증착될 수 있다. 제 2 두께를 증착하는 양태들은 제 1 두께의 SiCxOyNz 막 (506) 을 증착하는 양태들과 동일하거나 (identical) 또는 적어도 유사할 수 있다. 구체적으로, 제 1 두께를 증착하는 단계가 동작 (500a) 동안 하나 이상의 실리콘 함유 전구체들을 반응 챔버 내로 플로우하게 하는 단계와 하나 이상의 실리콘-함유 전구체들과 반응하도록 리모트 플라즈마 소스로부터 생성되는 수소 라디칼들을 도입하는 단계를 포함하는 경우, 제 2 두께를 증착하는 단계는 동작 (500c) 에서 전술한 동작 (500a) 을 반복하는 단계를 포함한다. 동작 (500c) 의 증착 시간, 막 두께, 챔버 압력, 챔버 온도, 기판 온도, RF 전력 레벨들, 가스 플로우, 가스 조성, 및 다른 파라미터들은 동작 (500a) 내에서와 동일하거나 상이할 수도 있다. 제 1 두께의 SiCxOyNz 막 (506) 은 리모트 플라즈마 CVD 프로세스에 의해 증착되어지고, 제 2 두께의 SiCxOyNz 막 (506) 은 리모트 플라즈마 CVD 프로세스에 의해 증착되어지고, 리모트 플라즈마 CVD 프로세스는 기판 (502) 에 인접한 비교적 약한 조건들 하에서 기판 (502) 의 표면 상에 S 제2 두께의 iCxOyNz 막 (506) 을 증착한다. 이러한 비교적 약한 조건들은 동작 (500a) 에서 기술되었다.
일부 실시예들에서, 제 2 두께의 SiCxOyNz 막 (506) 은 적어도 약 75 %, 적어도 약 80 %, 적어도 약 85 %, 적어도 약 90 %, 적어도 약 95 %, 또는 적어도 약 98 % 의 컨포멀도를 가질 수도 있다. 제 2 두께의 SiCxOyNz 막 (506) 은 약 10 Å 이하, 또는 약 5 Å 이하일 수도 있다. 일부 실시예들에서, 제 2 두께의 SiCxOyNz 막 (506) 은 약 0.5 Å 과 약 5 Å 사이, 또는 약 0.5 Å 와 약 4.5 Å 사이일 수도 있다.
제 2 두께의 SiCxOyNz 막 (506) 의 증착은 SiCxOyNz 막 (506) 의 처리 및 제 1 두께의 SiCxOyNz 막 (506) 의 증착과 동일한 반응 챔버 내에서 발생할 수도 있다. 결과적으로, 제 2 두께의 SiCxOyNz 막 (506) 의 증착은 동작들 사이 진공 브레이크 (예를 들어, 공기 브레이크) 의 도입 없이 발생할 수 있다.
프로세스 (500) 의 동작 (500c) 은 피처 (504) 가 충진되거나 실질적으로 충진될 때까지 (500b) 및 (500a) 의 동작들을 반복하는 것을 더 포함할 수도 있다. 본 명세서에서 사용되는 바와 같이, 피처 (504) 를 충진하는 것과 관련하여 “실질적으로 충진되는” 은 SiCxOyNz 막 (506) 이 피처 (504) 부피의 적어도 98 %를 차지하게 (occupy) 하는 것을 참조할 수 있다. 심들 및/또는 보이드들 (508) 은 피처 (504) 가 SiCxOyNz 막 (506) 으로 실질적으로 충진되는 경우 형성할 수도 있다. 그러나, 본 개시에서 기술된 바와 같은 리모트 플라즈마 CVD 에 의한 증착 및 리모트 수소 플라즈마 노출은 심들 및/또는 보이드들 (508) 의 형성을 제거하거나 적어도 심들 및/또는 보이드들 (508) 의 사이즈들을 최소화할 수 있다.
동작 (500c) 에서 (500b) 및 (500a) 의 동작들을 반복하는 것은: (i) SiCxOyNz 막 (506) 을 피처 (504) 의 상단 표면에서 개구부의 사이즈가 증가하도록 리모트 수소 플라즈마에 노출시키는 단계 및 (ii) 피처 (504) 내 새로운 두께의 SiCxOyNz 막 (506) 을 증착시키는 단계를 반복하는 것을 포함할 수 있다. 일부 실시예들에서, 처리 주파수, 처리 시간, 처리 전력, 및/또는 리모트 플라즈마 가스 조성은 동작 (500c) 내에서 동작들 (500b 및 500a) 의 처리 주파수, 처리 시간, 처리 전력, 및/또는 리모트 플라즈마 가스 조성과 동일하다. 예를 들어, 증착-처리 사이클 당 5 Å 이하의 처리 주파수, 0.5 초와 120 초 사이의 처리 시간, 약 1 킬로와트 및 약 8 킬로와트 사이의 인가되는 RF 전력의 처리 전력, 및 헬륨의 균형과 함께 약 10 부피%와 약 50 부피% 사이의 수소의 농도를 가지는 리모트 플라즈마 가스 조성은 피처 (504) 가 충진되거나 적어도 실질적으로 충진될 때까지 제공될 수 있다. 동작 (500c) 에서 반복되는 동작들 (500b) 동안 리모트 수소 플라즈마의 조건들은 피처 (504) 의 상단 표면 근처 개구부의 사이즈가 증가되도록 제어된다. 일부 실시예들에서, 피처 (504) 의 상단 표면 근처 개구부의 사이즈는 동작 (500c) 에서 동작들 (500b) 을 반복하는 경우 피처 (504) 의 하단 표면 근처 개구부보다 보다 증가한다. 갭 충진은 동작 (500c) 에서 반복되는 동작들 (500a) 중 하나가 피처 (504) 의 개구부를 폐쇄하면 완료된다.
일부 실시예들에서, 시간 인터벌은 동작 (500c) 에서 제 2 두께의 SiCxOyNz 막 (506) 을 증착하는 단계와 동작 (500b) (즉, 플라즈마 처리) 을 반복하는 단계 사이에 도입될 수도 있다. 시간 인터벌 동안, 플라즈마는 턴 오프되고 일부 가스들은 반응 챔버로 계속 플로우 한다. 일부 실시예들에서, 가스들은 동작 (500b) 에서 플라즈마 처리 동안 플로우되는 수소 가스, 불활성 캐리어 가스, 및/또는 공-반응물질 가스를 포함할 수도 있다. 플라즈마가 턴 오프되는 시간 인터벌 동안, 갭 충진 성능에 부정적으로 영향을 미칠 수도 있는 잔류 증착은 발생하지 않는다. 일부 구현예들에서, 시간 인터벌은 약 5초, 약 10초, 또는 약 20초와 같이 약 1 초와 약 30초 사이일 수도 있다. 일반적으로 말해서, 다양한 시간 인터벌들은 갭 충진 성능을 조정하도록 플라즈마 증착과 플라즈마 처리 동작들 사이에 발생할 수도 있다. 이것은 시간 인터벌들이 증착으로부터 플라즈마 처리로 전이에서, 그리고/또는 플라즈마 처리로부터 다시 증착으로 전이에서 발생할 수도 있다는 것을 의미한다.
일부 실시예들에서, 처리 주파수, 처리 시간, 처리 전력, 및/또는 리모트 플라즈마 가스 조성의 파라미터들은 피처 (504) 의 기하학적 구조 (geometry) 에 따라 조정될 수도 있다. 피처 (504) 의 종횡비에 따라, 처리 주파수, 처리 시간, 처리 전력, 및/또는 리모트 플라즈마 가스 조성은 가변할 수도 있다. 처리 주파수는, 예를 들어, 피처 (504) 의 충진을 개조하고 갭 충진 성능을 개선하도록 인커밍 (incoming) 피처 기하학적 구조에 기초하여 유연하게 튜닝될 수 있다. 이러한 방법으로, SiCxOyNz 막 (506) 의 두께가 증착-처리 사이클 당 얼마나 증착되는지는 적정한 처리량을 유지하면서 심들 및/또는 보이드들 (508) 의 형성을 최소화하도록 튜닝될 수 있다.
본 개시의 리모트 플라즈마 CVD 에 의하여 증착되는 SiCxOyNz 막 (506) 은 옥사이드 및 나이트라이드 재료들 모두에 대해 높은 에칭 선택도를 가지고, SiCxOyNz 막 (506) 은 옥사이드 및 나이트라이드 재료들에 대하여 건식 에칭 또는 습식 에칭 조건들 하에서 적어도 7:1 의 에칭 선택도를 가진다. SiCxOyNz 막 (506) 은 높은 항복 전압 및 낮은 누설 전류를 포함하는 우수한 전기적 속성들을 가질 수도 있다. 게다가, SiCxOyNz 막 (506) 은 낮은 유전 상수 (low-k) 를 가질 수도 있고, SiCxOyNz 막 (506) 의 유효 유전 상수는 약 4.0 이하, 약 3.5 이하, 약 3.0 이하, 또는 약 2.5 이하이다.
본 개시의 한 양태는 본 명세서 상에 기술된 방법들을 성취하도록 구성되는 장치이다. 적합한 장치는 프로세스 동작들을 성취하기 위한 하드웨어 및 본 개시에 따라 프로세스 동작들을 제어하기 위한 인스트럭션을 가지는 시스템 제어기를 포함한다. 일부 실시예들에서, 전술한 프로세스 동작들을 수행하기 위한 장치들은 리모트 플라즈마 소스를 포함할 수 있다. 리모트 플라즈마 소스는 직접적인 플라즈마에 비교하여 약한 반응 조건들을 제공한다. 적합한 리모트 플라즈마 장치의 예시들은, 전체적으로 그리고 모든 목적들을 위해 본 명세서에 참조로서 인용되는, 2013년 10월 24일에 출원된 명칭이 “GROUND STATE HYDROGEN RADICAL SOURCES FOR CHEMICAL VAPOR DEPOSITION OF SILICON-CARBON-CONTAINING FILMS”인 Varadarajan 등의 미국 특허 출원 번호 제 14/062,648 호 에 기술된다.
도 6은 특정 실시예들에 따른 리모트 플라즈마 장치의 개략도를 나타낸다. 디바이스 (600) 는 샤워헤드 (620) 를 갖는 반응 챔버 (610) 를 포함한다. 반응 챔버 (610) 내부에서, 기판 (630) 은 스테이지 또는 페데스탈 (635) 상에 놓인다. 일부 실시예들에서, 페데스탈 (635) 은 가열/냉각, 엘리먼트와 피팅될 (fitted) 수 있다. 제어기 (640) 는 디바이스 (600) 의 동작을 제어하도록 디바이스 (600) 의 컴포넌트들에 연결될 수도 있다. 예를 들어, 제어기 (640) 는, 온도 프로세스 조건들 및/또는 압력 프로세스 조건들 같은, 디바이스 (600) 의 동작들에 대한 프로세스 조건들을 제어하기 위한 인스트럭션들을 포함할 수도 있다. 일부 실시예들에서, 제어기 (640) 는 전구체가스, 공-반응물질 가스, 소스 가스, 및 캐리어 가스의 플로우 레이트를 제어하기 위한 인스트럭션들을 포함할 수도 있다. 제어기 (640) 는 처리 주파수, 처리 시간, 처리 전력, 및 리모트 수소 플라즈마의 리모트 플라즈마 가스 조성을 제어하기 위한 인스트럭션들 포함할 수도 있다. 제어기 (640) 의 더 자세한 기술은 하기에 제공된다.
동작 동안, 가스들 또는 가스 혼합물들은 반응 챔버 (610) 와 커플링된 (coupled) 하나 이상의 가스 유입구 (inlet) 들을 통하여 반응 챔버 (610) 내로 도입된다. 일부 실시예들에서, 둘 이상의 가스 유입구들이 반응 챔버 (610) 와 커플링된다. 제 1 가스 유입구 (655) 는 반응 챔버 (610) 와 커플링될 수 있고 베셀 (vessel) (650) 에 연결될 수 있고, 제 2 가스 유입구 (665) 는 반응 챔버 (610) 에 커플링될 수 있고 리모트 플라즈마 소스 (660) 에 연결될 수 있다. 리모트 플라즈마 조성물들을 포함하는 일부 실시예들에서, 리모트 플라즈마 소스 (660) 에서 생성되는 라디칼 종들 및 전구체들을 위한 전달 라인들은 분리된다. 따라서, 전구체들 및 라디칼 종들은 기판 (630) 에 도달하기 전에 실질적으로 상호작용하지 않는다. 일부 구현예들에서 가스 라인들은 베셀 (650) 이 제 2 가스 유입구 (665) 를 통해 전구체 가스 플로우를 제공하고 리모트 플라즈마 소스 (660) 가 제 1 가스 유입구 (655) 를 통해 라디칼들 및 이온들을 제공할 수도 있도록 반전될 (reversed) 수도 있다는 것이 이해될 것이다.
하나 이상의 라디칼 종들은 리모트 플라즈마 소스 (660) 내에 생성될 수도 있고 제 2 가스 유입구 (665) 를 통해 반응 챔버 (610) 로 들어가도록 (enter) 구성될 수도 있다. 플라즈마 소스의 임의의 종류는 리모트 플라즈마 소스 (660) 에서 라디칼 종들을 생성하도록 사용될 수도 있다. 이것은 용량 결합 플라즈마들, 유도 결합 플라즈마들, 마이크로파 플라즈마들, DC 플라즈마들, 및 레이저-생성된 플라즈마들을 포함하지만, 이에 제한되지는 않는다. 용량 결합 플라즈마의 예시는 무선 주파수 (RF) 플라즈마일 수 있다. 높은-주파수 플라즈마는 13.56 MHz 이상에서 동작하도록 구성되어진다. 이와 같은 무선 주파수 소스 (660) 의 예시는 캘리포니아, 프리몬트 소재 램 리써치 코포레이션에 의해 제조되는, GAMMA®이 될 수 있다. 무선 주파수 소스 (660) 의 또 다른 예시는 440kHz 로 동작될 수 있고 하나 이상의 기판들을 동시에 프로세싱하기 위해 보다 큰 장치 상에 볼트로 고정된 (bolted) 서브 유닛으로 제공될 수 있는, 메사추세츠, 윌밍턴 소재 MKS instruments 에 의해 제조되는, Astron®이다. 일부 실시예들에서, 마이크로파 플라즈마는 또한 MKS Instruments 에 의해 제조되는 Astex® 와 같은, 리모트 플라즈마 소스 (660) 로 사용될 수 있다. 마이크로파 플라즈마는 2.45 GHz 의 주파수로 동작하도록 구성될 수 있다. 리모트 플라즈마 소스 (660) 로 제공되는 가스는 수소, 질소, 산소, 및 본 명세서의 다른 곳에서 언급한 다른 가스들을 포함할 수도 있다. 특정 실시예들에서, 수소는 헬륨과 같은 캐리어에 제공된다. 예를 들어, 수소 가스는 증착 동작들 동안 약 1 부피% 내지 50 부피% 의 농도에서 헬륨 캐리어에 제공될 수도 있고, 수소 가스는 처리 동작들 동안 적어도 약 10 부피 % 의 농도에서 헬륨 캐리어에 제공될 수도 있다.
전구체들은 베셀 (650) 에 제공될 수 있고 제 1 가스 유입구 (655) 를 통해 샤워헤드 (620) 로 공급될 수 있다. 샤워헤드 (620) 는 기판 (630) 을 향하여 반응 챔버 (610) 로 전구체들을 분배한다. 기판 (630) 은 샤워헤드 (620) 아래에 위치할 수 있다. 샤워헤드 (620) 가 임의의 적합한 형상을 가질 수 있고, 기판 (630) 으로 가스들을 분배하기 위해 임의의 수와 배열의 포트 (port) 들을 가질 수도 있는 것이 이해될 것이다. 전구체들은 제어되는 플로우 레이트로 샤워헤드 (620) 로 그리고 궁극적으로 기판 (630) 으로 공급될 수 있다.
리모트 플라즈마 소스 (660) 에서 형성되는 하나 이상의 라디칼 종들은 기판 (630) 을 향하여서 가스상으로 운반될 (carried) 수도 있다. 하나 이상의 라디칼 종들은 반응 챔버 (610) 로 제 2 가스 유입구 (665) 를 통해 플로우될 수 있다. 제 2 가스 유입구 (665) 는 도 6에 도시된 바와 같이 기판 (630) 의 표면을 가로지르지 (transverse) 않아도 된다는 것이 이해될 것이다. 특정 실시예들에서, 제 2 가스 유입구 (665) 는 기판 (630) 위에 직접적으로 또는 다른 위치들에 있을 수 있다. 리모트 플라즈마 소스 (660) 와 반응 챔버 (610) 사이 거리는 리모트 플라즈마 소스 (660) 에서 생성되는 이온화된 종들이 실질적으로 중성화되나, 실질적으로 낮은 에너지 상태들의 적어도 일부 라디칼 종들은 기판 (630) 에 인접한 분위기에 남아있도록 약한 반응 조건들을 제공하게 구성될 수 있다. 이러한 낮은 에너지 상태 라디칼 종들은 안정한 화합물들을 형성하도록 재결합되지 않는다. 리모트 플라즈마 소스 (660) 와 반응 챔버 (610) 사이의 거리는 플라즈마의 공격성 (예를 들어, 소스 RF 전력 레벨에 의해 부분적으로 결정됨), 플라즈마 내 가스의 밀도 (예를 들어, 수소 원자들의 높은 농도가 있는 경우, 그들의 상당한 부분은 반응 챔버 (610) 에 도착하기 전에 H2 를 형성하도록 재결합될 수도 있음), 및 기타 요인들의 함수일 수 있다. 다른 실시예들에서, 리모트 플라즈마 소스 (660) 와 반응 챔버 (610) 사이의 거리는 약 5 ㎝ 또는 약 15 ㎝ 와 같이, 약 1 ㎝ 와 30 ㎝ 사이일 수 있다.
일부 실시예들에서, 1 차 실리콘-함유 전구체 또는 수소 라디칼이 아닌, 공-반응물질은 증착 반응 동안 도입된다. 일부 구현예들에서, 디바이스 (600) 는 제 2 가스 유입구 (665) 를 통해 공-반응물질을 도입하도록 구성되고, 이 경우 공-반응물질은 플라즈마로 적어도 부분적으로 전환된다. 일부 구현 예들에서, 디바이스 (600) 는 제 1 가스 유입구 (655) 를 통해 샤워헤드 (620) 를 통해 공-반응물질을 도입하도록 구성된다. 공-반응물질의 예시들은 산소, 질소, 암모니아, 이산화탄소, 일산화탄소, 등을 포함한다.
도 7은 다른 구현예들에 따른 리모트 플라즈마 소스를 가지는 예시적인 플라즈마 프로세싱 장치의 개략도를 도해한다. 플라즈마 프로세싱 장치 (700) 는 반응 챔버 (704) 로부터 분리된 리모트 플라즈마 소스 (702) 를 포함한다. 리모트 플라즈마 소스 (702) 는 샤워헤드로도 지칭될 수도 있는, 다중포트 가스 분배기 (706) 를 통해 반응 챔버 (704) 와 유동적으로 커플링된다 (fluidly couple). 라디칼 종들은 리모트 플라즈마 소스 (702) 내 생성되고 반응 챔버 (704) 로 공급된다. 하나 이상의 실리콘-함유 전구체들은 리모트 플라즈마 소스 (702) 로부터 그리고 다중포트 가스 분배기 (706) 로부터 반응 챔버 (704) 다운스트림으로 공급된다. 하나 이상의 실리콘-함유 전구체들은 기판 (712) 의 표면 상에 SiCxOyNz 막을 증착시키도록 반응 챔버 (704) 의 화학적 기상 증착 존 (708) 내에서 라디칼 종들과 반응한다. 화학적 기상 증착 존 (zone) (708) 은 기판 (712) 의 표면에 인접한 분위기를 포함한다.
기판 (712) 은 기판 지지체 또는 페데스탈 (714) 상에 지지된다. 페데스탈 (714) 은 화학적 기상 증착 존 (708) 내부에 기판 (712) 을 위치시키도록 반응 챔버 (704) 내에서 움직일 수도 있다. 도 7에 도시된 실시예들에서, 페데스탈 (714) 은 화학적 기상 증착 존 (708) 내에서 기판 (712) 을 상승시키게 도시된다. 페데스탈 (714) 은 일부 실시예들에서 기판 (712) 의 온도를 또한 조정할 수도 있고, 이는 기판 (712) 상의 열적으로 활성화된 표면 반응들에 대해 일부 선택적 제어를 제공할 수 있다.
도 7은 리모트 플라즈마 소스 (702) 주위에 배열된 코일 (718) 을 도시하고, 리모트 플라즈마 소스 (702) 는 외벽 (예를 들어, 석영 (quartz) 돔) 을 포함한다. 코일 (718) 은 전기적으로 플라즈마 생성기 제어기 (722) 에 커플링되고, 이는 유도 결합 플라즈마 생성을 통해 플라즈마 영역 (724) 내에서 플라즈마를 형성하고 유지하도록 사용될 수도 있다. 일부 구현예들에서, 플라즈마 생성기 제어기 (722) 는 전력을 코일 (718) 로 공급하는 전력 공급부를 포함할 수도 있고, 전력은 플라즈마 생성 동안 약 1 킬로와트 (kW) 내지 6 kW 범위 내일 수 있다. 일부 실시예들에서, 병렬 플레이트 또는 용량 결합 플라즈마 생성을 위한 전극들 또는 안테나가 유도결합 플라즈마 생성 보다는 플라즈마 여기를 통해 라디칼들의 지속적인 공급을 생성하도록 사용될 수도 있다. 플라즈마 영역 (724) 내 플라즈마를 점화 (ignite) 및 유지하도록 사용되는 메커니즘에 관계없이, 라디칼 종들은 막 증착 및 처리 동안 플라즈마 여기를 사용하여 지속적으로 생성될 수도 있다. 일부 구현예들에서, 수소 라디칼들은 비록 과도 상태 (transient) 들이 막 증착 및 처리의 시작 및 종료에서 발생할 수도 있으나, 정상 상태 (steady-state) 막 증착 동안, 대략적으로 정상 상태 조건들 하에서 생성된다.
수소 라디칼들의 공급은 수소 가스 또는 다른 소스 가스가 리모트 플라즈마 소스 (702) 로 공급되는 동안 플라즈마 영역 (724) 내부에서 지속적으로 생성될 수도 있다. 여기된 수소 라디칼들은 리모트 플라즈마 소스 (702) 내에 생성될 수도 있다. 만약 에너지와 함께 재-공급되지 않거나 다시-여기되지 (re-excited) 않거나, 다른 라디칼들과 재-결합되지 않으면, 여기된 수소 라디칼들은 그들의 에너지를 잃거나 안정된다. 따라서, 여기된 수소 라디칼들은 실질적으로 낮은 에너지 상태 또는 바닥 상태에서 수소 라디칼들을 형성하도록 안정될 수도 있다.
수소 가스 또는 다른 소스 가스는 하나 이상의 추가 가스들로 희석될 수도 있다. 이러한 하나 이상의 추가 가스들은 리모트 플라즈마 소스 (702) 로 공급될 수도 있다. 일부 구현예들에서, 수소 가스 또는 다른 소스 가스는 가스 혼합물을 형성하도록 하나 이상의 추가적인 가스들과 혼합되고, 하나 이상의 추가 가스들은 캐리어 가스를 포함할 수 있다. 추가 가스들의 제한되지 않는 예시들은 헬륨, 네온, 아르곤, 크립톤, 및 제논을 포함할 수 있다. 하나 이상의 추가 가스들은 리모트 플라즈마 소스 (702) 내에서 정상 상태 플라즈마 조건들을 지지하거나 안정화할 수도 있거나, 과도상태 플라즈마 점화 또는 소멸 프로세스를 도울 수도 있다. 일부 구현예들에서, 수소 가수 또는 다른 소스 가스를, 예를 들어, 헬륨과 희석하는 것은 수반되는 (concomitant) 플라즈마 브레이크 다운 (breakdown) 없이 보다 높은 총 압력들을 허용할 수도 있다. 달리 말하면, 수소 가스와 헬륨의 희석 가스 혼합물은 리모트 플라즈마 소스 (702) 로 플라즈마 전력을 증가시키지 않고 보다 높은 총 가스 압력을 허용할 수도 있다. 도 7에 도시된 바와 같이, 소스 가스 공급부 (726) 는 수소 가스 또는 소스 가스를 공급하기 위해 리모트 플라즈마 소스 (702) 와 유동적으로 커플링된다. 추가적으로, 추가 가스 공급부 (728) 는 하나 이상의 추가 가스들을 공급하기 위해 리모트 플라즈마 소스 (702) 와 유동적으로 커플링된다. 하나 이상의 추가 가스들은 상기 기술된 바와 같이 공-반응물질 가스를 또한 포함할 수도 있다. 도 7의 실시예가 분리된 가스 유출구들을 통해 도입되는 소스 가스 및 하나 이상의 추가 가스들의 가스 혼합물을 도시하는 동안, 가스 혼합물이 리모트 플라즈마 소스 (702) 내로 직접 도입될 수도 있다는 것이 이해될 것이다. 즉, 미리 혼합된 (pre-mixed) 희석 가스 혼합물은 단일 가스 유출구를 통해 리모트 플라즈마 소스 (702) 로 공급될 수도 있다.
여기된 수소 및 헬륨 라디칼들과 안정된 가스들/라디칼들 같은, 가스들은 다중포트 가스 분배기 (706) 를 통해 리모트 플라즈마 소스 (702) 밖으로 그리고 반응 챔버 (704) 안으로 플로우 된다. 다중 포트 가스 분배기 (706) 및 반응 챔버 (704) 내 가스들은 일반적으로 그 내부에서 지속적인 플라즈마 여기의 대상이지 않다. 일부 구현예들에서, 다중 포트 가스 분배기 (706) 는 이온 필터 및/또는 광자 필터를 포함한다. 이온들 및/또는 광자들을 필터링하는 것은 기판 손상, 원하지 않는 분자들의 재-여기, 및/또는 반응 챔버 (704) 내의 선택적인 브레이크 다운 또는 실리콘-함유 전구체의 분해 (decomposition) 를 감소시킬 수도 있다. 멀티포트 가스 분배기 (706) 는 반응 챔버 (704) 내로 가스들의 플로우를 확산시키도록 복수의 가스 포트들 (734) 을 가질 수도 있다. 일부 구현예들에서, 복수의 가스 포트들 (734) 은 상호간에 이격되어 있을 수도 있다. 일부 구현예들에서, 복수의 가스 포트들 (734) 은 리모트 플라즈마 소스 (702) 와 반응 챔버 (704) 를 분리하는 플레이트를 통해 연장되는 규칙적으로 이격된 채널들 또는 관통-홀들의 어레이로서 배열될 수도 있다. 복수의 가스 포트들 (734) 은 반응 챔버 (704)로 리모트 플라즈마 소스 (702) 로부터 나오는 라디칼들을 원활하게 분산시키고 확산시킬 수도 있다.
통상적인 리모트 플라즈마 소스들은 반응 베셀들로부터 멀리 제거되어 있다. 결과적으로, 라디칼 소멸 및 재결합은, 예를 들어, 벽 충돌 이벤트들을 통해, 실질적으로 활성 종들을 감소시킬 수도 있다. 반면에, 일부 구현예들에서, 복수의 가스 포트들 (734) 에 대한 치수들은 반응 챔버 (704) 내로 라디칼들의 자유 통과를 돕도록 통상적인 프로세싱 조건들 하에서 평균 자유 경로 또는 가스 플로우 체류 시간을 고려해서 구성될 수도 있다. 일부 구현예들에서, 복수의 가스 포트들 (734) 에 대한 개구부들은 다중포트 가스 분배기 (706) 의 노출된 표면 지역의 약 5 % 와 20 % 사이를 차지할 수도 있다. 일부 구현예들에서, 복수의 가스 포트들 (734) 은 약 3 : 1 과 10 : 1 사이의 또는 약 6 : 1 과 약 8 : 1 사이의 축 길이 대 직경 비 (axial length to diameter ratio) 를 갖는다. 각각 가질 수도 있다. 이러한 양태의 비는 여기된 상태 라디칼 종들의 다수를 바닥 상태 라디칼 종들로 안정시키기 위해 충분한 시간을 제공하면서, 복수의 가스 포트들 (734) 을 통과하는 라디칼 종들에 대한 벽-충돌 빈도를 감소시킬 수도 있다. 일부 구현예들에서, 복수의 가스 포트들 (734) 의 치수들은 다중 포트 가스 분배기 (706) 를 통과하는 가스들의 체류 시간이 여기된 상태 라디칼 종들의 통상적인 에너지적 안정 시간보다 크도록 구성될 수도 있다. 수소 소스 가스에 대한 여기된 상태 라디칼 종들은 도 7 에서 ㆍH+ 로 표시되고, 수소 소스 가스에 대한 바닥 상태 라디칼 종들은 도 7에서 ㆍH 로 표시된다.
일부 구현예들에서, 복수의 가스 포트들 (734) 을 나가는 여기된 상태 라디칼 종들은 반응 챔버 (704) 의 내부 (interior) 내에 포함되는 안정 존 (738) 내로 플로우될 수도 있다. 안정 존 (738) 은 화학적 기상 증착 존 (708) 의 업스트림에 위치되지만, 다중 포트 가스 분배기 (706) 의 다운스트림에 위치한다. 다중 포트 가스 분배기 (706) 을 나가는 여기된 상태 라디칼 종들의 실질적으로 모든 또는 적어도 90 % 는 안정 존 (738) 내 안정된 상태 라디칼 종들로 전환될 것이다. 달리 말하면, 안정 존 (738) 에 들어가는 거의 모든 여기된 상태 라디칼 종들 (예를 들어, 여기된 수소 라디칼들) 은 안정존 (738) 을 떠나기 전에 안정된 상태 라디칼 종들로 (예를 들어, 바닥 상태 수소 라디칼들) 탈-여기 (de-excited) 되거나 전이된다. 일부 실시예들에서, 안정 존 (738) 의 기하학 구조 또는 프로세스 조건들은 안정 존 (738) 을 통해 플로우 하는 라디칼 종들의 체류 시간, 예를 들어, 평균 자유 경로 및 평균 분자 속도에 의해 결정되는 시간이 안정 존 (738) 밖으로 플로우 하는 안정된 상태의 라디칼 종들을 초래하도록 구성될 수도 있다.
다중 포트 가스 분배기 (706) 로부터 안정 존 (738) 으로의 라디칼 종들의 전달과 함께, 하나 이상의 실리콘-함유 전구체들 및/또는 하나 이상의 공-반응물질들은 화학적 기상 증착 존 (708) 내로 도입될 수도 있다. 하나 이상의 실리콘-함유 전구체들은 가스 분배기 또는 가스 유출구 (742) 를 통해 도입될 수도 있고, 가스 유출구 (742) 는 전구체 공급 소스 (740) 와 유동적으로 결합될 수도 있다. 안정 존 (738) 은 다중 포트 가스 분배기 (706) 와 가스 유출구 (742) 사이의 공간 내부에 포함될 수도 있다. 가수 유출구 (742) 는, 하나 이상의 실리콘-함유 전구체들의 플로우가 안정 존 (738) 으로부터 플로우되는 가스 혼합물과 평행한 방향으로 도입될 수 있도록 상호간에 이격된 개구부들을 포함할 수도 있다. 가스 유출구 (742) 는 다중 포트 가스 분배기 (706) 및 안정 존 (738) 의 다운스트림에 위치할 수도 있다. 가스 유출구 (742) 는 화학적 기상 증착 존 (708) 및 기판 (712) 로부터 업스트림에 위치할 수도 있다. 화학적 기상 증착 존 (708) 은 반응 챔버 (704) 의 내부 내에 및 가스 유출구 (742) 와 기판 (712) 사이에 위치한다.
하나 이상의 실리콘-함유 전구체들의 플로우의 실질적으로 전부가 다중 포트 가스 분배기 (706) 에 인접한 여기된 상태 라디칼 종들과 혼합하는 것으로부터 방지될 수 있다. 안정 또는 바닥 상태 라디칼 종들은 하나 이상의 실리콘-함유 전구체들과 기판 (712) 에 인접한 영역 내에서 혼합한다. 화학적 기상 증착 존 (708) 은 안정 또는 바닥 상태 라디칼 종들이 하나 이상의 실리콘-함유 전구체들과 혼합되는 기판 (712) 에 인접한 영역을 포함한다. 안정 또는 바닥 상태 라디칼 종들은 SiCxOyNz 막의 CVD 형성 동안 가스 상태에서 하나 이상의 실리콘-함유 전구체들과 혼합한다. 그러나, 안정된 또는 바닥 상태의 라디칼 종들은 SiCxOyNz 막의 조밀화 및 수축 동안 가스 상의 임의의 실리콘-함유 전구체들과 혼합되지 않는다.
일부 구현예들에서, 공-반응물질은 가스 유출구 (742) 로부터 도입되고 하나 이상의 실리콘-함유 전구체들을 따라 플로우될 수도 있다. 공-반응물질은 리모트 플라즈마 소스 (702) 로부터 다운스트림으로 도입될 수도 있다. 공-반응물질은 가스 유출구 (742) 로 유동적으로 커플링된 전구체 공급 소스 (740) 또는 다른 소스 (미도시) 로부터 공급될 수도 있다. 일부 구현예들에서, 공-반응물질은 다중 포트 가스 분배기 (706) 로부터 도입되고 리모트 플라즈마 소스 (702) 내 생성되는 라디칼 종들을 따라 그리고 반응 챔버 (704) 내로 플로우될 수도 있다. 이것은 리모트 플라즈마 소스 (702) 내 제공된 공-반응물질 가스의 라디칼들 및/또는 이온들을 포함할 수도 있다. 공-반응물질은 추가 가스 공급부 (728) 로부터 공급될 수도 있다.
가스 유출구 (742) 는 하나 이상의 실리콘-함유 전구체들의 역 확산 또는 역류 (back streaming) 을 방지하기 위해 충분한 거리만큼 다중 포트 가스 분배기 (706) 로부터 분리될 수 있다. 일부 구현예들에서, 가스 유출구 (742) 는 약 0.5 인치 와 약 5 인치 사이, 또는 약 1.5 인치 와 약 4.5 인치 사이, 또는 약 1.5 인치와 약 3 인치 사이의 거리만큼 복수의 가스 포트들 (734) 로부터 분리될 수도 있다.
프로세스 가스들은 펌프 (미도시) 로 유동적으로 커플링되게 구성되는 유출구 (748) 를 통해 반응 챔버 (704) 로부터 제거되어질 수도 있다. 따라서, 과잉 실리콘-함유 전구체들, 공-반응물질들, 라디칼 종들, 및 희석제 및 치환제 또는 퍼지가스들은 반응 챔버 (704) 로부터 제거될 수도 있다. 일부 구현예들에서, 시스템 제어기 (750) 는 플라즈마 프로세싱 장치 (700) 와 동작적 통신 상태에 있다. 일부 구현예들에서, 시스템 제어기 (750) 은 데이터 시스템 (754) (예를 들어, 메모리) 내 보유된 (held) 인스트럭션들을 실행하는 프로세서 시스템 (752) (예를 들어, 마이크로프로세서) 를 포함한다. 일부 구현예들에서, 시스템 제어기 (750) 는 플라즈마 파라미터들 및/또는 조건들을 제어하도록 플라즈마 생성기 제어기 (722) 와 통신 상태에 있을 수도 있다. 일부 구현예들에서, 시스템 제어기 (750) 는 페데스탈 상승 및 온도를 제어하도록 페데스탈 (714) 과 통신 상태에 있을 수도 있다. 일부 구현예들에서, 시스템 제어기 (750) 는 다른 것들 중에서, RF 전력 설정들, 주파수 설정들, 듀티 사이클 (duty cycle) 들, 펄싱 시간들, 반응 챔버 (704) 내부의 압력, 리모트 플라즈마 소스 (702) 내부의 압력, 소스 가스 공급부 (726) 및 추가 가스 공급부 (728) 으로부터 가스 플로우 레이트들, 전구체 공급 소스 (740) 및 다른 소스들로부터 가스 플로우 레이트들, 페데스탈 (714) 의 온도, 및 반응 챔버 (704) 의 온도와 같은, 다른 프로세싱 조건들을 제어할 수도 있다.
하기에 기술된 도 7의 제어기 (750) 의 양태들은 도 6의 제어기 (640) 에 또한 적용된다. 제어기 (750) 는 플라즈마 프로세싱 장치 (700) 의 동작에 대한 프로세스 조건들을 제어하기 위한 인스트럭션들을 포함할 수도 있다. 제어기 (750) 는 하나 이상의 메모리 디바이스들 및 하나 이상의 프로세서들을 통상적으로 포함할 것이다. 프로세서는 CPU 또는 컴퓨터, 아날로그 및/또는 디지털 입력/출력 연결들, 스테퍼 모터 컨트롤러 보드들, 등을 포함할 수도 있다. 적절한 제어 동작들을 구현하기 위한 인스트럭션들은 프로세서 상에서 실행된다. 이러한 인스트럭션들은 제어기 (750) 에 연관된 메모리 디바이스들에 저장될 수도 있거나 인스트럭션들은 네트워크 상에서 제공될 수도 있다.
특정 실시예들에서, 제어기 (750) 는 본 명세서상에 기술된 플라즈마 프로세싱 장치 (700) 의 전부 또는 대부분의 활동들을 제어한다. 예를 들어, 제어기 (750) 는 SiCxOyNz 막을 증착하는 것과 연관된 플라즈마 프로세싱 장치 (700) 의 전부 또는 대부분의 활동들 및, 선택가능하게 SiCxOyNz 막을 포함하는 제조 플로우 내 다른 동작들을 제어할 수도 있다. 제어기 (750) 는 갭 충진 동작들을 위한 처리 주파수, 처리 시간, 처리 전력, 및 리모트 플라즈마 조건들의 리모트 플라즈마 가스 조성을 제어하기 위한 세트들의 인스트럭션들을 포함하는 시스템 제어 소프트웨어를 실행할 수도 있다. 제어기 (750) 는 타이밍, 증착과 플라즈마 처리 동작들 사이의 시간 인터벌, 가스 조성, 가스 플로우 레이트들, 챔버 압력, 챔버 온도, 기판 위치, 및/또는 기타 파라미터들을 제어하기 위한 인스트럭션들의 세트들을 포함하는 시스템 제어 소프트웨어를 또한 실행할 수도 있다. 제어기 (750) 와 연관된 메모리 디바이스 상에 저장된 다른 컴퓨터 프로그램들, 스크립트들, 또는 루틴들이 일부 실시예들에서 이용될 수도 있다. 기판 (712) 에 인접한 분위기에서 상대적으로 약한 반응 조건들을 제공하도록, RF 전력 레벨들, 플라즈마 영역 (724) 으로의 가스 플로우 레이트들, 화학적 기상 증착 존 (708) 으로의 가스 플로우 레이트들, 및 플라즈마 점화의 타이밍과 같은 파라미터들은 제어기 (750) 에 의해 조정되고 유지될 수 있다. 추가적으로, 기판 위치를 조정하는 것은 기판 (712) 에 인접한 분위기에서 고-에너지 라디칼 종들의 존재를 더 감소시킬 수도 있다. 다중-스테이션 반응기에서, 제어기 (750) 는 상이한 장치 스테이션들에 대한 상이한 또는 동일한 인스트럭션들을 포함할 수도 있고, 따라서 장치 스테이션들이 독립적으로 또는 동시적으로 (synchronously) 동작하게 한다.
일부 실시예들에서, 제어기 (750) 는 기판 (712) 의 하나 이상의 피처들 내 SiCxOyNz 막의 제 1 두께를 증착하는 단계, 하나 이상의 피처들 각각의 상단 표면 근처 개구부의 사이즈를 증가시키는 조건들 하에서 리모트 수소 플라즈마로 SiCxOyNz 막을 노출시키는 단계, 및 기판 (712) 의 하나 이상의 피처들 내 증착되는 SiCxOyNz 막의 제 2 두께를 증착하는 단계와 같은 동작들을 수행하기 위한 인스트럭션들을 포함할 수도 있다. SiCxOyNz 막의 제 1 두께 및 제 2 두께를 증착하는데 있어서, 제어기 (750) 는 하나 이상의 실리콘-함유 전구체들을 반응 챔버 (704) 로 플로우 하고 리모트 플라즈마 소스 (702) 로부터 생성된 반응 챔버 (704) 내 기판 (712) 을 향하게 하나 이상의 수소 라디칼들을 도입하기 위한 인스트럭션들을 포함할 수도 있고, 하나 이상의 수소 라디칼들은 SiCxOyNz 막을 증착하도록 하나 이상의 실리콘-함유 전구체들과 반응한다. 일부 실시예들에서, 제어기 (750) 는 리모트 수소 플라즈마의 조건들이 하나 이상의 피처들 각각의 상단 표면 근처 개구부의 사이즈를 증가시키도록 SiCxOyNz 막의 원자 농도를 제어하기 위한 인스트럭션들을 더 포함할 수도 있다. 일부 실시예들에서, 제어기 (750) 는 리모트 수소 플라즈마로 SiCxOyNz 막을 노출하는 동작과 하나 이상의 피처들이 충진되거나 실질적으로 충진되기 전까지 기판 (712) 의 하나 이상의 피처들 내 새로운 두께의 SiCxOyNz 막을 증착하는 동작들을 반복하기 위한 인스트럭션들을 더 포함할 수도 있다. 일부 실시예들에서, 리모트 수소 플라즈마 가스의 조건들은 하나 이상의 피처들 각각의 상단 표면 근처 개구부의 사이즈가 하나 이상의 피처들 각각의 하단 표면 근처 개구부의 사이즈보다 더 증가되도록 제어되는 처리 주파수, 처리 시간, 처리 전력, 및/또는 리모트 플라즈마 가스 조성을 포함한다. 일부 실시예들에서, 리모트 수소 플라즈마의 처리 전력은 약 10 부피% 와 약 50 부피% 사이의 수소의 농도, 또는 약 10 부피% 와 약 30 부피% 사이의 수소의 농도를 포함한다. 일부 실시예들에서 SiCxOyNz 막의 제 1 두께 및 제 2 두께는 각각 약 0.5 Å 와 약 10Å 사이, 또는 약 0.5 Å 와 약 4.5 Å 사이다.
일부 실시예들에서, 장치 (700) 는 제어기 (750) 와 연관된 사용자 인터페이스를 포함할 수도 있다. 사용자 인터페이스는 장치 (700) 및/또는 프로세스 조건들의 디스플레이 스크린, 그래픽 소프트웨어 디스플레이들, 및 포인팅 디바이스들, 키보드들, 터치 스크린들, 마이크로폰들 등과 같은 사용자 입력 디바이스들을 포함한다.
상기 동작들을 제어하기 위한 컴퓨터 프로그램 코드는 임의의 종래의 컴퓨터 판독 가능 프로그래밍 언어: 예를 들어, 어셈블리어, C, C ++, Pascal, Fortran, 등으로 작성될 수 있다. 컴파일링된 객체 코드 또는 스크립트가 프로그램에서 식별된 태스크들을 수행하도록 프로세서에 의해 실행된다.
프로세스를 모니터링하기 위한 신호들은 시스템 제어기의 아날로그 입력 연결부 및/또는 디지털 입력 연결부에 의해 제공될 수도 있다. 프로세스를 제어하기 위한 신호들은 프로세스 시스템의 아날로그 출력 연결부 및 디지털 출력 연결부 상에 출력된다.
일반적으로 본 명세서에 기술된 방법들은 프로세싱 툴 또는 툴들, 챔버 또는 챔버들, 프로세싱용 플랫폼 또는 플랫폼들, 및/또는 특정 프로세싱 컴포넌트들 (웨이퍼 페데스탈, 가스 플로우 시스템, 등) 과 같은, 반도체 프로세싱 장비를 포함하는 시스템들 상에서 수행될 수 있다. 이들 시스템들은 반도체 웨이퍼 또는 기판의 프로세싱 이전에, 프로세싱 동안에, 그리고 프로세싱 이후에 그들의 동작을 제어하기 위한 전자장치에 통합될 수도 있다. 일반적으로, 전자장치는 시스템 또는 시스템들의 다양한 컴포넌트들 또는 하위부분들을 제어할 수도 있는 제어기로서 지칭된다. 제어기는, 시스템의 프로세싱 요건들 및/또는 타입에 따라서, 프로세싱 가스들의 전달, 온도 설정사항들 (예를 들어, 가열 및/또는 냉각), 압력 설정사항들, 진공 설정사항들, 전력 설정사항들, RF 생성기 설정사항들, RF 매칭 회로 설정사항들, 주파수 설정사항들, 플로우 레이트 설정사항들, 유체 전달 설정사항들, 위치 및 동작 설정사항들, 툴 및 다른 이송 툴들 및/또는 특정 시스템과 연결되거나 인터페이싱된 로드록들 내외로의 웨이퍼 이송들을 포함하는, 본 명세서에 개시된 프로세스들 중 임의의 프로세스들을 제어하도록 프로그래밍될 수도 있다.
일반적으로 말하면, 제어기는 인스트럭션들을 수신하고, 인스트럭션들을 발행하고, 동작을 제어하고, 세정 동작들을 인에이블하고, 엔드포인트 측정들을 인에이블하는, 등을 하는 다양한 집적 회로들, 로직, 메모리, 및/또는 소프트웨어를 갖는 전자장치로서 규정될 수도 있다. 집적 회로들은 프로그램 인스트럭션들을 저장하는 펌웨어의 형태의 칩들, 디지털 신호 프로세서들 (DSPs), ASICs (Application Specific Integrated Circuits) 로서 규정되는 칩들, 및/또는 프로그램 인스트럭션들 (예를 들어, 소프트웨어) 을 실행하는 하나 이상의 마이크로프로세서들, 또는 마이크로제어기들을 포함할 수도 있다. 프로그램 인스트럭션들은 반도체 웨이퍼 상에서 또는 반도체 웨이퍼에 대한 특정 프로세스를 실행하기 위한 동작 파라미터들을 규정하는, 다양한 개별 설정사항들 (또는 프로그램 파일들) 의 형태로 제어기로 또는 시스템으로 전달된 인스트럭션들일 수도 있다. 일부 실시 예들에서, 동작 파라미터들은 하나 이상의 층들, 재료들 (예를 들어, 실리콘 카바이드), 표면들, 회로들, 및/또는 웨이퍼의 다이들의 제조 동안에 하나 이상의 프로세싱 단계들을 달성하도록 프로세스 엔지니어들에 의해서 규정된 레시피의 일부일 수도 있다.
제어기는, 일부 구현 예들에서, 시스템에 통합되거나, 시스템에 커플링되거나, 그렇지 않으면 시스템에 네트워킹되거나, 또는 이들의 조합인 컴퓨터에 커플링되거나 이의 일부일 수도 있다. 예를 들어, 제어기는 웨이퍼 프로세싱의 원격 액세스를 가능하게 할 수 있는 공장 (fab) 호스트 컴퓨터 시스템의 전부 또는 일부이거나 “클라우드” 내에 있을 수도 있다. 컴퓨터는 제조 동작들의 현 진행을 모니터링하고, 과거 제조 동작들의 이력을 조사하고, 복수의 제조 동작들로부터 경향들 또는 성능 계측치들을 조사하고, 현 프로세싱의 파라미터들을 변경하고, 현 프로세싱을 따르는 프로세싱 단계들을 설정하고, 또는 새로운 프로세스를 시작하기 위해서 시스템으로의 원격 액세스를 인에이블할 수도 있다. 일부 예들에서, 원격 컴퓨터 (예를 들어, 서버) 는 로컬 네트워크 또는 인터넷을 포함할 수도 있는 네트워크를 통해 프로세스 레시피들을 시스템에 제공할 수 있다. 원격 컴퓨터는 차후에 원격 컴퓨터로부터 시스템으로 전달될 파라미터들 및/또는 설정사항들의 입력 또는 프로그래밍을 인에이블하는 사용자 인터페이스를 포함할 수도 있다. 일부 예들에서, 제어기는 하나 이상의 동작들 동안 수행될 프로세싱 단계들 각각에 대한 파라미터들을 특정하는, 데이터의 형태의 인스트럭션들을 수신한다. 파라미터들은 제어기가 제어하거나 인터페이싱하도록 구성되는 툴의 타입 및 수행될 프로세스의 타입에 특정적일 수도 있다는 것이 이해되어야 한다. 따라서 상기 기술된 바와 같이, 제어기는 예컨대 본 명세서에 기술된 프로세스들 및 제어들과 같은, 공동의 목적을 향해 함께 네트워킹되고 작동하는 하나 이상의 개별 제어기들을 포함함으로써 분산될 수도 있다. 이러한 목적들을 위한 분산형 제어기의 일 예는 챔버 상의 프로세스를 제어하도록 조합되는 (예컨대 플랫폼 레벨에서 또는 원격 컴퓨터의 일부로서) 원격으로 위치한 하나 이상의 집적 회로들과 통신하는 챔버 상의 하나 이상의 집적 회로들일 것이다.
본 명세서에 기술된 도핑되거나 도핑되지 않은 실리콘 카바이드 증착 및 처리에 더하여, 예시적인 시스템들은 플라즈마 에칭 챔버 또는 모듈, 증착 챔버 또는 모듈, 스핀-린스 챔버 또는 모듈, 금속 도금 챔버 또는 모듈, 세정 챔버 또는 모듈, 베벨 에지 에칭 챔버 또는 모듈, PVD (Physical Vapor Deposition) 챔버 또는 모듈, CVD (Chemical Vapor Deposition) 챔버 또는 모듈, ALD 챔버 또는 모듈, ALE (Atomic Layer Etch) 챔버 또는 모듈, 이온 주입 챔버 또는 모듈, 트랙 (track) 챔버 또는 모듈, 및 반도체 웨이퍼들의 제조 및/또는 제작 시에 사용되거나 연관될 수도 있는 임의의 다른 반도체 프로세싱 시스템들을 포함할 수도 있다.
상술한 바와 같이, 툴에 의해서 수행될 프로세스 단계 또는 단계들에 따라서, 제어기는, 반도체 제작 공장 내의 툴 위치들 및/또는 로드 포트들로부터/로드 포트들로 웨이퍼들의 컨테이너들을 이동시키는 재료 이송 시에 사용되는, 다른 툴 회로들 또는 모듈들, 다른 툴 컴포넌트들, 클러스터 툴들, 다른 툴 인터페이스들, 인접 툴들, 이웃하는 툴들, 공장 도처에 위치한 툴들, 메인 컴퓨터, 또 다른 제어기, 또는 툴들 중 하나 이상과 통신할 수도 있다.
본 명세서에 상기 기술된 장치/프로세스는 예를 들어, 반도체 디바이스들, 디스플레이들, LED들, 광전 패널들 등의 제조 또는 제작을 위해 리소그래픽 패터닝 툴들 또는 프로세스들과 함께 사용될 수도 있다. 통상적으로, 반드시 그러한 것은 아니지만, 이러한 툴들/프로세스들은 공통 제조 설비에서 함께 사용되거나 수행될 것이다. 막의 리소그래픽 패터닝은 통상적으로 동작 각각이 다수의 가능한 툴들을 사용하여 인에이블되는 이하의 동작들: (1) 스핀온 (spin-on) 툴 또는 스프레이온 (spray-on) 툴을 사용하여 워크피스, 즉, 기판 상에 포토레지스트를 도포하는 동작; (2) 핫 플레이트 또는 노 또는 UV 경화 툴을 사용하여 포토레지스트를 경화하는 동작; (3) 웨이퍼 스텝퍼와 같은 툴을 사용하여 가시광선 또는 UV 또는 x-선 광에 포토레지스트를 노출시키는 동작; (1) 습식 벤치와 같은 툴을 사용하여 레지스트를 선택적으로 제거하여 레지스트를 패터닝하도록 레지스트를 현상하는 동작; (5) 건식 또는 플라즈마 보조 에칭 툴을 사용함으로써 아래에 놓인 막 또는 워크피스 내로 레지스트 패턴을 전사하는 동작; 및 (6) RF 또는 마이크로파 플라즈마 레지스트 스트립퍼와 같은 툴을 사용하여 레지스트를 제거하는 동작 중 일부 또는 전부를 포함한다.
도 8은 일부 구현예들에 따른 기판의 복수의 피처들 내 증착된 SiCxOyNz 막의 TEM 이미지를 도시한다. SiCxOyNz 막은 복수의 피처들 내 갭 충진 재료로 기능한다. SiCxOyNz 막은 실리콘 옥시카바이드를 포함할 수도 있다. SiCxOyNz 막은 플라즈마 CVD 및 리모트 수소 플라즈마 노출 동작들을 교번함으로써 증착될 수도 있다. 처리 주파수는 증착-처리 사이클 당 약 10 Å 보다 크고, 리모트 수소 플라즈마의 처리 전력은 헬륨의 균형과 함께 1 부피% 와 5 부피% 사이의 수소의 농도를 가지고, 리모트 수소 플라즈마 노출의 처리 시간은 적어도 10 초이다. 보이드들은 도 8의 복수의 피처들 각각 내 형성된다.
도 9는 일부 구현예들에 따른 기판의 복수의 피처들 내 증착된 SiCxOyNz 막의 TEM 이미지를 도시한다. SiCxOyNz 막은 복수의 피처들 내 갭 충진 재료로 기능한다. SiCxOyNz 막은 실리콘 옥시카바이드를 포함할 수도 있다. SiCxOyNz 막은 플라즈마 CVD 및 리모트 수소 플라즈마 노출 동작들을 교번함으로써 증착될 수도 있다. 다양한 시간 인터벌들은 갭 충진 성능을 조정하도록 리모트 플라즈마 CVD 와 리모트 수소 플라즈마 노출 동작들 사이에 도입될 수도 있다. 그러나, 리모트 수소 플라즈마 노출 조건들은 도 9에서 형성되는 보이드들의 사이즈들을 제한하도록 제어된다. 처리 주파수는 증착-처리 사이클당 5 Å 이하이고, 리모트 수소 플라즈마의 처리 전력은 약 2 킬로와트와 약 6 킬로와트 사이이고, 리모트 플라즈마 가스 조성은 헬륨의 균형과 함께 약 10 부피% 와 50 부피% 사이의 수소의 농도이고, 리모트 수소 플라즈마 노출의 처리 시간은 약 0.5 초와 약 120 초 사이이다. 보이드들은 도 9의 복수의 피처들 각각 내 형성되지만, 보이드들은 도 8에 비교하여 상당히 더 작다.
전술한 설명에서, 수많은 특정 세부사항들이 본 실시예들의 완전한 이해를 제공하도록 제시되었다. 개시된 실시예들은 이러한 특정 세부사항의 일부 또는 전부 없이 실시될 수도 있다. 다른 예들에서, 잘-알려진 프로세스 동작들은 개시된 실시예들을 불필요하게 모호하게 하지 않도록 상세하게 설명되지 않았다. 개시된 실시예들이 특정 실시예와 함께 설명되지만, 개시된 실시예들을 제한하려는 의도가 아닌 것이 이해될 것이다.
전술한 실시예들이 이해의 명확성을 목적으로 다소 상세하게 기술되었지만, 첨부된 청구항들의 범위 내에서 특정한 변화들 및 수정들이 실시될 수 있다는 것이 자명할 것이다. 본 실시예들의 프로세스들, 시스템들, 및 장치를 구현하는 많은 대안적인 방법들이 있음을 주목해야 한다. 따라서, 본 실시예들은 예시적이고 비제한적인 것으로 간주되고, 실시예들은 본 명세서에 주어진 상세들로 제한되지 않는다.

Claims (25)

  1. 기판 (712) 의 하나 이상의 피처들 내에 도핑되거나 도핑되지 않은 실리콘 카바이드 (SiCxOyNz) 막을 증착하기 위한 장치 (700) 에 있어서,
    기판 (712) 을 지지하기 위한 기판 지지부 (714) 를 포함하는 반응 챔버 (704);
    실리콘-함유 전구체를 가스 유출구 (742) 를 통해 상기 반응 챔버 (704) 로 공급하고 상기 기판 (712) 의 상기 하나 이상의 피처들 내에 제 1 두께의 SiCxOyNz 막을 증착하도록 구성된 전구체 공급 소스 (740) 로서, x는 0 초과의 값을 갖고, y는 0 이상의 값을 갖고, z는 0 이상의 값을 갖는, 상기 전구체 공급 소스; 및
    상기 반응 챔버 (704) 로부터 분리되고 업스트림에 있는 리모트 플라즈마 소스 (702) 를 포함하고, 상기 리모트 플라즈마 소스 (702) 는 리모트 수소 플라즈마를 생성하고 다중포트 가스 분배기 (706) 를 통해 상기 리모트 수소 플라즈마를 상기 반응 챔버 (704) 내부로 전달하고, 상기 하나 이상의 피처들 각각의 상단 표면 근처 개구부의 사이즈를 증가시키는 조건들 하에서 상기 제 1 두께의 SiCxOyNz 막을 상기 리모트 수소 플라즈마에 노출시키도록 구성되고, 상기 전구체 공급 소스 (740) 는 상기 제 1 두께의 SiCxOyNz 막을 상기 리모트 수소 플라즈마에 노출시킨 후 제 2 두께의 상기 SiCxOyNz 막을 증착하도록 상기 가스 유출구 (742) 를 통해 상기 실리콘-함유 전구체를 공급하도록 더 구성되는, 장치.
  2. 제 1 항에 있어서,
    상기 리모트 플라즈마 소스 (702), 상기 실리콘-함유 전구체의 플로우, 및 상기 리모트 수소 플라즈마의 전달을 제어하기 위한 인스트럭션들로 구성된 제어기 (750) 를 더 포함하고, 상기 제어기 (750) 는 상기 하나 이상의 피처들이 충진되거나 실질적으로 충진될 때까지 상기 SiCxOyNz 막을 상기 리모트 수소 플라즈마에 노출하는 동작 및 상기 하나 이상의 피처들 내에 상기 SiCxOyNz 막을 증착하는 동작을 반복하도록 구성되는, 장치.
  3. 제 1 항에 있어서,
    처리 시간, 처리 주파수, 처리 전력, 또는 리모트 플라즈마 가스 조성 중 적어도 하나를 포함하는 상기 리모트 플라즈마 소스 (702) 내에서 생성된 상기 리모트 수소 플라즈마의 조건들을 제어하기 위한 인스트럭션들로 구성된 제어기 (750) 를 더 포함하고, 상기 처리 시간, 상기 처리 주파수, 상기 처리 전력, 또는 상기 리모트 플라즈마 가스 조성 중 적어도 하나는 상기 하나 이상의 피처들 각각의 상기 상단 표면 근처 상기 개구부의 사이즈가 상기 하나 이상의 피처들 각각의 하단 표면 근처 개구부의 사이즈에 대해 증가하도록 제어되는, 장치.
  4. 제 3 항에 있어서,
    상기 제어기 (750) 는 상기 처리 시간이 0.5 초 내지 120 초인 상기 SiCxOyNz 막의 상기 제 1 두께를 상기 리모트 수소 플라즈마에 노출시키기 위한 인스트럭션들로 구성되는, 장치.
  5. 제 3 항에 있어서,
    상기 제어기 (750) 는 상기 SiCxOyNz 막을 증착하고 상기 SiCxOyNz 막을 리모트 수소 플라즈마에 노출하는 사이클 당 상기 SiCxOyNz 막 10 Å 이하인 상기 처리 주파수를 사용하여 상기 제 1 두께의 상기 SiCxOyNz 막을 상기 리모트 수소 플라즈마에 노출시키기 위한 인스트럭션들로 구성되는, 장치.
  6. 제 3 항에 있어서,
    상기 제어기 (750) 는 10 부피% 내지 50 부피%의 수소 농도를 갖는 상기 리모트 수소 플라즈마에 상기 제 1 두께의 상기 SiCxOyNz 막을 노출시키기 위한 인스트럭션들로 구성되는, 장치.
  7. 제 1 항에 있어서,
    상기 SiCxOyNz 막의 상기 제 1 두께 및 상기 제 2 두께 각각은 10 Å 이하인, 장치.
  8. 제 1 항에 있어서,
    갭 충진 성능을 조정하기 위해 상기 제 1 두께의 상기 SiCxOyNz 막을 증착하는 단계와 상기 SiCxOyNz 막을 상기 리모트 수소 플라즈마에 노출하는 단계 사이에 시간 인터벌을 도입하기 위한 인스트럭션들로 구성된 제어기 (750) 를 더 포함하는, 장치.
  9. 제 1 항에 있어서,
    갭 충진 성능을 조정하기 위해 상기 리모트 수소 플라즈마에 상기 SiCxOyNz 막을 노출시킨 후 시간 인터벌을 도입하기 위한 인스트럭션들로 구성된 제어기 (750) 를 더 포함하는, 장치.
  10. 기판 (712) 의 하나 이상의 피처들 내에 로우-k 갭 충진 재료를 증착하기 위한 장치 (700) 에 있어서,
    기판 (712) 을 지지하기 위한 기판 지지부 (714) 를 포함하는 반응 챔버 (704);
    가스 유출구 (742) 를 통해 상기 반응 챔버 (704) 내로 증착 전구체를 공급하도록 구성된 전구체 공급 소스 (740);
    상기 반응 챔버 (704) 로부터 분리되고 업스트림에 있는 리모트 플라즈마 소스 (702) 로서, 상기 리모트 플라즈마 소스 (702) 는 반응물질 라디칼들을 생성하고 샤워헤드 (706) 를 통해 상기 반응 챔버 (704) 내로 상기 기판 (712) 을 향하여 상기 반응물질 라디칼들을 도입하도록 구성되고, 상기 반응물질 라디칼들은 상기 기판 (712) 의 상기 하나 이상의 피처들 내에 제 1 두께의 상기 로우-k 갭 충진 재료를 증착하도록 상기 증착 전구체와 반응하는, 상기 리모트 플라즈마 소스; 및
    제어기 (750) 를 포함하고,
    상기 제어기는,
    ⒜ 상기 기판 (712) 의 상기 하나 이상의 피처들 내에 상기 제 1 두께의 상기 로우-k 갭 충진 재료를 증착하는 동작;
    ⒝ 상기 로우-k 갭 충진 재료를 처리하기 위해 상기 로우-k 갭 충진 재료를 리모트 수소 플라즈마에 노출시키는 동작; 및
    ⒞ 상기 기판 (712) 의 상기 하나 이상의 피처들이 상기 로우-k 갭 충진 재료로 충진되거나 실질적으로 충진될 때까지 상기 동작 (a) 및 상기 동작 (b) 를 반복하는 동작을 수행하기 위한 인스트럭션들로 구성되는, 장치.
  11. 제 10 항에 있어서,
    상기 제어기 (750) 는 상기 기판 (712) 의 상기 하나 이상의 피처들 내에 상기 제 1 두께의 상기 로우-k 갭 충진 재료를 컨포멀하게 증착하기 위한 인스트럭션들로 구성되고, 상기 제 1 두께의 상기 로우-k 갭 충진 재료는 적어도 80 %의 컨포멀도를 갖는, 장치.
  12. 제 10 항에 있어서,
    상기 리모트 플라즈마 소스 (702) 에 유동적으로 커플링되고 (fluidly couple) 상기 리모트 플라즈마 소스 (702) 에 소스 가스를 공급하도록 구성된 소스 가스 공급부 (726) 를 더 포함하고, 상기 소스 가스는 수소 가스와 불활성 가스의 혼합물을 포함하고, 그리고 상기 소스 가스의 농도는 수소 가스의 적어도 25 부피%인, 장치.
  13. 제 10 항에 있어서,
    상기 리모트 플라즈마 소스 (702) 에 공-반응물질을 공급하도록 구성된 상기 리모트 플라즈마 소스 (702) 와 유동적으로 커플링된 부가적인 가스 공급부 (728) 를 더 포함하고, 상기 리모트 플라즈마 소스 (702) 는 상기 공-반응물질을 상기 반응 챔버 (704) 내부로 도입하도록 구성되고, 그리고 상기 반응물질 라디칼들은 상기 제 1 두께의 상기 로우-k 갭 충진 재료를 증착하기 위해 상기 공-반응물질 및 상기 증착 전구체와 반응하는, 장치.
  14. 제 13 항에 있어서,
    상기 제어기 (750) 는 상기 공-반응물질에 의해 상기 로우-k 갭 충진 재료의 조성을 튜닝하도록 구성되는, 장치.
  15. 제 10 항에 있어서,
    상기 로우-k 갭 충진 재료는 도핑되거나 도핑되지 않은 실리콘 카바이드를 포함하고, 상기 제 1 두께의 상기 로우-k 갭 충진 재료의 탄소의 원자 농도는 10 % 내지 40 %인, 장치.
  16. 제 10 항에 있어서,
    상기 로우-k 갭 충진 재료를 리모트 수소 플라즈마에 노출하기 위한 인스트럭션들로 구성된 상기 제어기 (750) 는 상기 리모트 수소 플라즈마에 대한 노출 동안 상기 하나 이상의 피처들 각각의 하단 표면에 대해 상기 하나 이상의 피처들 각각의 상단 표면 근처 개구부의 사이즈를 증가시키기 위한 인스트럭션들로 구성되는, 장치.
  17. 제 10 항에 있어서,
    상기 로우-k 갭 충진 재료를 리모트 수소 플라즈마에 노출하기 위한 인스트럭션들로 구성된 상기 제어기 (750) 는 상기 리모트 수소 플라즈마로의 노출 동안 상기 제 1 두께의 상기 로우-k 갭 충진 재료를 치밀화하고 축소하기 위한 인스트럭션들로 구성되는, 장치.
  18. 제 10 항에 있어서,
    상기 로우-k 갭 충진 재료를 리모트 수소 플라즈마에 노출하기 위한 인스트럭션들로 구성된 상기 제어기 (750) 는 상기 로우-k 갭 충진 재료를 처리하는 동작이 상기 하나 이상의 피처들의 하단 개구부에 대해 상기 하나 이상의 피처들의 상단 개구부에서 우선적으로 발생하도록 상기 로우-k 갭 충진 재료를 수소 라디칼들로 등방성으로 노출하기 위한 인스트럭션들로 구성되는, 장치.
  19. 제 10 항에 있어서,
    상기 동작 (a) 및 상기 동작 (b) 는 상기 동작들 사이에 진공 브레이크를 도입하지 않고 수행되는, 장치.
  20. 제 10 항에 있어서,
    상기 제어기 (750) 는 1 ㎾ 내지 8 ㎾의 처리 전력 및 1 초 내지 30 초의 처리 시간으로 상기 로우-k 갭 충진 재료를 리모트 수소 플라즈마에 노출하기 위한 인스트럭션들로 구성되는, 장치.
  21. 제 10 항에 있어서,
    상기 로우-k 갭 충진 재료의 유효 유전 상수는 4.0 이하인, 장치.
  22. 기판 (712) 의 하나 이상의 피처들 내에 실리콘 카바이드 갭 충진 재료를 증착하기 위한 장치 (700) 에 있어서,
    기판 (712) 을 지지하기 위한 기판 지지부 (714) 를 포함하는 반응 챔버 (704);
    실리콘-함유 전구체를 가스 유출구 (742) 를 통해 상기 반응 챔버 (704) 내로 공급하도록 구성된 전구체 공급 소스 (740);
    상기 반응 챔버 (704) 로부터 분리되고 업스트림에 있는 리모트 플라즈마 소스 (702) 로서, 상기 리모트 플라즈마 소스 (702) 는 반응물질 라디칼들을 생성하고 샤워헤드 (706) 를 통해 상기 반응 챔버 (704) 내로 상기 기판 (712) 을 향하여 상기 반응물질 라디칼들을 도입하도록 구성되고, 상기 반응물질 라디칼들은 상기 기판 (712) 의 하나 이상의 피처들 내에 제 1 두께의 실리콘 카바이드 갭 충진 재료를 증착하도록 상기 실리콘-함유 전구체와 반응하는, 상기 리모트 플라즈마 소스; 및
    제어기 (750) 를 포함하고,
    상기 제어기는,
    ⒜ 상기 기판 (712) 의 상기 하나 이상의 피처들 내에 상기 제 1 두께의 상기 실리콘 카바이드 갭 충진 재료를 증착하는 동작;
    ⒝ 상기 제 1 두께의 상기 실리콘 카바이드 갭 충진 재료를 치밀화하고 수축시키는 조건들 하에서 상기 실리콘 카바이드 갭 충진 재료를 리모트 수소 플라즈마에 노출시키는 동작; 및
    ⒞ 상기 기판 (712) 의 상기 하나 이상의 피처들이 상기 실리콘 카바이드 갭 충진 재료로 충진되거나 실질적으로 충진될 때까지 상기 동작 (a) 및 상기 동작 (b) 를 반복하는 동작을 수행하기 위한 인스트럭션들로 구성되는, 장치.
  23. 제 22 항에 있어서,
    상기 실리콘 카바이드 갭 충진 재료를 상기 리모트 수소 플라즈마에 노출하기 위한 인스트럭션들로 구성된 상기 제어기 (750) 는 수소를 제거하고 상기 실리콘 카바이드 갭 충진 재료의 교차-결합을 증가시키기 위한 인스트럭션들로 구성되는, 장치.
  24. 제 22 항에 있어서,
    상기 실리콘 카바이드 갭 충진 재료를 상기 리모트 수소 플라즈마에 노출시키기 위한 인스트럭션들로 구성된 상기 제어기 (750) 는 상기 하나 이상의 피처들 각각의 하단 표면에 대해 상기 하나 이상의 피처들 각각의 상단 표면 근처 개구부의 사이즈를 증가시키기 위한 인스트럭션들로 구성되는, 장치.
  25. 제 22 항에 있어서,
    상기 제어기 (750) 는 상기 실리콘 카바이드 갭 충진 재료의 조성에 적어도 부분적으로 기초한 처리 시간 및 처리 전력을 사용하여 상기 실리콘 카바이드 갭 충진 재료를 리모트 수소 플라즈마에 노출하기 위한 인스트럭션들로 구성되는, 장치.
KR1020227013124A 2018-10-19 2019-10-10 갭 충진 (gapfill) 을 위한 도핑되거나 도핑되지 않은 실리콘 카바이드 증착 및 원격 수소 플라즈마 노출 KR20220056248A (ko)

Applications Claiming Priority (4)

Application Number Priority Date Filing Date Title
US201862748186P 2018-10-19 2018-10-19
US62/748,186 2018-10-19
PCT/US2019/055671 WO2020081367A1 (en) 2018-10-19 2019-10-10 Doped or undoped silicon carbide deposition and remote hydrogen plasma exposure for gapfill
KR1020217015119A KR20210063434A (ko) 2018-10-19 2019-10-10 갭 충진 (gapfill) 을 위한 도핑되거나 도핑되지 않은 실리콘 카바이드 증착 및 원격 수소 플라즈마 노출

Related Parent Applications (1)

Application Number Title Priority Date Filing Date
KR1020217015119A Division KR20210063434A (ko) 2018-10-19 2019-10-10 갭 충진 (gapfill) 을 위한 도핑되거나 도핑되지 않은 실리콘 카바이드 증착 및 원격 수소 플라즈마 노출

Publications (1)

Publication Number Publication Date
KR20220056248A true KR20220056248A (ko) 2022-05-04

Family

ID=70284056

Family Applications (5)

Application Number Title Priority Date Filing Date
KR1020217015119A KR20210063434A (ko) 2018-10-19 2019-10-10 갭 충진 (gapfill) 을 위한 도핑되거나 도핑되지 않은 실리콘 카바이드 증착 및 원격 수소 플라즈마 노출
KR1020237019228A KR20230085953A (ko) 2018-10-19 2019-10-10 갭 충진 (gapfill) 을 위한 도핑되거나 도핑되지 않은 실리콘 카바이드 증착 및 원격 수소 플라즈마 노출
KR1020227013124A KR20220056248A (ko) 2018-10-19 2019-10-10 갭 충진 (gapfill) 을 위한 도핑되거나 도핑되지 않은 실리콘 카바이드 증착 및 원격 수소 플라즈마 노출
KR1020237019230A KR20230085954A (ko) 2018-10-19 2019-10-10 갭 충진 (gapfill) 을 위한 도핑되거나 도핑되지 않은 실리콘 카바이드 증착 및 원격 수소 플라즈마 노출
KR1020227013129A KR20220056249A (ko) 2018-10-19 2019-10-10 갭 충진 (gapfill) 을 위한 도핑되거나 도핑되지 않은 실리콘 카바이드 증착 및 원격 수소 플라즈마 노출

Family Applications Before (2)

Application Number Title Priority Date Filing Date
KR1020217015119A KR20210063434A (ko) 2018-10-19 2019-10-10 갭 충진 (gapfill) 을 위한 도핑되거나 도핑되지 않은 실리콘 카바이드 증착 및 원격 수소 플라즈마 노출
KR1020237019228A KR20230085953A (ko) 2018-10-19 2019-10-10 갭 충진 (gapfill) 을 위한 도핑되거나 도핑되지 않은 실리콘 카바이드 증착 및 원격 수소 플라즈마 노출

Family Applications After (2)

Application Number Title Priority Date Filing Date
KR1020237019230A KR20230085954A (ko) 2018-10-19 2019-10-10 갭 충진 (gapfill) 을 위한 도핑되거나 도핑되지 않은 실리콘 카바이드 증착 및 원격 수소 플라즈마 노출
KR1020227013129A KR20220056249A (ko) 2018-10-19 2019-10-10 갭 충진 (gapfill) 을 위한 도핑되거나 도핑되지 않은 실리콘 카바이드 증착 및 원격 수소 플라즈마 노출

Country Status (6)

Country Link
US (4) US11848199B2 (ko)
JP (1) JP2022505310A (ko)
KR (5) KR20210063434A (ko)
CN (1) CN113195786A (ko)
TW (1) TW202032660A (ko)
WO (1) WO2020081367A1 (ko)

Families Citing this family (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US10325773B2 (en) 2012-06-12 2019-06-18 Novellus Systems, Inc. Conformal deposition of silicon carbide films
US9234276B2 (en) 2013-05-31 2016-01-12 Novellus Systems, Inc. Method to obtain SiC class of films of desired composition and film properties
KR20210063434A (ko) 2018-10-19 2021-06-01 램 리써치 코포레이션 갭 충진 (gapfill) 을 위한 도핑되거나 도핑되지 않은 실리콘 카바이드 증착 및 원격 수소 플라즈마 노출
KR20220082751A (ko) * 2020-12-09 2022-06-17 에이에스엠 아이피 홀딩 비.브이. 실리콘-탄소 재료를 포함한 구조체를 형성하는 방법, 이 방법을 사용하여 형성된 구조체, 및 이 구조체를 형성하기 위한 시스템
US20230050255A1 (en) * 2021-08-13 2023-02-16 Applied Materials, Inc. Seam removal in high aspect ratio gap-fill
US20230360924A1 (en) * 2022-05-05 2023-11-09 Applied Materials, Inc. Low temperature carbon gapfill

Family Cites Families (228)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPS59128281A (ja) 1982-12-29 1984-07-24 信越化学工業株式会社 炭化けい素被覆物の製造方法
DE3811567A1 (de) 1988-04-07 1989-10-19 Wacker Chemie Gmbh Verfahren zur herstellung von organopolysilanen
KR940003787B1 (ko) 1988-09-14 1994-05-03 후지쓰 가부시끼가이샤 박막 형성장치 및 방법
JPH05326452A (ja) 1991-06-10 1993-12-10 Kawasaki Steel Corp プラズマ処理装置及び方法
FR2713666B1 (fr) 1993-12-15 1996-01-12 Air Liquide Procédé et dispositif de dépôt à basse température d'un film contenant du silicium sur un substrat métallique.
US5665640A (en) 1994-06-03 1997-09-09 Sony Corporation Method for producing titanium-containing thin films by low temperature plasma-enhanced chemical vapor deposition using a rotating susceptor reactor
US6013155A (en) 1996-06-28 2000-01-11 Lam Research Corporation Gas injection system for plasma processing
JP3164019B2 (ja) 1997-05-21 2001-05-08 日本電気株式会社 酸化シリコン膜およびその形成方法と成膜装置
US6624064B1 (en) 1997-10-10 2003-09-23 Applied Materials, Inc. Chamber seasoning method to improve adhesion of F-containing dielectric film to metal for VLSI application
US7354873B2 (en) 1998-02-05 2008-04-08 Asm Japan K.K. Method for forming insulation film
JP4151862B2 (ja) 1998-02-26 2008-09-17 キヤノンアネルバ株式会社 Cvd装置
US6262445B1 (en) 1998-03-30 2001-07-17 Texas Instruments Incorporated SiC sidewall process
US6846391B1 (en) 1998-04-01 2005-01-25 Novellus Systems Process for depositing F-doped silica glass in high aspect ratio structures
US6395150B1 (en) 1998-04-01 2002-05-28 Novellus Systems, Inc. Very high aspect ratio gapfill using HDP
US20010012667A1 (en) 1999-01-15 2001-08-09 Yi Ma Clustered system and method for formation of integrated circuit devices
WO2000074932A1 (en) 1999-06-03 2000-12-14 The Penn State Research Foundation Deposited thin film void-column network materials
EP1077479A1 (en) 1999-08-17 2001-02-21 Applied Materials, Inc. Post-deposition treatment to enchance properties of Si-O-C low K film
DE69940114D1 (de) 1999-08-17 2009-01-29 Applied Materials Inc Oberflächenbehandlung von kohlenstoffdotierten SiO2-Filmen zur Erhöhung der Stabilität während der O2-Veraschung
ATE310644T1 (de) 1999-09-29 2005-12-15 Seiko Epson Corp Druckgerät, verfahren zu seiner kontrolle und ein datenspeichermedium zum speichern eines das verfahren ausführenden computerprogrammes
US6287643B1 (en) 1999-09-30 2001-09-11 Novellus Systems, Inc. Apparatus and method for injecting and modifying gas concentration of a meta-stable or atomic species in a downstream plasma reactor
US6399489B1 (en) 1999-11-01 2002-06-04 Applied Materials, Inc. Barrier layer deposition using HDP-CVD
US6863019B2 (en) 2000-06-13 2005-03-08 Applied Materials, Inc. Semiconductor device fabrication chamber cleaning method and apparatus with recirculation of cleaning gas
JP4371543B2 (ja) 2000-06-29 2009-11-25 日本電気株式会社 リモートプラズマcvd装置及び膜形成方法
US6365527B1 (en) 2000-10-06 2002-04-02 United Microelectronics Corp. Method for depositing silicon carbide in semiconductor devices
US6448186B1 (en) 2000-10-06 2002-09-10 Novellus Systems, Inc. Method and apparatus for use of hydrogen and silanes in plasma
US6576345B1 (en) 2000-11-30 2003-06-10 Novellus Systems Inc Dielectric films with low dielectric constants
US6949450B2 (en) 2000-12-06 2005-09-27 Novellus Systems, Inc. Method for integrated in-situ cleaning and subsequent atomic layer deposition within a single processing chamber
US6936533B2 (en) 2000-12-08 2005-08-30 Samsung Electronics, Co., Ltd. Method of fabricating semiconductor devices having low dielectric interlayer insulation layer
KR100705189B1 (ko) 2000-12-30 2007-04-06 주식회사 하이닉스반도체 반도체 소자의 박막 형성 방법
US6846745B1 (en) 2001-08-03 2005-01-25 Novellus Systems, Inc. High-density plasma process for filling high aspect ratio structures
AU2002323040A1 (en) 2001-08-06 2003-02-24 Advanced Technology Material, Inc. Low-k dielectric thin films and chemical vapor deposition method of making same
CN1305119C (zh) 2001-08-30 2007-03-14 东京毅力科创株式会社 成膜方法和成膜装置
EP1436742A1 (en) 2001-09-18 2004-07-14 Pro-Corp Holdings International Limited Image recognition inventory management system
US6699784B2 (en) 2001-12-14 2004-03-02 Applied Materials Inc. Method for depositing a low k dielectric film (K>3.5) for hard mask application
US6890850B2 (en) 2001-12-14 2005-05-10 Applied Materials, Inc. Method of depositing dielectric materials in damascene applications
US6838393B2 (en) 2001-12-14 2005-01-04 Applied Materials, Inc. Method for producing semiconductor including forming a layer containing at least silicon carbide and forming a second layer containing at least silicon oxygen carbide
US6818570B2 (en) 2002-03-04 2004-11-16 Asm Japan K.K. Method of forming silicon-containing insulation film having low dielectric constant and high mechanical strength
US20030194496A1 (en) 2002-04-11 2003-10-16 Applied Materials, Inc. Methods for depositing dielectric material
US6935553B2 (en) 2002-04-16 2005-08-30 Senju Metal Industry Co., Ltd. Reflow soldering method
JP4683825B2 (ja) 2002-04-24 2011-05-18 株式会社半導体エネルギー研究所 半導体装置およびその作製方法
US6936551B2 (en) 2002-05-08 2005-08-30 Applied Materials Inc. Methods and apparatus for E-beam treatment used to fabricate integrated circuit devices
US20060014384A1 (en) 2002-06-05 2006-01-19 Jong-Cheol Lee Method of forming a layer and forming a capacitor of a semiconductor device having the same layer
US7547635B2 (en) 2002-06-14 2009-06-16 Lam Research Corporation Process for etching dielectric films with improved resist and/or etch profile characteristics
JP3991315B2 (ja) 2002-09-17 2007-10-17 キヤノンアネルバ株式会社 薄膜形成装置及び方法
US7749563B2 (en) 2002-10-07 2010-07-06 Applied Materials, Inc. Two-layer film for next generation damascene barrier application with good oxidation resistance
US6991959B2 (en) 2002-10-10 2006-01-31 Asm Japan K.K. Method of manufacturing silicon carbide film
JP4066332B2 (ja) 2002-10-10 2008-03-26 日本エー・エス・エム株式会社 シリコンカーバイド膜の製造方法
JP4109531B2 (ja) 2002-10-25 2008-07-02 松下電器産業株式会社 半導体装置及びその製造方法
US7485570B2 (en) 2002-10-30 2009-02-03 Fujitsu Limited Silicon oxycarbide, growth method of silicon oxycarbide layer, semiconductor device and manufacture method for semiconductor device
DE10250889B4 (de) 2002-10-31 2006-12-07 Advanced Micro Devices, Inc., Sunnyvale Verbesserte SiC-Barrierenschicht für eine Kupfermetallisierungsschicht mit einem Dielektrikum mit kleinem ε und Verfahren zur Herstellung derselben
US20040084774A1 (en) 2002-11-02 2004-05-06 Bo Li Gas layer formation materials
US20040232552A1 (en) 2002-12-09 2004-11-25 Advanced Micro Devices, Inc. Air gap dual damascene process and structure
US6825130B2 (en) 2002-12-12 2004-11-30 Asm Japan K.K. CVD of porous dielectric materials
US7172792B2 (en) 2002-12-20 2007-02-06 Applied Materials, Inc. Method for forming a high quality low temperature silicon nitride film
US7972663B2 (en) 2002-12-20 2011-07-05 Applied Materials, Inc. Method and apparatus for forming a high quality low temperature silicon nitride layer
US7365029B2 (en) 2002-12-20 2008-04-29 Applied Materials, Inc. Method for silicon nitride chemical vapor deposition
US6790788B2 (en) 2003-01-13 2004-09-14 Applied Materials Inc. Method of improving stability in low k barrier layers
US7238393B2 (en) 2003-02-13 2007-07-03 Asm Japan K.K. Method of forming silicon carbide films
US7084076B2 (en) 2003-02-27 2006-08-01 Samsung Electronics, Co., Ltd. Method for forming silicon dioxide film using siloxane
US20040197474A1 (en) 2003-04-01 2004-10-07 Vrtis Raymond Nicholas Method for enhancing deposition rate of chemical vapor deposition films
JP2004363241A (ja) 2003-06-03 2004-12-24 Advanced Lcd Technologies Development Center Co Ltd 結晶化半導体層の形成方法及び形成装置ならびに半導体装置の製造方法
KR20050002525A (ko) 2003-06-30 2005-01-07 주식회사 하이닉스반도체 반도체 소자의 확산방지막 제조방법
JP3966249B2 (ja) 2003-07-30 2007-08-29 日産自動車株式会社 半導体装置及び半導体装置の製造方法
US7018560B2 (en) 2003-08-05 2006-03-28 Rohm And Haas Electronic Materials Cmp Holdings, Inc. Composition for polishing semiconductor layers
US6849561B1 (en) 2003-08-18 2005-02-01 Asm Japan K.K. Method of forming low-k films
US20050100682A1 (en) 2003-11-06 2005-05-12 Tokyo Electron Limited Method for depositing materials on a substrate
US7163896B1 (en) 2003-12-10 2007-01-16 Novellus Systems, Inc. Biased H2 etch process in deposition-etch-deposition gap fill
US20050230350A1 (en) 2004-02-26 2005-10-20 Applied Materials, Inc. In-situ dry clean chamber for front end of line fabrication
US7030041B2 (en) 2004-03-15 2006-04-18 Applied Materials Inc. Adhesion improvement for low k dielectrics
US7524735B1 (en) 2004-03-25 2009-04-28 Novellus Systems, Inc Flowable film dielectric gap fill process
US7582555B1 (en) 2005-12-29 2009-09-01 Novellus Systems, Inc. CVD flowable gap fill
US7695590B2 (en) * 2004-03-26 2010-04-13 Applied Materials, Inc. Chemical vapor deposition plasma reactor having plural ion shower grids
US7102232B2 (en) 2004-04-19 2006-09-05 International Business Machines Corporation Structure to improve adhesion between top CVD low-k dielectric and dielectric capping layer
US7067409B2 (en) 2004-05-10 2006-06-27 Taiwan Semiconductor Manufacturing Company, Ltd. Plasma treatment at film layer to reduce sheet resistance and to improve via contact resistance
US7622400B1 (en) 2004-05-18 2009-11-24 Novellus Systems, Inc. Method for improving mechanical properties of low dielectric constant materials
JP2006013190A (ja) 2004-06-28 2006-01-12 Rohm Co Ltd 半導体装置の製造方法
US7129187B2 (en) 2004-07-14 2006-10-31 Tokyo Electron Limited Low-temperature plasma-enhanced chemical vapor deposition of silicon-nitrogen-containing films
EP1799883A2 (en) 2004-08-18 2007-06-27 Dow Corning Corporation Coated substrates and methods for their preparation
US7422776B2 (en) 2004-08-24 2008-09-09 Applied Materials, Inc. Low temperature process to produce low-K dielectrics with low stress by plasma-enhanced chemical vapor deposition (PECVD)
US7166544B2 (en) 2004-09-01 2007-01-23 Applied Materials, Inc. Method to deposit functionally graded dielectric films via chemical vapor deposition using viscous precursors
GB0423685D0 (en) 2004-10-26 2004-11-24 Dow Corning Ireland Ltd Improved method for coating a substrate
US7335980B2 (en) 2004-11-04 2008-02-26 International Business Machines Corporation Hardmask for reliability of silicon based dielectrics
US7695765B1 (en) 2004-11-12 2010-04-13 Novellus Systems, Inc. Methods for producing low-stress carbon-doped oxide films with improved integration properties
US7662355B2 (en) 2004-11-29 2010-02-16 National University Corporation Tokyo University Of Agriculture And Technology Silicon nanosized linear body and a method for producing a silicon nanosized linear body
US7259111B2 (en) 2005-01-19 2007-08-21 Applied Materials, Inc. Interface engineering to improve adhesion between low k stacks
US7189658B2 (en) 2005-05-04 2007-03-13 Applied Materials, Inc. Strengthening the interface between dielectric layers and barrier layers with an oxide layer of varying composition profile
KR101272097B1 (ko) 2005-06-03 2013-06-07 가부시키가이샤 한도오따이 에네루기 켄큐쇼 집적회로 장치 및 그의 제조방법
JP2006351694A (ja) 2005-06-14 2006-12-28 Fujitsu Ltd 半導体装置およびその製造方法
JP2007053133A (ja) 2005-08-15 2007-03-01 Toshiba Corp 半導体装置及びその製造方法
US8021992B2 (en) 2005-09-01 2011-09-20 Taiwan Semiconductor Manufacturing Co., Ltd. High aspect ratio gap fill application using high density plasma chemical vapor deposition
US7718518B2 (en) 2005-12-16 2010-05-18 Asm International N.V. Low temperature doped silicon layer formation
US20070173071A1 (en) 2006-01-20 2007-07-26 International Business Machines Corporation SiCOH dielectric
US7695567B2 (en) 2006-02-10 2010-04-13 Applied Materials, Inc. Water vapor passivation of a wall facing a plasma
CN101427361A (zh) 2006-02-28 2009-05-06 St微电子(克偌林斯2)股份有限公司 电介质材料中的金属互连
JP5040913B2 (ja) 2006-03-31 2012-10-03 富士通セミコンダクター株式会社 半導体装置の製造方法
WO2007140377A2 (en) 2006-05-30 2007-12-06 Applied Materials, Inc. A novel deposition-plasma cure cycle process to enhance film quality of silicon dioxide
US7825038B2 (en) 2006-05-30 2010-11-02 Applied Materials, Inc. Chemical vapor deposition of high quality flow-like silicon dioxide using a silicon containing precursor and atomic oxygen
US7514375B1 (en) 2006-08-08 2009-04-07 Novellus Systems, Inc. Pulsed bias having high pulse frequency for filling gaps with dielectric material
US20080064173A1 (en) 2006-09-08 2008-03-13 United Microelectronics Corp. Semiconductor device, cmos device and fabricating methods of the same
US8053372B1 (en) 2006-09-12 2011-11-08 Novellus Systems, Inc. Method of reducing plasma stabilization time in a cyclic deposition process
US10037905B2 (en) 2009-11-12 2018-07-31 Novellus Systems, Inc. UV and reducing treatment for K recovery and surface clean in semiconductor processing
US8465991B2 (en) 2006-10-30 2013-06-18 Novellus Systems, Inc. Carbon containing low-k dielectric constant recovery using UV treatment
US20080193673A1 (en) 2006-12-05 2008-08-14 Applied Materials, Inc. Method of processing a workpiece using a mid-chamber gas distribution plate, tuned plasma flow control grid and electrode
DE102006058771B4 (de) 2006-12-12 2018-03-01 Schott Ag Behälter mit verbesserter Restentleerbarkeit und Verfahren zu dessen Herstellung
US20080156264A1 (en) 2006-12-27 2008-07-03 Novellus Systems, Inc. Plasma Generator Apparatus
US7915166B1 (en) 2007-02-22 2011-03-29 Novellus Systems, Inc. Diffusion barrier and etch stop films
JP5140290B2 (ja) 2007-03-02 2013-02-06 富士フイルム株式会社 絶縁膜
CN101017834A (zh) 2007-03-02 2007-08-15 上海集成电路研发中心有限公司 一种soi集成电路结构及其制作方法
US7615482B2 (en) 2007-03-23 2009-11-10 International Business Machines Corporation Structure and method for porous SiCOH dielectric layers and adhesion promoting or etch stop layers having increased interfacial and mechanical strength
US20090264277A1 (en) 2007-04-17 2009-10-22 Dr. Rishi Raj Picoscale catalysts for hydrogen catalysis
US7955955B2 (en) 2007-05-10 2011-06-07 International Business Machines Corporation Using crack arrestor for inhibiting damage from dicing and chip packaging interaction failures in back end of line structures
JP5022116B2 (ja) 2007-06-18 2012-09-12 三菱重工業株式会社 半導体装置の製造方法及び製造装置
US8021514B2 (en) 2007-07-11 2011-09-20 Applied Materials, Inc. Remote plasma source for pre-treatment of substrates prior to deposition
CN101743631B (zh) 2007-07-13 2012-12-26 应用材料公司 硼衍生的材料的沉积方法
US20090061649A1 (en) 2007-08-28 2009-03-05 International Business Machines Corporation LOW k POROUS SiCOH DIELECTRIC AND INTEGRATION WITH POST FILM FORMATION TREATMENT
JP2009075285A (ja) 2007-09-20 2009-04-09 Fujifilm Corp 半導体デバイスの剥離液、及び、剥離方法
US7964442B2 (en) 2007-10-09 2011-06-21 Applied Materials, Inc. Methods to obtain low k dielectric barrier with superior etch resistivity
JP5006938B2 (ja) 2007-11-02 2012-08-22 キヤノンアネルバ株式会社 表面処理装置およびその基板処理方法
US9217200B2 (en) 2007-12-21 2015-12-22 Asm International N.V. Modification of nanoimprint lithography templates by atomic layer deposition
US7648899B1 (en) 2008-02-28 2010-01-19 Novellus Systems, Inc. Interfacial layers for electromigration resistance improvement in damascene interconnects
US9591738B2 (en) 2008-04-03 2017-03-07 Novellus Systems, Inc. Plasma generator systems and methods of forming plasma
KR20090106112A (ko) 2008-04-04 2009-10-08 울산대학교 산학협력단 다결정 탄화규소 버퍼층위에 마이크로 또는 나노전자기계시스템용 질화알루미늄막 증착방법
US20090258487A1 (en) 2008-04-14 2009-10-15 Keng-Chu Lin Method for Improving the Reliability of Low-k Dielectric Materials
KR20150038544A (ko) 2008-05-07 2015-04-08 더 트러스티즈 오브 프린스턴 유니버시티 전자 장치들 또는 다른 물품들 위의 코팅들에 사용하기 위한 혼성 층들
KR101629193B1 (ko) 2008-06-26 2016-06-10 가부시키가이샤 한도오따이 에네루기 켄큐쇼 Soi 기판의 제작 방법
US20100025370A1 (en) 2008-08-04 2010-02-04 Applied Materials, Inc. Reactive gas distributor, reactive gas treatment system, and reactive gas treatment method
US8168268B2 (en) 2008-12-12 2012-05-01 Ovishinsky Innovation, LLC Thin film deposition via a spatially-coordinated and time-synchronized process
US8916022B1 (en) 2008-09-12 2014-12-23 Novellus Systems, Inc. Plasma generator systems and methods of forming plasma
US20100081293A1 (en) 2008-10-01 2010-04-01 Applied Materials, Inc. Methods for forming silicon nitride based film or silicon carbon based film
US7910491B2 (en) 2008-10-16 2011-03-22 Applied Materials, Inc. Gapfill improvement with low etch rate dielectric liners
US8809195B2 (en) 2008-10-20 2014-08-19 Asm America, Inc. Etching high-k materials
US8637396B2 (en) 2008-12-01 2014-01-28 Air Products And Chemicals, Inc. Dielectric barrier deposition using oxygen containing precursor
US20100224322A1 (en) 2009-03-03 2010-09-09 Applied Materials, Inc. Endpoint detection for a reactor chamber using a remote plasma chamber
WO2010132585A2 (en) 2009-05-13 2010-11-18 Cv Holdings, Llc Vessel processing
US8268722B2 (en) 2009-06-03 2012-09-18 Novellus Systems, Inc. Interfacial capping layers for interconnects
US20100317198A1 (en) 2009-06-12 2010-12-16 Novellus Systems, Inc. Remote plasma processing of interface surfaces
US8084339B2 (en) 2009-06-12 2011-12-27 Novellus Systems, Inc. Remote plasma processing of interface surfaces
US8980382B2 (en) 2009-12-02 2015-03-17 Applied Materials, Inc. Oxygen-doping for non-carbon radical-component CVD films
KR20120053003A (ko) 2009-07-22 2012-05-24 어플라이드 머티어리얼스, 인코포레이티드 할로우 캐소드 샤워헤드
US8071451B2 (en) 2009-07-29 2011-12-06 Axcelis Technologies, Inc. Method of doping semiconductors
US7989365B2 (en) 2009-08-18 2011-08-02 Applied Materials, Inc. Remote plasma source seasoning
US8202783B2 (en) 2009-09-29 2012-06-19 International Business Machines Corporation Patternable low-k dielectric interconnect structure with a graded cap layer and method of fabrication
JP5656010B2 (ja) 2009-12-04 2015-01-21 ノベラス・システムズ・インコーポレーテッドNovellus Systems Incorporated ハードマスク膜を形成する方法およびハードマスク膜を成膜する装置
US8247332B2 (en) 2009-12-04 2012-08-21 Novellus Systems, Inc. Hardmask materials
WO2011072143A2 (en) 2009-12-09 2011-06-16 Novellus Systems, Inc. Novel gap fill integration
JP5394270B2 (ja) 2010-01-25 2014-01-22 株式会社東芝 不揮発性半導体記憶装置及びその製造方法
US8703625B2 (en) 2010-02-04 2014-04-22 Air Products And Chemicals, Inc. Methods to prepare silicon-containing films
US8399350B2 (en) 2010-02-05 2013-03-19 International Business Machines Corporation Formation of air gap with protection of metal lines
KR101123829B1 (ko) 2010-02-12 2012-03-20 국제엘렉트릭코리아 주식회사 기판 처리 장치 및 방법
US8349746B2 (en) 2010-02-23 2013-01-08 Applied Materials, Inc. Microelectronic structure including a low k dielectric and a method of controlling carbon distribution in the structure
JP5476161B2 (ja) 2010-03-02 2014-04-23 ルネサスエレクトロニクス株式会社 半導体装置の製造方法
US8563445B2 (en) 2010-03-05 2013-10-22 Applied Materials, Inc. Conformal layers by radical-component CVD
WO2011113177A1 (en) 2010-03-17 2011-09-22 Applied Materials, Inc. Method and apparatus for remote plasma source assisted silicon-containing film deposition
US8741394B2 (en) 2010-03-25 2014-06-03 Novellus Systems, Inc. In-situ deposition of film stacks
US20120142172A1 (en) 2010-03-25 2012-06-07 Keith Fox Pecvd deposition of smooth polysilicon films
US8288292B2 (en) 2010-03-30 2012-10-16 Novellus Systems, Inc. Depositing conformal boron nitride film by CVD without plasma
US20110256734A1 (en) 2010-04-15 2011-10-20 Hausmann Dennis M Silicon nitride films and methods
US9611544B2 (en) 2010-04-15 2017-04-04 Novellus Systems, Inc. Plasma activated conformal dielectric film deposition
US8524612B2 (en) 2010-09-23 2013-09-03 Novellus Systems, Inc. Plasma-activated deposition of conformal films
TW201216331A (en) 2010-10-05 2012-04-16 Applied Materials Inc Ultra high selectivity doped amorphous carbon strippable hardmask development and integration
CN103168344A (zh) 2010-11-03 2013-06-19 应用材料公司 用于沉积碳化硅和碳氮化硅膜的设备和方法
KR101787041B1 (ko) 2010-11-17 2017-10-18 삼성전자주식회사 식각방지막이 구비된 반도체 소자 및 그 제조방법
CN102468434A (zh) 2010-11-17 2012-05-23 中芯国际集成电路制造(北京)有限公司 相变存储器的制作方法
US20120149213A1 (en) 2010-12-09 2012-06-14 Lakshminarayana Nittala Bottom up fill in high aspect ratio trenches
US8329599B2 (en) 2011-02-18 2012-12-11 Asm Japan K.K. Method of depositing dielectric film by ALD using precursor containing silicon, hydrocarbon, and halogen
CN102693931A (zh) * 2011-03-23 2012-09-26 中国科学院微电子研究所 一种薄膜填充方法
US8771807B2 (en) 2011-05-24 2014-07-08 Air Products And Chemicals, Inc. Organoaminosilane precursors and methods for making and using same
US8637412B2 (en) 2011-08-19 2014-01-28 International Business Machines Corporation Process to form an adhesion layer and multiphase ultra-low k dielectric material using PECVD
KR101334640B1 (ko) 2011-08-22 2013-11-29 서울시립대학교 산학협력단 고강도 실리콘옥시카바이드 결합 탄화규소 소재 제조용 조성물, 탄화규소 소재 및 그 제조방법
JP2013055136A (ja) 2011-09-01 2013-03-21 Toshiba Corp 不揮発性半導体記憶装置及びその製造方法
US20130217239A1 (en) 2011-09-09 2013-08-22 Applied Materials, Inc. Flowable silicon-and-carbon-containing layers for semiconductor processing
JP2013074093A (ja) 2011-09-28 2013-04-22 Renesas Electronics Corp リフロー前処理装置およびリフロー前処理方法
US8551891B2 (en) 2011-10-04 2013-10-08 Applied Materials, Inc. Remote plasma burn-in
WO2013073216A1 (ja) 2011-11-14 2013-05-23 住友電気工業株式会社 炭化珪素基板、半導体装置およびこれらの製造方法
WO2013103037A1 (ja) 2012-01-07 2013-07-11 日本電気株式会社 光学装置、光学素子および画像表示装置
US8586487B2 (en) 2012-01-18 2013-11-19 Applied Materials, Inc. Low temperature plasma enhanced chemical vapor deposition of conformal silicon carbon nitride and silicon nitride films
US20130242493A1 (en) 2012-03-13 2013-09-19 Qualcomm Mems Technologies, Inc. Low cost interposer fabricated with additive processes
US20130298942A1 (en) 2012-05-14 2013-11-14 Applied Materials, Inc. Etch remnant removal
US9978585B2 (en) 2012-06-01 2018-05-22 Versum Materials Us, Llc Organoaminodisilane precursors and methods for depositing films comprising same
US10832904B2 (en) 2012-06-12 2020-11-10 Lam Research Corporation Remote plasma based deposition of oxygen doped silicon carbide films
US20180330945A1 (en) 2012-06-12 2018-11-15 Lam Research Corporation Remote plasma based deposition of silicon carbide films using silicon-containing and carbon-containing precursors
US10325773B2 (en) 2012-06-12 2019-06-18 Novellus Systems, Inc. Conformal deposition of silicon carbide films
US20180347035A1 (en) 2012-06-12 2018-12-06 Lam Research Corporation Conformal deposition of silicon carbide films using heterogeneous precursor interaction
US10211310B2 (en) 2012-06-12 2019-02-19 Novellus Systems, Inc. Remote plasma based deposition of SiOC class of films
US9234276B2 (en) 2013-05-31 2016-01-12 Novellus Systems, Inc. Method to obtain SiC class of films of desired composition and film properties
JP6172660B2 (ja) 2012-08-23 2017-08-02 東京エレクトロン株式会社 成膜装置、及び、低誘電率膜を形成する方法
US20140120678A1 (en) 2012-10-29 2014-05-01 Matheson Tri-Gas Methods for Selective and Conformal Epitaxy of Highly Doped Si-containing Materials for Three Dimensional Structures
SG2013083241A (en) * 2012-11-08 2014-06-27 Novellus Systems Inc Conformal film deposition for gapfill
JP6047795B2 (ja) 2012-11-12 2016-12-21 日東電工株式会社 アンテナモジュール
US20150329965A1 (en) 2012-12-21 2015-11-19 Prasad Narhar Gadgil Methods of low temperature deposition of ceramic thin films
US8766404B1 (en) 2013-01-10 2014-07-01 Intermolecular, Inc. Device design for partially oriented rutile dielectrics
US8928149B2 (en) 2013-03-12 2015-01-06 Macronix International Co., Ltd. Interlayer conductor and method for forming
KR102136769B1 (ko) 2013-03-14 2020-07-22 어플라이드 머티어리얼스, 인코포레이티드 Pecvd 프로세스에서 우수한 접착 강도를 갖고 유전 상수 증가를 최소화하기 위한 접착 층
US20140302690A1 (en) 2013-04-04 2014-10-09 Applied Materials, Inc. Chemical linkers to impart improved mechanical strength to flowable films
US10297442B2 (en) 2013-05-31 2019-05-21 Lam Research Corporation Remote plasma based deposition of graded or multi-layered silicon carbide film
US9382268B1 (en) 2013-07-19 2016-07-05 American Air Liquide, Inc. Sulfur containing organosilane precursors for ALD/CVD silicon-containing film applications
US8927442B1 (en) 2013-07-25 2015-01-06 International Business Machines Corporation SiCOH hardmask with graded transition layers
US9362109B2 (en) 2013-10-16 2016-06-07 Asm Ip Holding B.V. Deposition of boron and carbon containing materials
US9145607B2 (en) * 2013-10-22 2015-09-29 Lam Research Corporation Tandem source activation for cyclical deposition of films
US9371579B2 (en) 2013-10-24 2016-06-21 Lam Research Corporation Ground state hydrogen radical sources for chemical vapor deposition of silicon-carbon-containing films
JP6267953B2 (ja) 2013-12-19 2018-01-24 東京エレクトロン株式会社 半導体装置の製造方法
US9412581B2 (en) 2014-07-16 2016-08-09 Applied Materials, Inc. Low-K dielectric gapfill by flowable deposition
US9362186B2 (en) 2014-07-18 2016-06-07 Applied Materials, Inc. Polishing with eddy current feed meaurement prior to deposition of conductive layer
US9564312B2 (en) 2014-11-24 2017-02-07 Lam Research Corporation Selective inhibition in atomic layer deposition of silicon-containing films
US9520295B2 (en) 2015-02-03 2016-12-13 Lam Research Corporation Metal doping of amorphous carbon and silicon films used as hardmasks in substrate processing systems
SG10201600832VA (en) 2015-02-06 2016-09-29 Novellus Systems Inc Conformal deposition of silicon carbide films
US9391086B1 (en) 2015-02-23 2016-07-12 Kabushiki Kaisha Toshiba Nonvolatile semiconductor memory device and method of manufacturing nonvolatile semiconductor memory device
US20160268286A1 (en) 2015-03-11 2016-09-15 Kabushiki Kaisha Toshiba Method of manufacturing semiconductor device and semiconductor device
US9828672B2 (en) 2015-03-26 2017-11-28 Lam Research Corporation Minimizing radical recombination using ALD silicon oxide surface coating with intermittent restoration plasma
US9777025B2 (en) 2015-03-30 2017-10-03 L'Air Liquide, Société pour l'Etude et l'Exploitation des Procédés Georges Claude Si-containing film forming precursors and methods of using the same
US20160314964A1 (en) 2015-04-21 2016-10-27 Lam Research Corporation Gap fill using carbon-based films
KR102576122B1 (ko) 2015-06-05 2023-09-06 어플라이드 머티어리얼스, 인코포레이티드 붕소-도핑된 탄소 막들을 위한 정전 척킹 및 우수한 입자 성능을 가능하게 하기 위한 그레이딩된 인-시튜 전하 트랩핑 층들
JP6803368B2 (ja) 2015-07-09 2020-12-23 レール・リキード−ソシエテ・アノニム・プール・レテュード・エ・レクスプロワタシオン・デ・プロセデ・ジョルジュ・クロード アルキルアミノ置換ハロカルボシラン前駆体
KR102615728B1 (ko) 2015-09-18 2023-12-18 어플라이드 머티어리얼스, 인코포레이티드 등각적 bcn 막들을 증착하기 위한 방법들
US10418243B2 (en) 2015-10-09 2019-09-17 Applied Materials, Inc. Ultra-high modulus and etch selectivity boron-carbon hardmask films
US9786492B2 (en) 2015-11-12 2017-10-10 Asm Ip Holding B.V. Formation of SiOCN thin films
US9786491B2 (en) 2015-11-12 2017-10-10 Asm Ip Holding B.V. Formation of SiOCN thin films
US20170178899A1 (en) 2015-12-18 2017-06-22 Lam Research Corporation Directional deposition on patterned structures
CN108603287B (zh) 2015-12-21 2021-11-02 弗萨姆材料美国有限责任公司 用于沉积含硅膜的组合物及使用其的方法
KR20190011817A (ko) * 2016-06-25 2019-02-07 어플라이드 머티어리얼스, 인코포레이티드 갭충전 애플리케이션들을 위한 유동가능 비정질 실리콘 막들
US20180033614A1 (en) 2016-07-27 2018-02-01 Versum Materials Us, Llc Compositions and Methods Using Same for Carbon Doped Silicon Containing Films
US10468244B2 (en) * 2016-08-30 2019-11-05 Versum Materials Us, Llc Precursors and flowable CVD methods for making low-K films to fill surface features
TW201822259A (zh) 2016-09-09 2018-06-16 美商諾發系統有限公司 氧摻雜矽碳化物膜之基於遠程電漿的沉積
US10002787B2 (en) 2016-11-23 2018-06-19 Lam Research Corporation Staircase encapsulation in 3D NAND fabrication
US9837270B1 (en) 2016-12-16 2017-12-05 Lam Research Corporation Densification of silicon carbide film using remote plasma treatment
JP6807775B2 (ja) 2017-02-28 2021-01-06 東京エレクトロン株式会社 成膜方法及びプラズマ処理装置
US10840087B2 (en) 2018-07-20 2020-11-17 Lam Research Corporation Remote plasma based deposition of boron nitride, boron carbide, and boron carbonitride films
KR20210063434A (ko) 2018-10-19 2021-06-01 램 리써치 코포레이션 갭 충진 (gapfill) 을 위한 도핑되거나 도핑되지 않은 실리콘 카바이드 증착 및 원격 수소 플라즈마 노출

Also Published As

Publication number Publication date
KR20210063434A (ko) 2021-06-01
KR20230085953A (ko) 2023-06-14
KR20230085954A (ko) 2023-06-14
US20220238334A1 (en) 2022-07-28
JP2022505310A (ja) 2022-01-14
US11848199B2 (en) 2023-12-19
US20220238333A1 (en) 2022-07-28
KR20220056249A (ko) 2022-05-04
CN113195786A (zh) 2021-07-30
US20210391171A1 (en) 2021-12-16
TW202032660A (zh) 2020-09-01
WO2020081367A1 (en) 2020-04-23
US20240063015A1 (en) 2024-02-22

Similar Documents

Publication Publication Date Title
US20230203646A1 (en) Conformal deposition of silicon carbide films using heterogeneous precursor interaction
US11894227B2 (en) Conformal deposition of silicon carbide films
KR102500935B1 (ko) 목표 조성 및 막 특성들을 갖는 SiC 부류의 막들을 획득하는 방법
KR102611346B1 (ko) 등급화된 실리콘 카바이드 막 또는 다층 실리콘 카바이드 막의 리모트 플라즈마 기반 증착
US10832904B2 (en) Remote plasma based deposition of oxygen doped silicon carbide films
US9837270B1 (en) Densification of silicon carbide film using remote plasma treatment
US20240063015A1 (en) Doped or undoped silicon carbide deposition and remote hydrogen plasma exposure for gapfill
US20180330945A1 (en) Remote plasma based deposition of silicon carbide films using silicon-containing and carbon-containing precursors
KR20160097149A (ko) 실리콘 카바이드 막들의 컨포멀한 증착
KR102542281B1 (ko) 이종 전구체 상호 작용을 사용한 탄화 실리콘 막의 컨포멀한 증착
KR102615163B1 (ko) 실리콘-함유 전구체 및 탄소-함유 전구체를 사용한 탄화 실리콘 막들의 리모트 플라즈마 기반 증착
TWI837151B (zh) 使用含矽及含碳前驅物的基於遠端電漿之矽碳化物膜沉積
KR20240032126A (ko) 실리콘-함유 막들의 플라즈마 강화 원자 층 증착

Legal Events

Date Code Title Description
A107 Divisional application of patent
A302 Request for accelerated examination
E902 Notification of reason for refusal
AMND Amendment
E601 Decision to refuse application
X091 Application refused [patent]
AMND Amendment
X601 Decision of rejection after re-examination