JP6807775B2 - 成膜方法及びプラズマ処理装置 - Google Patents

成膜方法及びプラズマ処理装置 Download PDF

Info

Publication number
JP6807775B2
JP6807775B2 JP2017036890A JP2017036890A JP6807775B2 JP 6807775 B2 JP6807775 B2 JP 6807775B2 JP 2017036890 A JP2017036890 A JP 2017036890A JP 2017036890 A JP2017036890 A JP 2017036890A JP 6807775 B2 JP6807775 B2 JP 6807775B2
Authority
JP
Japan
Prior art keywords
gas
vapor pressure
film
film forming
forming method
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Active
Application number
JP2017036890A
Other languages
English (en)
Other versions
JP2018142650A (ja
Inventor
中谷 理子
理子 中谷
昌伸 本田
昌伸 本田
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Tokyo Electron Ltd
Original Assignee
Tokyo Electron Ltd
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Tokyo Electron Ltd filed Critical Tokyo Electron Ltd
Priority to JP2017036890A priority Critical patent/JP6807775B2/ja
Priority to TW107105471A priority patent/TWI829630B/zh
Priority to US15/897,467 priority patent/US11699614B2/en
Priority to KR1020180019395A priority patent/KR102454586B1/ko
Priority to CN201810183839.1A priority patent/CN108504996B/zh
Publication of JP2018142650A publication Critical patent/JP2018142650A/ja
Application granted granted Critical
Publication of JP6807775B2 publication Critical patent/JP6807775B2/ja
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Classifications

    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C14/00Coating by vacuum evaporation, by sputtering or by ion implantation of the coating forming material
    • C23C14/22Coating by vacuum evaporation, by sputtering or by ion implantation of the coating forming material characterised by the process of coating
    • C23C14/24Vacuum evaporation
    • C23C14/32Vacuum evaporation by explosion; by evaporation and subsequent ionisation of the vapours, e.g. ion-plating
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/76Making of isolation regions between components
    • H01L21/762Dielectric regions, e.g. EPIC dielectric isolation, LOCOS; Trench refilling techniques, SOI technology, use of channel stoppers
    • H01L21/76224Dielectric regions, e.g. EPIC dielectric isolation, LOCOS; Trench refilling techniques, SOI technology, use of channel stoppers using trench refilling with dielectric materials
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/02274Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition in the presence of a plasma [PECVD]
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C14/00Coating by vacuum evaporation, by sputtering or by ion implantation of the coating forming material
    • C23C14/06Coating by vacuum evaporation, by sputtering or by ion implantation of the coating forming material characterised by the coating material
    • C23C14/12Organic material
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45527Atomic layer deposition [ALD] characterized by the ALD cycle, e.g. different flows or temperatures during half-reactions, unusual pulsing sequence, use of precursor mixtures or auxiliary reactants or activations
    • C23C16/45536Use of plasma, radiation or electromagnetic fields
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/50Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges
    • C23C16/505Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges using radio frequency discharges
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/3244Gas supply means
    • H01J37/32449Gas control, e.g. control of the gas flow
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32798Further details of plasma apparatus not provided for in groups H01J37/3244 - H01J37/32788; special provisions for cleaning or maintenance of the apparatus
    • H01J37/32816Pressure
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02118Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer carbon based polymeric organic or inorganic material, e.g. polyimides, poly cyclobutene or PVC
    • H01L21/0212Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer carbon based polymeric organic or inorganic material, e.g. polyimides, poly cyclobutene or PVC the material being fluoro carbon compounds, e.g.(CFx) n, (CHxFy) n or polytetrafluoroethylene
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02205Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02266Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by physical ablation of a target, e.g. sputtering, reactive sputtering, physical vapour deposition or pulsed laser deposition
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/324Thermal treatment for modifying the properties of semiconductor bodies, e.g. annealing, sintering
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67017Apparatus for fluid treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67098Apparatus for thermal treatment
    • H01L21/67103Apparatus for thermal treatment mainly by conduction
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L21/6831Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using electrostatic chucks
    • H01L21/6833Details of electrostatic chucks
    • HELECTRICITY
    • H05ELECTRIC TECHNIQUES NOT OTHERWISE PROVIDED FOR
    • H05HPLASMA TECHNIQUE; PRODUCTION OF ACCELERATED ELECTRICALLY-CHARGED PARTICLES OR OF NEUTRONS; PRODUCTION OR ACCELERATION OF NEUTRAL MOLECULAR OR ATOMIC BEAMS
    • H05H1/00Generating plasma; Handling plasma
    • H05H1/24Generating plasma
    • H05H1/46Generating plasma using applied electromagnetic fields, e.g. high frequency or microwave energy
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J2237/00Discharge tubes exposing object to beam, e.g. for analysis treatment, etching, imaging
    • H01J2237/32Processing objects by plasma generation
    • H01J2237/33Processing objects by plasma generation characterised by the type of processing
    • H01J2237/332Coating
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02118Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer carbon based polymeric organic or inorganic material, e.g. polyimides, poly cyclobutene or PVC
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/0217Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material being a silicon nitride not containing oxygen, e.g. SixNy or SixByNz

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Chemical & Material Sciences (AREA)
  • Computer Hardware Design (AREA)
  • General Physics & Mathematics (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Manufacturing & Machinery (AREA)
  • Plasma & Fusion (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Analytical Chemistry (AREA)
  • Organic Chemistry (AREA)
  • Materials Engineering (AREA)
  • Mechanical Engineering (AREA)
  • Metallurgy (AREA)
  • General Chemical & Material Sciences (AREA)
  • Electromagnetism (AREA)
  • Spectroscopy & Molecular Physics (AREA)
  • Optics & Photonics (AREA)
  • Chemical Vapour Deposition (AREA)
  • Formation Of Insulating Films (AREA)

Description

本発明は、成膜方法及びプラズマ処理装置に関する。
近年、半導体製造においてデバイスの寸法が微細になり、被処理体に形成されたホールやラインアンドスペース(L/S:Line and Space)の溝部のアスペクト比(A/R:Aspect Ratio)が高くなっている。このような高いアスペクト比の凹部のトップの開口を塞ぐことなく、凹部の内部を所定の膜で埋めることが提案されている(例えば、特許文献1、2を参照)。
米国特許出願公開第2016/0314964号明細書 特表2015−530742号公報 特開2010−153859号公報 特開2004−228581号公報
しかしながら、特にフルオロカーボンのガスから生成されたプラズマにより凹部の内部を成膜する場合、アスペクト比が高くなると凹部のトップの開口が塞がり、凹部の内部に空洞が生じ、凹部の内部を膜で充填させることは困難であった。
上記課題に対して、一側面では、本発明は、被処理体の凹部を所定の堆積物で埋めることを目的とする。
一の態様によれば、チャンバの内部を所定の圧力に保持し、被処理体を載置するステージを−20℃以下の極低温に冷却したステージ上に設置する工程と、前記チャンバの内部に低蒸気圧材料のガスを含むガスを供給する工程と、供給した前記低蒸気圧材料のガスを含むガスからプラズマを生成し、該プラズマによって前記低蒸気圧材料から生成されるプリカーサを被処理体の凹部に堆積させる工程と、を有し、前記低蒸気圧材料のガスは、前記所定の圧力におけるC の温度−蒸気圧曲線が示す温度と同じ温度又はそれ以上の温度にて蒸気圧になるガスであり、前記所定の圧力は50mTorr(6.67Pa)以上から前記低蒸気圧材料のガスの温度−蒸気圧曲線にて示される蒸気圧以下までの範囲である、成膜方法が提供される。
一の側面によれば、被処理体の凹部を所定の堆積物で埋めることができる。
一実施形態に係るプラズマ処理装置の一例を示す図。 一実施形態に係る凹部の開口の閉塞を説明するための図。 一実施形態に係る被処理体のサンプルを説明するための図。 一実施形態に係る成膜方法の実験結果の一例を示す図。 一実施形態に係る成膜方法による膜の時間変化の実験結果の一例を示す図。 一実施形態に係る成膜方法による膜の時間変化の実験結果の一例を示すグラフ。 一実施形態に係る成膜方法による膜の温度依存の実験結果の一例を示す図。 一実施形態に係る成膜方法による膜の圧力依存の実験結果の一例を示す図。 一実施形態に係る成膜方法による膜のガス依存の実験結果の一例を示す図。 蒸気圧曲線を示す図。 一実施形態に係る成膜方法による膜のLF依存の実験結果の一例を示す図。 一実施形態に係る成膜方法による添加ガスによる希釈の実験結果の一例を示す図。 一実施形態に係る成膜方法による膜の装置依存の実験結果の一例を示す図。 一実施形態に係る装置の概略構成を説明するための図。
以下、本発明を実施するための形態について図面を参照して説明する。なお、本明細書及び図面において、実質的に同一の構成については、同一の符号を付することにより重複した説明を省く。
[プラズマ処理装置]
まず、本発明の一実施形態に係るプラズマ処理装置の構成の一例について、図1を参照しながら説明する。図1は、本実施形態に係るプラズマ処理装置の構成の一例を示す。本実施形態では、プラズマ処理装置として誘導結合型プラズマ(ICP:Inductively Coupled Plasma)処理装置5を例に挙げて説明する。
この誘導結合型プラズマ処理装置5は、平面コイル形のRFアンテナを用いるプラズマ処理装置として構成されており、たとえばアルミニウムまたはステンレス鋼等の金属製の円筒型真空チャンバ10を有している。チャンバ10は、保安接地されている。
チャンバ10内の下部中央には、被処理基板としてたとえば半導体ウェハ(以下、「ウェハW」という。)を載置する円板状のステージ12が高周波電極を兼ねる基板保持台として水平に配置されている。このステージ12は、たとえばアルミニウムからなり、チャンバ10の底から垂直上方に延びる絶縁性筒状支持部14に支持されている。
絶縁性筒状支持部14の外周に沿ってチャンバ10の底から垂直上方に延びる導電性筒状支持部16とチャンバ10の内壁との間に環状の排気路18が形成されている。排気路18の上部または入口に環状のバッフル板20が取り付けられ、底部に排気ポート22が設けられている。チャンバ10内のガスの流れをステージ12上のウェハWに対して軸対象に均一にするためには、排気ポート22を円周方向に等間隔で複数設ける構成が好ましい。
各排気ポート22には排気管24を介して排気装置26が接続されている。排気装置26は、ターボ分子ポンプなどの真空ポンプを有しており、チャンバ10内のプラズマ処理空間を所望の真空度まで減圧することができる。チャンバ10の側壁の外には、ウェハWの搬入出口27を開閉するゲートバルブ28が取り付けられている。
ステージ12には、第2の高周波電源30が整合器32および給電棒34を介して電気的に接続されている。この第2の高周波電源30は、ウェハWに引き込むイオンのエネルギーを制御するために適した一定周波数(例えば400kHz)のバイアス引き込み用の高周波電力LFを可変のパワーで出力できるようになっている。整合器32は、第2の高周波電源30側のインピーダンスと負荷(主にステージ、プラズマ、チャンバ)側のインピーダンスの間で整合をとるためのリアクタンス可変の整合回路を収容している。その整合回路の中に自己バイアス生成用のブロッキングコンデンサが含まれている。また、整合器32と給電棒34の間には、プラズマ生成中に第2の高周波電源30からステージ12にバイアス引き込み用の高周波電力を印加した場合に、実際にステージ12に印加される電圧Vpp(実際に印加される電圧の正弦波の振幅:peak-to-peak)、すなわち、ウェハWに引き込むイオンエネルギーを測定するための電圧測定部(図示せず)を有する。
ステージ12の上面には、ウェハWを静電吸着力で保持するための静電チャック36が設けられ、静電チャック36の外周側にはウェハWの周囲を環状に囲むフォーカスリング38が設けられている。静電チャック36は導電膜からなる電極36aを一対の絶縁膜36b,36cの間に挟み込んだものであり、電極36aには高圧の直流電源40がスイッチ42および被覆線43を介して電気的に接続されている。直流電源40から供給される直流電流により、静電力でウェハWを静電チャック36上に吸着保持することができる。
ステージ12の内部には、たとえば円周方向に延びる環状の冷媒室または冷媒流路44が設けられている。この冷媒流路44には、チラーユニットより配管46,48を介して所定温度の冷媒たとえば冷却水cwが循環供給される。冷媒の温度によって静電チャック36上のウェハWの処理中の温度を制御できる。これと関連して、伝熱ガス供給部からの伝熱ガスたとえばHeガスが、ガス供給管50を介して静電チャック36の上面とウェハWの裏面との間に供給される。また、ウェハWのローディング/アンローディングのためにステージ12を垂直方向に貫通して上下移動可能なリフトピンおよびその昇降機構等も設けられている。
次に、この誘導結合型プラズマ処理装置5においてプラズマ生成に関係する各部の構成を説明する。チャンバ10の天井には、ステージ12から比較的大きな距離間隔を隔てて、たとえば石英板からなる円形の誘電体窓52が気密に取り付けられている。この誘電体窓52の上には、チャンバ10またはステージ12と同軸に、コイル状のRFアンテナ54が水平に配置されている。このRFアンテナ54は、好ましくは、たとえばスパイラルコイルまたは各一周内で半径一定の同心円コイルの形態を有しており、絶縁体からなるアンテナ固定部材によって誘電体窓52の上に固定されている。
RFアンテナ54の一端には、第1の高周波電源56の出力端子が整合器58および給電線60を介して電気的に接続されている。RFアンテナ54の他端は、アース線を介して電気的にグランド電位に接続されている。
第1の高周波電源56は、高周波放電によるプラズマの生成に適した周波数(例えば27MHz以上)のプラズマ生成用の高周波HFを可変のパワーで出力できるようになっている。整合器58は、第1の高周波電源56側のインピーダンスと負荷(主にRFアンテナ、プラズマ、補正コイル)側のインピーダンスとの間で整合をとるためのリアクタンス可変の整合回路を収容している。
チャンバ10内の処理空間に所定のガスを供給するためのガス供給部は、誘電体窓52より幾らか低い位置でチャンバ10の側壁の中(または外)に設けられる環状のマニホールドまたはバッファ部62と、円周方向に等間隔でバッファ部62からプラズマ生成空間Sに臨む多数の側壁ガス吐出孔64と、ガス供給源66からバッファ部62まで延びるガス供給管68とを有している。ガス供給源66は、流量制御器および開閉弁を含んでいる。
制御部74は、たとえばマイクロコンピュータを含み、この誘導結合型プラズマ処理装置5内の各部たとえば排気装置26、第2の高周波電源30,第1の高周波電源56、整合器32,整合器58、静電チャック用のスイッチ42、ガス供給源66、チラーユニット、伝熱ガス供給部等の個々の動作および装置全体の動作を制御する。
この誘導結合型プラズマ処理装置5において、成膜を行うには、先ずゲートバルブ28を開状態にして加工対象のウェハWをチャンバ10内に搬入して、静電チャック36の上に載置する。そして、ゲートバルブ28を閉めてから、ガス供給源66よりガス供給管68、バッファ部62および側壁ガス吐出孔64を介して所定のガスを所定の流量および流量比でチャンバ10内に導入し、排気装置26によりチャンバ10内の圧力を設定値にする。さらに、第1の高周波電源56をオンにしてプラズマ生成用の高周波HFを所定のRFパワーで出力させ、整合器58,給電線60を介してRFアンテナ54に高周波HFの電力を供給する。
一方、イオン引き込み制御用の高周波LFのパワーを印加する場合には、第2の高周波電源30をオンにして高周波電力LFを出力させ、この高周波LFのパワーを整合器32および給電棒34を介してステージ12に印加する。イオン引き込み制御用の高周波LFのパワーを印加しない条件の場合には、高周波のパワーを0Wにする。また、伝熱ガス供給部より静電チャック36とウェハWとの間の接触界面に伝熱ガスを供給するとともに、スイッチ42をオンにして静電チャック36の静電吸着力により伝熱ガスを上記接触界面に閉じ込める。
側壁ガス吐出孔64より吐出された所定のガスは、誘電体窓52の下の処理空間に均一に拡散する。RFアンテナ54を流れる高周波HFの電流によって、磁力線が誘電体窓52を貫通してチャンバ内のプラズマ生成空間Sを通過するようなRF磁界がRFアンテナ54の周りに発生し、このRF磁界の時間的な変化によって処理空間の方位角方向にRF誘導電界が発生する。そして、この誘導電界によって方位角方向に加速された電子が、供給されたガスの分子や原子と電離衝突を起こし、ドーナツ状のプラズマが生成される。このドーナツ状プラズマのラジカルやイオンは広い処理空間で四方に拡散し、ラジカルは等方向に降り注ぐようにして、イオンは直流バイアスに引っぱられるようにして、ウェハWの上面(被処理面)に供給される。こうしてウェハWの被処理面にプラズマの活性種が化学反応と物理反応をもたらし、被加工膜が所望のパターンにエッチングされる。
この誘導結合型プラズマ処理装置5は、上記のようにRFアンテナ54に近接する誘電体窓52の下で誘導結合のプラズマをドーナツ状に生成し、このドーナツ状のプラズマを広い処理空間内で分散させて、ステージ12近傍(つまりウェハW上)でプラズマの密度を平均化するようにしている。ここで、ドーナツ状プラズマの密度は、誘導電界の強度に依存し、ひいてはRFアンテナ54に供給される高周波HFのパワー(より正確にはRFアンテナ54を流れる電流)の大きさに依存する。すなわち、高周波HFのパワーを高くするほど、ドーナツ状プラズマの密度が高くなり、プラズマの拡散を通じてステージ12近傍でのプラズマの密度は全体的に高くなる。一方で、ドーナツ状プラズマが四方(特に径方向)に拡散する形態は主にチャンバ10内の圧力に依存し、圧力を低くするほど、チャンバ10の中心部にプラズマが多く集まって、ステージ12近傍のプラズマ密度分布が中心部で盛り上がる傾向がある。また、RFアンテナ54に供給される高周波HFのパワーやチャンバ10内に導入される処理ガスの流量等に応じてドーナツ状プラズマ内のプラズマ密度分布が変わることもある。
ここで「ドーナツ状のプラズマ」とは、チャンバ10の径方向内側(中心部)にプラズマが立たず径方向外側にのみプラズマが立つような厳密にリング状のプラズマに限定されず、むしろチャンバ10の径方向内側より径方向外側のプラズマの体積または密度が大きいことを意味する。また、処理ガスに用いるガスの種類やチャンバ10内の圧力の値等の条件によっては、ここで云う「ドーナツ状のプラズマ」にならない場合もある。
制御部74は、図示しないCPU,ROM(Read Only Memory)、RAM(Random Access Memory)を有し、RAMなどに記憶されたレシピに設定された手順に従い、本実施形態に係る誘導結合型プラズマ処理装置5の各部を制御し、これにより、本実施形態に係る成膜方法を制御する。
[ボイドの発生]
近年、半導体のデバイス寸法が微細になり、ウェハWに形成されたホールやラインアンドスペース(L/S)の溝部が高アスペクト比(A/R)になっている。アスペクト比が高くなる程、図2(a)に示すように、レジスト9のパターンにパターン化されたエッチング対象膜8の凹部を所定の膜で埋めることが難しくなる。つまり、図2(b)に示すように、エッチング対象膜8に形成された凹部の底部だけでなく、凹部のトップの開口に堆積物Rが堆積し、図2(c)に示すように、堆積物Rで開口が塞がって、所謂「ボイドV」といわれる空間が凹部に発生し、凹部を膜で充填することが困難になる。
そこで、以下に説明する本実施形態に係る誘導結合型プラズマ処理装置5及び該装置にて実行される成膜方法では、複数の実験結果から成膜条件を適正化し、これにより、高いアスペクト比の凹部において、トップの開口を塞がずにその内部を所定の膜で埋めることができるようにする。
[サンプル]
図3に、本実施形態に係る成膜方法を実行するために使用される被処理体のサンプル例を示す。使用したサンプル例のうち、(a)「SiN L&S」のサンプルでは、疎密のあるパターン化されたSiN膜1がウェハW上に形成されている。SiN膜1にパターン化された凹部のアスペクト比は一律ではなく、アスペクト比が3〜5の凹部、アスペクト比が12の凹部、アスペクト比がそれ以外の値の凹部及び平面部Ftを有する。
(b)「High A/R」のサンプルでは、アスペクト比が18の凹部が形成されたSiN膜1がウェハW上に形成されている。(c)「Organic L&S」のサンプルでは、アスペクト比が2のラインアンドスペースが形成されている。「Organic L&S」のサンプルでは、下地膜はSiO膜2であり、その上に有機膜3及びSi−ARC(Anti Reflective Coating:反射防止膜)4が積層されている。「Organic L&S」のサンプルに形成された凹部のアスペクト比は2である。よって、本実施形態に係る成膜方法では、アスペクト比が2以上の各サンプルの凹部に対して成膜が行われる。
[実験1:成膜]
次に、本実施形態に係る成膜方法を、誘導結合型プラズマ処理装置5にて実行した場合の実験1の結果について、図4を参照して説明する。実験1の成膜条件1は以下である。
<成膜条件1>
チャンバ内圧力:100mT(13.3Pa)
ガス種:C 300sccm
ステージ温度:−50℃
成膜時間:5sec
高周波HFのパワー:300W
高周波LFのパワー:0W
この実験の結果によれば、(a)「SiN L&S」、(b)「High A/R」、(c)「Organic L&S」のいずれのサンプルの場合においても、Cガスから生成されたプラズマ中のプリカーサがサンプル上の凹部に堆積し、ボトムアップで成膜されるため、ボイドは発生していない。以下、本実施形態に係る成膜方法により成膜された膜(堆積物R)を、「流動性有機膜R」ともいう。つまり、本実験1によれば、図4(a)及び図4(b)に示すSiN膜1の場合であっても、図4(c)に示す有機膜3の場合であっても凹部を流動性有機膜Rで埋めることができることがわかる。
[実験2:膜の時間変化]
次に、「SiN L&S」のサンプルを用いて、流動性有機膜Rの成膜時間に応じた膜の変化の一例について、図5を参照して説明する。実験2の成膜条件2は以下である。
<成膜条件2>
チャンバ内圧力:50mT(6.65Pa)
ガス種:C 300sccm
ステージ温度:−50℃
高周波HFのパワー:300W
高周波LFのパワー:0W
この実験の結果を示す図5のうち、図5(a)は、成膜時間が2秒のときの成膜状態を示す。図5(a)の下図では、アスペクト比が12の凹部Fは流動性有機膜Rで充填されていることがわかる。
図5(b)は、成膜時間が4秒のときの流動性有機膜Rの状態を示す。図5(b)では、凹部B,Dには流動性有機膜Rが堆積しておらず、凹部B,Dよりも凹部A,C,Eのホールに、さらに流動性有機膜Rがより多く堆積していることがわかる。凹部Gにも流動性有機膜Rが堆積していることがわかる。なお、この時点で、平面部Hには、流動性有機膜Rはほとんど堆積していない。
図5(c)は、成膜時間が7秒のときの流動性有機膜Rの状態を示す。図5(c)では、平面部Hにおいても、流動性有機膜Rの堆積が見られる。図5(d)は、成膜時間が10秒のときの流動性有機膜Rの状態を示す。図5(d)では、凹部A〜Gは流動性有機膜Rにより概ね充填され、平面部Hにおいても更に多くの流動性有機膜Rが堆積している。図5(e)は、成膜時間が30秒のときの流動性有機膜Rの状態を示す。図5(e)では、すべての凹部及び平面部Hが流動性有機膜Rにより充填されている。
以上から、本実施形態に係る成膜方法によれば、Cガスから生成されたプラズマ中のプリカーサの堆積により凹部を流動性有機膜Rで埋める。このとき、流動性有機膜Rは、凹部の底部からボトムアップで成長し、下から順に成膜されることがわかる。これにより、本実施形態に係る成膜方法では、SiN膜1のトップの開口に堆積物が堆積し難いため、ボイドの発生を回避しながら、凹部を内部を流動性有機膜Rによって充填することができる。
また、本実施形態に係る成膜方法によれば、凹部の幅が細いホールから先に流動性有機膜Rが充填されることがわかる。図5に示すように、成膜時間が短いと凹部の幅が細いホールから順に流動性有機膜Rが充填され、完全に埋まっているホールと完全には埋まっていないホールがあり、均一性が悪い。しかし、成膜時間が長くなると凹部の幅が太いホールにも流動性有機膜Rが充填され、成膜時間が30秒程度になるとすべてのホールが流動性有機膜Rによって埋まることがわかる。
また、凹部のアスペクト比が高くなるほど成膜速度が速くなることがわかる。さらに、ウェハWの凹部A〜Gにおける成膜速度は、ウェハWの平面部Hにおける成膜速度よりも速いことがわかった。
図6は、図5の実験結果をグラフに示したものである。グラフの横軸は成膜時間(秒)、縦軸は堆積物の厚さ(nm)である。曲線Jは、図6の左側に示すアスペクト比が12の細穴の膜厚の時間変化を示す。曲線Kは、アスペクト比が4.3の中間穴の膜厚の時間変化を示す。曲線Lは、アスペクト比が3.6の太穴の膜厚の時間変化を示す。曲線Mは、マスクとして機能するSiN膜1のトップ(上部)に堆積する堆積物の膜厚の時間変化を示す。曲線Nは、平面部(Open Area)に堆積する堆積物の膜厚の時間変化を示す。
図6の左側の断面図に示すように、SiN膜1の底部の高さを0nmとしたとき、SiN膜1のトップの高さは110nmである。このため、曲線Mが110nmの厚さを示す時間帯では、SiN膜1のトップに堆積物は堆積していない状態である。グラフを見ると、曲線J→曲線K→曲線Lの順に曲線が立ち上がる。つまり、曲線Jが示す細穴→曲線Kが示す中間穴→曲線Lが示す太穴の順に流動性有機膜Rが内部に充填されることがわかる。
また、曲線Mが示すSiN膜1のトップ(マスク上)の堆積物の厚さから、細穴、中間穴、太穴が充填された後、流動性有機膜RがSiN膜1のトップに堆積することがわかる。また、曲線Nが示す平面部は、細穴、中間穴及び太穴のすべてが流動性有機膜Rにて充填される成膜時間が10秒よりも前に流動性有機膜Rが堆積し始めるが、平面部の成膜速度は、SiN膜1のトップの成膜速度と概ね等しい。
[実験3:温度依存]
次に、本実施形態に係る成膜方法による膜の温度依存について、図7を参照して説明する。図7は、「SiN L&S」のサンプルを用いた場合の本実施形態に係る成膜方法による膜の温度依存の結果の一例を示す。実験3の成膜条件3は以下である。
<成膜条件3>
チャンバ内圧力:50mT(6.65Pa)又は100mT(13.3Pa)
ガス種:Cのとき125sccm又は300sccm
IPA(イソプロピルアルコール:CO)のとき75sccm
ステージ温度:−10℃、−20℃、−30℃、−40℃、−50℃
高周波HFのパワー:300W
高周波LFのパワー:0W
具体的には、図7(a)は、チャンバ内を100mTの圧力に維持し、Cガスを300sccm供給したときの各温度における膜の状態を示す。これによれば、−10℃及び−20℃の場合にボイドVが発生し、ボトムアップの成膜は行われておらず、図2に示すような等方向の成膜が行われていることがわかる。一方、−30℃及び−50℃の場合には、凹部の底部から積み上げるように成膜されるため、ボイドVは発生せず、凹部の内部が流動性有機膜Rで埋められることがわかる。
図7(b)は、チャンバ内を50mTの圧力に維持し、IPA(イソプロピルアルコール)ガスを75sccm供給したときの各温度における膜の状態を示す。IPAは、第2級アルコールの1種である。これによれば、−10℃及び−30℃の場合にボイドVが発生し、等方向の成膜が行われていることがわかる。一方、−40℃及び−50℃の場合には、ボイドVは発生せず、凹部は流動性有機膜Rで埋められていることがわかる。なお、−20℃の場合の結果は得られていない。
図7(c)は、チャンバ内を50mTの圧力に維持し、Cガスを300sccm供給したときの各温度における膜の状態を示す。これによれば、−10℃及の場合にボイドVが発生し、等方向の成膜が行われていることがわかる。一方、−20℃、−30℃及び−50℃の場合には、ボイドVは発生せず、凹部は流動性有機膜Rで埋められていることがわかる。なお、−40℃の場合の結果は得られていない。
図7(d)は、チャンバ内を50mTの圧力に維持し、Cガスを125sccm供給したときの各温度における膜の状態を示す。これによれば、−10℃及び−20℃の場合にボイドVが発生し、等方向の成膜が行われていることがわかる。一方、−30℃の場合には、ボイドVは発生せず、凹部は流動性有機膜Rで埋められていることがわかる。なお、−40℃及び−50℃の場合の結果は得られていない。
以上から、ガス種、圧力及びガス流量によって、凹部を流動性有機膜Rにより埋めることが可能な温度が異なることがわかる。少なくともチャンバ内を50mの圧力に維持し、Cガスを300sccm供給したときには、ステージ12を−20℃以下の極低温にて凹部を流動性有機膜Rにより埋めることができる。
[実験4:圧力依存]
次に、本実施形態に係る成膜方法による膜の圧力依存について、図8を参照して説明する。図8は、「SiN L&S」のサンプルを用いた場合の本実施形態に係る成膜方法による膜の圧力依存の結果の一例を示す。実験4の成膜条件4は以下である。
<成膜条件4>
チャンバ内圧力:10mT(1.33Pa)、30mT(4.00Pa)、50mT、100mT
ガス種:C
ガス流量:300sccm
ステージ温度:−50℃
高周波HFのパワー:300W
高周波LFのパワー:0W
本実験の結果によれば、ステージ12を−50℃以下の極低温に保持した状態であって、Cガスを供給した場合、図8(a)及び(b)に示すように、成膜時間が10secであり、チャンバ内圧力が10mTの場合及び30mTの場合にはボイドが発生した。一方、圧力の条件のみを変化させた図8(c)及び(d)では、成膜時間が10secであり、チャンバ内圧力が50mTの場合及び成膜時間が5secであり、チャンバ内圧力が100mTの場合のいずれにおいてもボイドは発生せず、凹部を流動性有機膜Rにより埋めることができた。以上から、ステージ12を−50℃以下の極低温に保持し、かつ、Cガスを供給した場合には、チャンバ内圧力を50mT以上にすれば、ボトムアップの成膜が行われ、凹部を流動性有機膜Rにより埋めることができることがわかる。
[実験5:ガス依存]
次に、本実施形態に係る成膜方法による膜のガス依存について、図9を参照して説明する。図9は、「SiN L&S」のサンプルを用いた場合の本実施形態に係る成膜方法による膜のガス依存の結果の一例を示す。実験5の成膜条件5は以下である。
<成膜条件5>
チャンバ内圧力:50mT(C、IPA、CH、C)、100mT(C、CHF、CF、C
ガス種:C、IPA(CO)、CH、CHF、CF、C
ステージ温度:−50℃、−40℃、−30℃、−20℃、−10℃
高周波HFのパワー:300W
高周波LFのパワー:0W
本実験の結果によれば、Cガス、IPA(C8O)ガス、Cガスは、ボイドVが発生せず、凹部を流動性有機膜Rにより埋めることができた。Cガスを使用したとき、ステージの温度を−20℃以下にすることで、凹部の底部から堆積するボトムアップの流動性有機膜Rの成膜が可能となる。IPA(C8O)ガスを使用したとき、ステージの温度を−40℃以下にすることで、ボトムアップの流動性有機膜Rの成膜が可能となる。Cガスを使用したとき、ステージの温度を−30℃以下にすることで、ボトムアップの流動性有機膜Rの成膜が可能となる。
一方、CHガス、CHFガスは、ボイドVが発生してしまい、凹部を流動性有機膜Rにより埋めることはできなかった。CHガス及びCHFガスを使用したとき、ステージの温度を−50℃にしても、ボトムアップの流動性有機膜Rの堆積は行われなかった。また、CFガスを使用したとき、ステージの温度を−50℃にしても、堆積物がなく、成膜されなかった。
(低蒸気圧材料)
図10に蒸気圧曲線を示す。凹部の底部から堆積するボトムアップの流動性有機膜Rの成膜ができなかったCHガス、CHFガス、CFガスは、Cガスの蒸気圧曲線よりも低い温度にて蒸気圧になるガスである。これに対して、ボトムアップの流動性有機膜Rの成膜に成功したC、C、イソプロピルアルコール(IPA)は、Cガスの蒸気圧曲線が示す温度と同じ温度又はそれ以上の温度にて蒸気圧になる、所謂、「低蒸気圧材料のガス」である。
実験1〜5の結果から、本実施形態に係る成膜方法は、チャンバ10の内部を所定の圧力に保持した状態でウェハWを−20℃以下の極低温に冷却したステージ上に設置する工程と、チャンバ10の内部に低蒸気圧材料のガスを含むガスを供給する工程とを含む。また、本実施形態に係る成膜方法は、供給した前記低蒸気圧材料のガスを含むガスからプラズマを生成し、該プラズマによって前記低蒸気圧材料から生成されるプリカーサによりウェハWの上に成膜する工程を含む。これによれば、凹部の底部から堆積するボトムアップの流動性有機膜Rの成膜が可能となる。このとき、チャンバ10の内部の圧力は、50mT(6.67Pa)以上であり、かつ、低蒸気圧材料のガスの蒸気圧曲線にて示される蒸気圧以下であることが好ましい。
また、「低蒸気圧材料のガス」は、炭素含有ガスであってもよい。、炭素含有ガスとは、具体的には、C、C、イソプロピルアルコール(IPA)のいずれかであってもよい。これにより、本実施形態に係る成膜方法によれば、低蒸気圧材料から生成されるプリカーサをウェハWに形成された凹部の底部から積み上げ、ウェハW上に流動性有機膜を成膜することができる。
[実験6:LF依存]
次に、本実施形態に係る成膜方法において、バイアス引き込み用の高周波LFのパワーの印加の有無による膜の状態の変化を示す実験6の結果について、図11を参照しながら説明する。図11は、本実施形態に係る成膜方法による膜のLF依存の結果の一例を示す。実験6の成膜条件は以下である。
<成膜条件
チャンバ内圧力:100mT
ガス種:C
ステージ温度:−50℃
高周波HFのパワー:300W
高周波LFのパワー(400kHz):0W(Vpp=0V)、40W(Vpp=1000V)、100W(Vpp=1400V)
本実験の結果によれば、図11(a)に示す高周波LFのパワーが0Wの場合、ステージ12に印加される電圧Vppは0V、すなわちイオンエネルギーは0Vである。このとき、凹部にボトムアップで流動性有機膜Rが成膜されていることがわかる。つまり、高周波LFの電力を印加しない場合、凹部を流動性有機膜Rにより埋めることができる。
次に、図11(b)に示す高周波LFのパワーが40Wの場合、ステージ12に印加される電圧Vppは1000V、すなわちイオンエネルギーは1000Vである。このとき、凹部にボトムアップで流動性有機膜Rが成膜されていることがわかる。
一方、図11(c)に示す高周波LFのパワーが100Wの場合、ステージ12に印加される電圧Vppは1400V、すなわちイオンエネルギーは1400Vであるが、ボイドVが発生し、凹部がボトムアップで流動性有機膜Rにより埋められていないことがわかる。
以上から、本実施形態に係る成膜方法では、チャンバ10の内部にプラズマ生成用の高周波HFのパワーを印加し、かつ、イオンエネルギーが1000Vより高くなるようなバイアス引き込み用の高周波電力を印加すると、プラズマ中のイオンの作用によりSiN膜1のトップにも等方向に成膜され、ボイドVが発生する可能性があることがわかる。よって、チャンバ10の内部にプラズマ生成用の高周波HFのパワーを印加し、かつ、バイアス引き込み用の高周波LFのパワーは印加しない、又は、イオンエネルギーが1000V以下になるような高周波LFのパワーを印加することが好ましい。
[実験7:希釈ガスの添加]
次に、本実施形態に係る成膜方法にて使用する低蒸気圧材料のガスに希釈ガスを添加した実験7の結果について、図12を参照しながら説明する。図12は、本実施形態に係る成膜方法にて使用する低蒸気圧材料のガスに、希釈ガスとしてArガスを添加した結果の一例を示す。本実験では、希釈ガスとしてArガスが使用されるが、これに限らない。例えば、希釈ガスとしては、Arガスの他、Heガス、Neガス又はXeガスのいずれかの不活性ガスを添加してもよい。
図12に示す実験7では、CガスをArガスにて希釈し、チャンバ10の内部に供給する。図12(a)〜(d)のそれぞれの希釈度は、1%、10%、50%、100%である。希釈度が1%の場合、ArガスとC ガスとの総流量に対するCガスの流量の割合は1%となる。希釈度が100%の場合、ArガスとC ガスとの総流量に対するCガスの流量の割合は100%となり、この場合、低蒸気圧材料のガス(ここでは、Cガス)にArガスは含まれない。希釈度が1%の場合の成膜時間は300sec、希釈度が10%の場合の成膜時間は30sec、希釈度が50%の場合の成膜時間は120sec、希釈度が100%の場合の成膜時間は5secである。その他の実験7の成膜条件7は以下である。
<成膜条件7>
チャンバ内圧力:100mT
ガス種:C
希釈ガス:Ar
ステージ温度:−50℃
高周波HFのパワー:300W
高周波LFのパワー:0W
この実験では、「SiN L&S」のサンプル及び「Organic L&S」のサンプルを用いて実験を行った。これによれば、いずれのサンプルにおいても、ステージ温度が−50℃の極低温の場合、希釈度によっては、マスクのトップが閉塞し、ボイドVが発生することがわかる。具体的には、希釈度が1%及び10%の場合、等方向の成膜が行われ、ボイドVが発生している。一方、希釈度が50%、100%の場合、凹部の底部から流動性有機膜Rを積み上げるボトムアップの成膜が行われ、ボイドは発生していない。これによれば、希釈度が50%以下になると、Arガスの割合が増えてイオンによる影響が増すため、LF依存と同様にボトムアップの成膜を行うことができないことがわかる。
以上から、低蒸気圧材料のガスを含むガスは不活性ガスを含んでもよく、その場合、不活性ガスの流量に対する低蒸気圧材料のガスの流量の割合は、50%以上にすることが好ましいことがわかる。
[実験8:装置依存]
最後に、本実施形態に係る成膜方法を行うプラズマ処理装置の違いによる膜の状態の変化を示す実験8の結果について、図13及び図14を参照しながら説明する。図13は、本実施形態に係る成膜方法による膜の装置依存の結果の一例を示す。図14は、本実施形態に係る装置の概略構成を説明するための図である。実験8の各装置における成膜条件8−1,8−2,8−3は以下である。
<成膜条件8−1:図13(a)、(c)>
使用装置:ICP装置(誘導結合型プラズマ:図1参照)
チャンバ内圧力:50mT、100mT
ガス種:C300sccm
ステージ温度:−50℃
高周波HFのパワー:300W
高周波LFのパワー:0W
成膜時間:10sec、5sec
<成膜条件8−2:図13(b)>
使用装置:下部2周波CCP装置(容量結合型プラズマ:図14(a))
チャンバ内圧力:50mT
ガス種:C80sccm
ステージ温度:−50℃
高周波HFのパワー(100MHz):300W
高周波LFのパワー:0W
成膜時間:20sec
<成膜条件8−3:図13(d)>
使用装置:上下部2周波CCP装置(容量結合型プラズマ:図14(b))
チャンバ内圧力:100mT
ガス種:C150sccm
ステージ温度:−50℃
高周波HFのパワー(60MHz):300W
高周波LFのパワー:0W
成膜時間:20sec
各装置の構成について簡単に説明する。下部2周波CCP装置は、図14(a)に示すように、チャンバ110の内部にステージ120が設けられている。ステージ120の上面には、ウェハWを静電吸着力で保持するための静電チャック121が設けられ、静電チャック121の半径方向外側にウェハWの周囲を環状に囲むフォーカスリング122が設けられている。
チャンバ10の内壁とステージ120の側壁の間には、環状の排気路が形成され、この排気路の上部または入口に環状のバッフル板130が取り付けられている。ステージ120には、第1の高周波電源140と第2の高周波電源150が接続されている。第1の高周波電源140は、例えば100MHzのプラズマ生成用の高周波HFのパワーを印加する。第2の高周波電源150は、例えば400kHzのバイアス引き込み用の高周波LFのパワーを印加する。ステージ120と対向するチャンバ110の天井部は、上部電極160として機能する。
図14(b)に示す上下部2周波CCP装置は、図14(a)に示す下部2周波CCP装置とほぼ同一構成を有し、第1の高周波電源140の配置のみ異なる。つまり、上下部2周波CCP装置では、第1の高周波電源140は、上部電極160に接続されている。第1の高周波電源140は、例えば60MHzのプラズマ生成用の高周波HFのパワーを印加する。
図13に戻り、この実験の結果によれば、図13(a)及び(c)に示すように、ICP装置を使用した場合、チャンバ内圧力を50mT及び100mTのいずれに設定した場合においても、ボトムアップで凹部に流動性有機膜Rが成膜されることがわかる。また、図13(d)に示すように、上下部2周波CCP装置を使用した場合、ボトムアップで凹部に流動性有機膜Rが成膜されることがわかる。
一方、図13(b)に示すように、下部2周波CCP装置を使用した場合、ボイドVが発生し、凹部が流動性有機膜Rにより埋まっていないことがわかる。これは、下部2周波CCP装置を使用した場合、高周波HFのパワーをステージ120側に印加しているため、ステージ120側のウェハW近くにプラズマが生成される。このため、ウェハWに対してプラズマ中のイオンの作用(バイアス)が加わり易くなる。これにより、プラズマ中のイオンの作用によりSiN膜1のトップにも等方向に成膜され、ボイドVが発生し、凹部が流動性有機膜Rにより埋まらなかったと考えられる。
これに対して、ICP装置及び上下部2周波CCP装置を使用した場合、上部電極160側にプラズマが生成される。これにより、下部2周波CCP装置よりも、ウェハWに対してプラズマ中のイオンの作用(バイアス)が加わり難くなっているため、ボイドVが発生せず、ボトムアップで凹部に流動性有機膜Rが成膜されたと考えられる。
なお、本実施形態に係る成膜方法を実行するプラズマ処理装置は、誘導結合型プラズマ処理装置(ICP装置)及びプラズマ生成用の高周波電力を上部電極側に印加する容量結合型プラズマ処理装置(上下部2周波CCP装置)に限らず、マイクロ波プラズマ処理装置及びリモートプラズマ装置のいずれかであってもよい。
以上に説明したように、本実施形態に係る成膜方法によれば、成膜条件を適正化することにより、被処理体の凹部を所定の堆積物で埋めることができる。
以上、成膜方法及びプラズマ処理装置を上記実施形態により説明したが、本発明にかかる成膜方法及びプラズマ処理装置は上記実施形態に限定されるものではなく、本発明の範囲内で種々の変形及び改良が可能である。上記複数の実施形態に記載された事項は、矛盾しない範囲で組み合わせることができる。
例えば本明細書では、被処理体の一例としてウェハWを挙げて説明したが、被処理体はこれに限らず、LCD(Liquid Crystal Display)、FPD(Flat Panel Display)に用いられる各種基板や、フォトマスク、CD基板、プリント基板であっても良い。
5:誘導結合型プラズマ処理装置
10:チャンバ
12:ステージ
20:バッフル板
26:排気装置
30:第2の高周波電源
36:静電チャック
40:直流電源
44:冷媒室
52:誘電体窓
54:RFアンテナ
56:第1の高周波電源
64:側壁ガス吐出孔
66:ガス供給源
74:制御部

Claims (12)

  1. チャンバの内部を所定の圧力に保持し、被処理体を載置するステージを−20℃以下の極低温に冷却したステージ上に設置する工程と、
    前記チャンバの内部に低蒸気圧材料のガスを含むガスを供給する工程と、
    供給した前記低蒸気圧材料のガスを含むガスからプラズマを生成し、該プラズマによって前記低蒸気圧材料から生成されるプリカーサを被処理体の凹部に堆積させる工程と、
    を有し、
    前記低蒸気圧材料のガスは、前記所定の圧力におけるC の温度−蒸気圧曲線が示す温度と同じ温度又はそれ以上の温度にて蒸気圧になるガスであり、
    前記所定の圧力は50mTorr(6.67Pa)以上から前記低蒸気圧材料のガスの温度−蒸気圧曲線にて示される蒸気圧以下までの範囲である、成膜方法。
  2. 前記低蒸気圧材料のガスは、炭素含有ガスである、
    請求項に記載の成膜方法。
  3. 前記低蒸気圧材料のガスは、C、C、イソプロピルアルコール(IPA)のいずれかである、
    請求項1又は2に記載の成膜方法。
  4. 前記成膜する工程は、前記低蒸気圧材料から生成されるプリカーサを被処理体に形成された凹部の底部から堆積させ、被処理体上に流動性膜を成膜する、
    請求項1〜のいずれか一項に記載の成膜方法。
  5. 前記凹部のアスペクト比は、2以上である、
    請求項に記載の成膜方法。
  6. 被処理体に形成された凹部のアスペクト比が高くなるほど、前記プリカーサの堆積により成膜する成膜速度が速くなる、
    請求項1〜のいずれか一項に記載の成膜方法。
  7. 前記凹部の上部に堆積する流動性膜の成膜は、前記凹部の内部への流動性膜の充填が完了してから始まる、
    請求項1〜のいずれか一項に記載の成膜方法。
  8. 被処理体に形成された凹部における成膜速度は、被処理体の平面部における成膜速度よりも速い、
    請求項1〜のいずれか一項に記載の成膜方法。
  9. 前記チャンバの内部にプラズマ生成用の高周波電力を印加し、かつ、イオンエネルギーが0V以上1000V以下になるようにバイアス引き込み用の高周波電力を印加する工程を有する、
    請求項1〜のいずれか一項に記載の成膜方法。
  10. 前記低蒸気圧材料のガスを含むガスは、不活性ガスを含み、
    前記不活性ガスと前記低蒸気圧材料のガスとの総流量に対する前記低蒸気圧材料のガスの流量の割合は、50%以下である、
    請求項1〜のいずれか一項に記載の成膜方法。
  11. 前記成膜方法は、誘導結合型プラズマ処理装置、プラズマ生成用の高周波電力を上部電極側に印加する容量結合型プラズマ処理装置、マイクロ波プラズマ処理装置及びリモートプラズマ装置のいずれかにより実行される、
    請求項1〜10のいずれか一項に記載の成膜方法。
  12. 被処理体を載置するステージと、ガスを供給するガス供給部と、制御部とを有するプラズマ処理装置であって、
    前記制御部は、
    チャンバの内部を所定の圧力に保持し、−20℃以下の極低温に冷却した前記ステージの上に被処理体を設置する工程と、
    前記ガス供給部から前記チャンバの内部に低蒸気圧材料のガスを含むガスを供給する工程と、
    供給した前記低蒸気圧材料のガスを含むガスからプラズマを生成し、該プラズマによって前記低蒸気圧材料から生成されるプリカーサを被処理体の凹部に堆積させる工程と、を制御し、
    前記低蒸気圧材料のガスは、前記所定の圧力におけるC の温度−蒸気圧曲線が示す温度と同じ温度又はそれ以上の温度にて蒸気圧になるガスであり、
    前記所定の圧力は50mTorr(6.67Pa)以上から前記低蒸気圧材料のガスの温度−蒸気圧曲線にて示される蒸気圧以下までの範囲である、
    プラズマ処理装置。
JP2017036890A 2017-02-28 2017-02-28 成膜方法及びプラズマ処理装置 Active JP6807775B2 (ja)

Priority Applications (5)

Application Number Priority Date Filing Date Title
JP2017036890A JP6807775B2 (ja) 2017-02-28 2017-02-28 成膜方法及びプラズマ処理装置
TW107105471A TWI829630B (zh) 2017-02-28 2018-02-14 成膜方法及電漿處理裝置
US15/897,467 US11699614B2 (en) 2017-02-28 2018-02-15 Film deposition method and plasma processing apparatus
KR1020180019395A KR102454586B1 (ko) 2017-02-28 2018-02-19 성막 방법 및 플라즈마 처리 장치
CN201810183839.1A CN108504996B (zh) 2017-02-28 2018-02-28 成膜方法和等离子体处理装置

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
JP2017036890A JP6807775B2 (ja) 2017-02-28 2017-02-28 成膜方法及びプラズマ処理装置

Publications (2)

Publication Number Publication Date
JP2018142650A JP2018142650A (ja) 2018-09-13
JP6807775B2 true JP6807775B2 (ja) 2021-01-06

Family

ID=63246487

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2017036890A Active JP6807775B2 (ja) 2017-02-28 2017-02-28 成膜方法及びプラズマ処理装置

Country Status (5)

Country Link
US (1) US11699614B2 (ja)
JP (1) JP6807775B2 (ja)
KR (1) KR102454586B1 (ja)
CN (1) CN108504996B (ja)
TW (1) TWI829630B (ja)

Families Citing this family (9)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US10325773B2 (en) 2012-06-12 2019-06-18 Novellus Systems, Inc. Conformal deposition of silicon carbide films
US9234276B2 (en) 2013-05-31 2016-01-12 Novellus Systems, Inc. Method to obtain SiC class of films of desired composition and film properties
JP7090521B2 (ja) * 2018-09-26 2022-06-24 東京エレクトロン株式会社 プラズマ処理装置及びプラズマ処理方法
US11848199B2 (en) 2018-10-19 2023-12-19 Lam Research Corporation Doped or undoped silicon carbide deposition and remote hydrogen plasma exposure for gapfill
CN112899662A (zh) * 2019-12-04 2021-06-04 江苏菲沃泰纳米科技股份有限公司 Dlc制备装置和制备方法
US11087989B1 (en) 2020-06-18 2021-08-10 Applied Materials, Inc. Cryogenic atomic layer etch with noble gases
CN114836735B (zh) * 2021-02-01 2024-01-19 江苏菲沃泰纳米科技股份有限公司 基于icp的等离子体镀膜装置及其方法
CN114836737A (zh) * 2021-02-01 2022-08-02 江苏菲沃泰纳米科技股份有限公司 电感耦合等离子体镀膜装置
JP7492990B2 (ja) 2022-06-24 2024-05-30 株式会社アルバック プラズマ処理装置およびプラズマ処理方法

Family Cites Families (24)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2633551B2 (ja) * 1987-03-18 1997-07-23 株式会社東芝 薄膜形成方法
US5358902A (en) 1989-06-26 1994-10-25 U.S. Philips Corporation Method of producing conductive pillars in semiconductor device
JP3086234B2 (ja) * 1990-06-29 2000-09-11 株式会社東芝 表面処理方法
US5244730A (en) * 1991-04-30 1993-09-14 International Business Machines Corporation Plasma deposition of fluorocarbon
US5525550A (en) * 1991-05-21 1996-06-11 Fujitsu Limited Process for forming thin films by plasma CVD for use in the production of semiconductor devices
JPH09251997A (ja) * 1996-03-18 1997-09-22 Toshiba Corp シリコン酸化膜の形成方法
JP3485081B2 (ja) * 1999-10-28 2004-01-13 株式会社デンソー 半導体基板の製造方法
JP2002270586A (ja) 2001-03-08 2002-09-20 Tokyo Electron Ltd 有機系絶縁膜のエッチング方法およびデュアルダマシンプロセス
US20040161946A1 (en) * 2002-06-24 2004-08-19 Hsin-Yi Tsai Method for fluorocarbon film depositing
JP4651076B2 (ja) 2003-01-24 2011-03-16 日本エー・エス・エム株式会社 半導体基板上の絶縁膜の形成方法
US20050011859A1 (en) 2003-07-15 2005-01-20 Bing Ji Unsaturated oxygenated fluorocarbons for selective aniostropic etch applications
JP5028033B2 (ja) 2006-06-13 2012-09-19 キヤノン株式会社 酸化物半導体膜のドライエッチング方法
JP5082411B2 (ja) * 2006-12-01 2012-11-28 東京エレクトロン株式会社 成膜方法
JP4473343B2 (ja) 2007-11-09 2010-06-02 キヤノンアネルバ株式会社 インライン型ウェハ搬送装置
US8557712B1 (en) 2008-12-15 2013-10-15 Novellus Systems, Inc. PECVD flowable dielectric gap fill
US20110083735A1 (en) * 2009-10-13 2011-04-14 Ips Ltd. Solar cell and method of fabricating the same
US9514932B2 (en) 2012-08-08 2016-12-06 Applied Materials, Inc. Flowable carbon for semiconductor processing
JP6140576B2 (ja) 2013-08-27 2017-05-31 東京エレクトロン株式会社 基板処理方法、基板処理システムおよび記憶媒体
JP6022490B2 (ja) 2013-08-27 2016-11-09 東京エレクトロン株式会社 基板処理方法、基板処理システムおよび記憶媒体
JP5937632B2 (ja) 2014-02-06 2016-06-22 東京エレクトロン株式会社 基板処理方法、前処理装置、後処理装置、基板処理システムおよび記憶媒体
US10049921B2 (en) 2014-08-20 2018-08-14 Lam Research Corporation Method for selectively sealing ultra low-k porous dielectric layer using flowable dielectric film formed from vapor phase dielectric precursor
JP6425517B2 (ja) 2014-11-28 2018-11-21 東京エレクトロン株式会社 基板処理方法、基板処理装置および記憶媒体
JP6367734B2 (ja) * 2015-02-18 2018-08-01 東京エレクトロン株式会社 凹部を充填する方法及び処理装置
US20160314964A1 (en) 2015-04-21 2016-10-27 Lam Research Corporation Gap fill using carbon-based films

Also Published As

Publication number Publication date
TWI829630B (zh) 2024-01-21
CN108504996B (zh) 2021-03-30
CN108504996A (zh) 2018-09-07
US20180247858A1 (en) 2018-08-30
US11699614B2 (en) 2023-07-11
TW201843327A (zh) 2018-12-16
KR102454586B1 (ko) 2022-10-13
KR20180099476A (ko) 2018-09-05
JP2018142650A (ja) 2018-09-13

Similar Documents

Publication Publication Date Title
JP6807775B2 (ja) 成膜方法及びプラズマ処理装置
JP6861535B2 (ja) 処理方法及びプラズマ処理装置
US5897713A (en) Plasma generating apparatus
US20200058467A1 (en) Plasma processing apparatus
US9502219B2 (en) Plasma processing method
JP6431557B2 (ja) プラズマ処理装置及びプラズマ処理方法
US8609547B2 (en) Plasma etching method and computer-readable storage medium
US11145490B2 (en) Plasma processing method
WO2014057799A1 (ja) プラズマエッチング方法
JP4935149B2 (ja) プラズマ処理用の電極板及びプラズマ処理装置
US11361945B2 (en) Plasma processing apparatus, processing system, and method of etching porous film
JP6742287B2 (ja) 半導体製造方法及びプラズマ処理装置
US11710643B2 (en) Method of etching and plasma processing apparatus
JP2012049376A (ja) プラズマ処理装置およびプラズマ処理方法
US20210327719A1 (en) Method for processing workpiece
US12014930B2 (en) Etching method and plasma processing apparatus
JPH1187328A (ja) プラズマ処理装置

Legal Events

Date Code Title Description
A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20180223

A621 Written request for application examination

Free format text: JAPANESE INTERMEDIATE CODE: A621

Effective date: 20191008

A977 Report on retrieval

Free format text: JAPANESE INTERMEDIATE CODE: A971007

Effective date: 20200708

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20200714

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20200908

TRDD Decision of grant or rejection written
A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

Effective date: 20201110

A61 First payment of annual fees (during grant procedure)

Free format text: JAPANESE INTERMEDIATE CODE: A61

Effective date: 20201208

R150 Certificate of patent or registration of utility model

Ref document number: 6807775

Country of ref document: JP

Free format text: JAPANESE INTERMEDIATE CODE: R150

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250