CN108504996B - 成膜方法和等离子体处理装置 - Google Patents

成膜方法和等离子体处理装置 Download PDF

Info

Publication number
CN108504996B
CN108504996B CN201810183839.1A CN201810183839A CN108504996B CN 108504996 B CN108504996 B CN 108504996B CN 201810183839 A CN201810183839 A CN 201810183839A CN 108504996 B CN108504996 B CN 108504996B
Authority
CN
China
Prior art keywords
gas
vapor pressure
film
film forming
low vapor
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Active
Application number
CN201810183839.1A
Other languages
English (en)
Other versions
CN108504996A (zh
Inventor
中谷理子
本田昌伸
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Tokyo Electron Ltd
Original Assignee
Tokyo Electron Ltd
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Tokyo Electron Ltd filed Critical Tokyo Electron Ltd
Publication of CN108504996A publication Critical patent/CN108504996A/zh
Application granted granted Critical
Publication of CN108504996B publication Critical patent/CN108504996B/zh
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/76Making of isolation regions between components
    • H01L21/762Dielectric regions, e.g. EPIC dielectric isolation, LOCOS; Trench refilling techniques, SOI technology, use of channel stoppers
    • H01L21/76224Dielectric regions, e.g. EPIC dielectric isolation, LOCOS; Trench refilling techniques, SOI technology, use of channel stoppers using trench refilling with dielectric materials
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/02274Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition in the presence of a plasma [PECVD]
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C14/00Coating by vacuum evaporation, by sputtering or by ion implantation of the coating forming material
    • C23C14/22Coating by vacuum evaporation, by sputtering or by ion implantation of the coating forming material characterised by the process of coating
    • C23C14/24Vacuum evaporation
    • C23C14/32Vacuum evaporation by explosion; by evaporation and subsequent ionisation of the vapours, e.g. ion-plating
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C14/00Coating by vacuum evaporation, by sputtering or by ion implantation of the coating forming material
    • C23C14/06Coating by vacuum evaporation, by sputtering or by ion implantation of the coating forming material characterised by the coating material
    • C23C14/12Organic material
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45527Atomic layer deposition [ALD] characterized by the ALD cycle, e.g. different flows or temperatures during half-reactions, unusual pulsing sequence, use of precursor mixtures or auxiliary reactants or activations
    • C23C16/45536Use of plasma, radiation or electromagnetic fields
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/50Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges
    • C23C16/505Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges using radio frequency discharges
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/3244Gas supply means
    • H01J37/32449Gas control, e.g. control of the gas flow
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32798Further details of plasma apparatus not provided for in groups H01J37/3244 - H01J37/32788; special provisions for cleaning or maintenance of the apparatus
    • H01J37/32816Pressure
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02118Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer carbon based polymeric organic or inorganic material, e.g. polyimides, poly cyclobutene or PVC
    • H01L21/0212Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer carbon based polymeric organic or inorganic material, e.g. polyimides, poly cyclobutene or PVC the material being fluoro carbon compounds, e.g.(CFx) n, (CHxFy) n or polytetrafluoroethylene
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02205Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02266Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by physical ablation of a target, e.g. sputtering, reactive sputtering, physical vapour deposition or pulsed laser deposition
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/324Thermal treatment for modifying the properties of semiconductor bodies, e.g. annealing, sintering
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67017Apparatus for fluid treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67098Apparatus for thermal treatment
    • H01L21/67103Apparatus for thermal treatment mainly by conduction
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L21/6831Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using electrostatic chucks
    • H01L21/6833Details of electrostatic chucks
    • HELECTRICITY
    • H05ELECTRIC TECHNIQUES NOT OTHERWISE PROVIDED FOR
    • H05HPLASMA TECHNIQUE; PRODUCTION OF ACCELERATED ELECTRICALLY-CHARGED PARTICLES OR OF NEUTRONS; PRODUCTION OR ACCELERATION OF NEUTRAL MOLECULAR OR ATOMIC BEAMS
    • H05H1/00Generating plasma; Handling plasma
    • H05H1/24Generating plasma
    • H05H1/46Generating plasma using applied electromagnetic fields, e.g. high frequency or microwave energy
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J2237/00Discharge tubes exposing object to beam, e.g. for analysis treatment, etching, imaging
    • H01J2237/32Processing objects by plasma generation
    • H01J2237/33Processing objects by plasma generation characterised by the type of processing
    • H01J2237/332Coating
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02118Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer carbon based polymeric organic or inorganic material, e.g. polyimides, poly cyclobutene or PVC
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/0217Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material being a silicon nitride not containing oxygen, e.g. SixNy or SixByNz

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Chemical & Material Sciences (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Plasma & Fusion (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Analytical Chemistry (AREA)
  • Metallurgy (AREA)
  • Organic Chemistry (AREA)
  • Mechanical Engineering (AREA)
  • Materials Engineering (AREA)
  • General Chemical & Material Sciences (AREA)
  • Electromagnetism (AREA)
  • Spectroscopy & Molecular Physics (AREA)
  • Optics & Photonics (AREA)
  • Chemical Vapour Deposition (AREA)
  • Formation Of Insulating Films (AREA)

Abstract

本发明的目的在于提供一种以规定的堆积物填埋被处理体的凹部的成膜方法和等离子体处理装置。所述成膜方法具有:将腔室的内部保持为规定的压力,将被处理体设置于冷却为‑20℃以下的极低温的台上的工序;向所述腔室的内部供给包含低蒸气压材料的气体的气体的工序;以及由供给来的、所述包含低蒸气压材料的气体的气体生成等离子体,通过该等离子体来使由所述低蒸气压材料生成的前驱体堆积于被处理体的凹部中的工序。

Description

成膜方法和等离子体处理装置
技术领域
本发明涉及一种成膜方法和等离子体处理装置。
背景技术
近年来,在半导体制造中,器件的尺寸变得微细化,被处理体上所形成的孔、线和空间(L/S:Line and Space)的槽部的高宽比(A/R:Aspect Ratio)变高。提出一种不堵塞这种高的高宽比的凹部的顶部的开口地以规定的膜填埋凹部的内部的技术(例如参照专利文献1、2)。
专利文献1:美国专利申请公开第2016/0314964号说明书
专利文献2:日本特表2015-530742号公报
专利文献3:日本特开2010-153859号公报
专利文献4:日本特开2004-228581号公报
发明内容
发明要解决的问题
然而,特别是在利用从碳氟化合物的气体生成的等离子体对凹部的内部进行成膜的情况下,当高宽比高时,凹部的顶部的开口堵塞,在凹部的内部产生空洞,难以使膜填充于凹部的内部。
针对上述问题,在一个方面,本发明的目的在于以规定的堆积物填埋被处理体的凹部。
用于解决问题的方案
为了解决上述问题,根据一个方式,提供如下一种成膜方法,该成膜方法包括以下工序:将腔室的内部保持为规定的压力,将被处理体设置于冷却为-20℃以下的极低温的台上;向所述腔室的内部供给包含低蒸气压材料的气体的气体;以及由供给的所述包含低蒸气压材料的气体的气体生成等离子体,通过该等离子体使由所述低蒸气压材料生成的前驱体堆积于被处理体的凹部中的工序。
发明的效果
根据一个方面,能够以规定的堆积物填埋被处理体的凹部。
附图说明
图1是表示一个实施方式所涉及的等离子体处理装置的一例的图。
图2是用于说明一个实施方式所涉及的凹部的开口的堵塞的图。
图3是用于说明一个实施方式所涉及的被处理体的样本的图。
图4是表示一个实施方式所涉及的成膜方法的实验结果的一例的图。
图5是表示基于一个实施方式所涉及的成膜方法的膜随时间的变化的实验结果的一例的图。
图6是表示基于一个实施方式所涉及的成膜方法的膜随时间的变化的实验结果的一例的曲线图。
图7是表示基于一个实施方式所涉及的成膜方法的膜的温度依赖的实验结果的一例的图。
图8是表示基于一个实施方式所涉及的成膜方法的膜的压力依赖的实验结果的一例的图。
图9是表示基于一个实施方式所涉及的成膜方法的膜的气体依赖的实验结果的一例的图。
图10是表示蒸气压曲线的图。
图11是表示基于一个实施方式所涉及的成膜方法的膜的LF依赖的实验结果的一例的图。
图12是表示基于一个实施方式所涉及的成膜方法的通过添加气体进行的稀释的实验结果的一例的图。
图13是表示基于一个实施方式所涉及的成膜方法的膜的装置依赖的实验结果的一例的图。
图14是用于说明一个实施方式所涉及的装置的概要结构的图。
附图标记说明
5:电感耦合型等离子体处理装置;10:腔室;12:台;20:隔板;26:排气装置;30:第二高频电源;36:静电吸盘;40:直流电源;44:制冷剂室;52:电介质窗;54:RF天线;56:第一高频电源;64:侧壁气体喷出孔;66:气体供给源;74:控制部。
具体实施方式
下面,参照附图来说明用于实施本发明的方式。此外,在本说明书和附图中,对实质上相同的结构标注相同的标记,由此省略重复的说明。
[等离子体处理装置]
首先,参照图1来说明本发明的一个实施方式所涉及的等离子体处理装置的结构的一例。图1表示本实施方式所涉及的等离子体处理装置的结构的一例。在本实施方式中,作为等离子体处理装置,列举电感耦合型等离子体(ICP:Inductively Coupled Plasma)处理装置5为例来进行说明。
该电感耦合型等离子体处理装置5构成为使用平面线圈形的RF天线的等离子体处理装置,具有例如铝或不锈钢等金属制的圆筒型真空腔室10。腔室10安全接地。
在腔室10内的下部中央水平地配置有载置例如半导体晶圆(以下称作“晶圆W”。)作为被处理基板的圆板状的台12来作为兼作高频电极的基板保持台。该台12例如由铝形成,被从腔室10的底部向垂直上方延伸的绝缘性筒状支承部14支承。
在沿着绝缘性筒状支承部14的外周从腔室10的底部向垂直上方延伸的导电性筒状支承部16与腔室10的内壁之间形成有环状的排气路18。在排气路18的上部或入口安装有环状的隔板20,在排气路18的底部设置有排气端口22。为了使腔室10内的气体的流动相对于台12上的晶圆W呈轴对称地均匀,沿圆周方向等间隔地设置多个排气端口22的结构是优选的。
各排气端口22经由排气管24来与排气装置26连接。排气装置26具有涡轮分子泵等真空泵,能够将腔室10内的等离子体处理空间减压到所期望的真空度。在腔室10的侧壁之外安装有对晶圆W的搬入搬出口27进行开闭的闸阀28。
第二高频电源30经由匹配器32和供电棒34来与台12电连接。该第二高频电源30能够以可变的功率输出适于对引入到晶圆W的离子的能量进行控制的固定频率(例如400kHz)的偏置吸引用的高频电力LF。匹配器32容纳有用于在第二高频电源30侧的阻抗与负载(主要是台、等离子体、腔室)侧的阻抗之间取得匹配的电抗可变的匹配电路。该匹配电路中包括自偏压生成用的隔直电容器。另外,在匹配器32与供电棒34之间具有电压测定部(未图示),该电压测定部用于对在当等离子体生成过程中从第二高频电源30向台12施加了偏置吸引用的高频电力的情况下实际施加于台12的电压Vpp(实际施加的电压的正弦波的振幅:peak-to-peak)、即向晶圆W引入的离子能进行测定。
在台12的上表面设置有用于以静电吸附力来保持晶圆W的静电吸盘36,在静电吸盘36的外周侧设置有环状地包围晶圆W的周围的聚焦环38。静电吸盘36是将由导电膜形成的电极36a夹在一对绝缘膜36b、36c之间而成的。高压的直流电源40经由开关42和包覆线43来与电极36a电连接。能够利用从直流电源40供给的直流电流来以静电力将晶圆W吸附保持于静电吸盘36上。
在台12的内部设置有例如沿圆周方向延伸的环状的制冷剂室或制冷剂流路44。利用冷却装置经由配管46、48向该制冷剂流路44循环供给规定温度的制冷剂例如冷却水cw。能够利用制冷剂的温度来控制静电吸盘36上的晶圆W的处理过程中的温度。与此关联地,来自导热气体供给部的导热气体例如He气体经由气体供给管50供给到静电吸盘36的上表面与晶圆W的背面之间。另外,为了晶圆W的装载/卸载还设置有沿垂直方向贯通台12并能够上下移动的提升销及其升降机构等。
接着,对该电感耦合型等离子体处理装置5中的与等离子体生成有关的各部的结构进行说明。在腔室10的顶部以与台12隔开比较大的距离间隔的方式气密地安装有例如由石英板形成的圆形的电介质窗52。在该电介质窗52之上以与腔室10或台12同轴的方式水平地配置有线圈状的RF天线54。该RF天线54优选具有例如螺旋线圈或者在各一周内半径固定的同心圆线圈的形态,利用由绝缘体形成的天线固定构件来固定于电介质窗52之上。
第一高频电源56的输出端子经由匹配器58和供电线60来与RF天线54的一端电连接。RF天线54的另一端经由地线来与接地电位电连接。
第一高频电源56能够以可变的功率输出适于通过高频放电生成等离子体的频率(例如27MHz以上)的等离子体生成用的高频HF。匹配器58收纳有用于在第一高频电源56侧的阻抗与负载(主要是RF天线、等离子体、校正线圈)侧的阻抗之间取得匹配的电抗可变的匹配电路。
用于向腔室10内的处理空间供给规定的气体的气体供给部具有:环状的歧管或缓冲部62,其在比电介质窗52稍低的位置设置于腔室10的侧壁之中(或之外);多个侧壁气体喷出孔64,其沿圆周方向等间隔地从缓冲部62面对等离子体生成空间S;以及气体供给管68,其从气体供给源66延伸到缓冲部62。气体供给源66包括流量控制器和开闭阀。
控制部74例如包括微型计算机,对该电感耦合型等离子体处理装置5内的各部、例如排气装置26、第二高频电源30、第一高频电源56、匹配器32、匹配器58、静电吸盘用的开关42、气体供给源66、冷却装置、导热气体供给部等各自的动作和装置整体的动作进行控制。
在该电感耦合型等离子体处理装置5中,为了进行成膜,首先使闸阀28呈开状态来将作为加工对象的晶圆W搬入到腔室10内并载置于静电吸盘36之上。然后,在关闭闸阀28后利用气体供给源66经由气体供给管68、缓冲部62和侧壁气体喷出孔64以规定的流量和流量比向腔室10内导入规定的气体,利用排气装置26使腔室10内的压力为设定值。并且,使第一高频电源56接通,以规定的RF功率输出等离子体生成用的高频HF,并经由匹配器58、供电线60向RF天线54供给高频HF的电力。
另一方面,在施加离子引入控制用的高频LF的功率的情况下,使第二高频电源30接通,来输出高频电力LF,并经由匹配器32和供电棒34向台12施加该高频LF的功率。在为不施加离子引入控制用的高频LF的功率的条件的情况下,使高频的功率为0W。另外,利用导热气体供给部向静电吸盘36与晶圆W之间的接触界面供给导热气体,并且使开关42接通来利用静电吸盘36的静电吸附力将导热气体限制在上述接触界面处。
由侧壁气体喷出孔64喷出的规定的气体均匀地向电介质窗52之下的处理空间扩散。通过流过RF天线54的高频HF的电流,在RF天线54的周围产生磁力线贯通电介质窗52并通过腔室内的等离子体生成空间S这样的RF磁场,通过该RF磁场随时间的变化在处理空间的方位角方向产生RF感应电场。然后,由于该感应电场而向方位角方向加速的电子与供给的气体的分子、原子发生电离碰撞,生成甜甜圈状的等离子体。该甜甜圈状等离子体的自由基、离子在宽广的处理空间中向四方扩散,以自由基各向同性地下降、离子被直流偏置拉引的方式供给到晶圆W的上表面(被处理面)。这样一来,等离子体的活性种在晶圆W的被处理面引起化学反应和物理反应,将被加工膜蚀刻为所期望的图案。
该电感耦合型等离子体处理装置5如上述的那样在接近RF天线54的电介质窗52之下以甜甜圈状生成电感耦合的等离子体,使该甜甜圈状的等离子体在宽广的处理空间内分散,使等离子体的密度在台12附近(也就是晶圆W上)平均化。在此,甜甜圈状等离子体的密度依赖于感应电场的强度,进而依赖于供给到RF天线54的高频HF的功率(更准确地说是流过RF天线54的电流)的大小。即,高频HF的功率越高,甜甜圈状等离子体的密度越高,通过等离子体的扩散而处于台12附近处的等离子体的密度在整体上越高。另一方面,甜甜圈状等离子体向四方(特别是是径向)扩散的方式主要依赖于腔室10内的压力,压力越低,等离子体越多地集中于腔室10的中心部,台12附近的等离子体密度分布越具有在中心部高的倾向。另外,有时甜甜圈状等离子体内的等离子体密度分布也根据供给到RF天线54的高频HF的功率、导入到腔室10内的处理气体的流量等而改变。
在此,所谓的“甜甜圈状的等离子体”不限定为等离子体不处于腔室10的径向内侧(中心部)而只处于径向外侧这样的严格的环状的等离子体,不如说是指腔室10的径向外侧的等离子体的体积或密度比径向内侧处的大。另外,根据处理气体所使用的气体的种类、腔室10内的压力的值等条件,有时也不成为此处所说的“甜甜圈状的等离子体”。
控制部74具有未图示的CPU、ROM(Read Only Memory:只读存储器)、RAM(RandomAccess Memory:随机存取存储器),按照存储于RAM等的制程所设定的过程来控制本实施方式所涉及的电感耦合型等离子体处理装置5的各部,由此控制本实施方式所涉及的成膜方法。
[空隙(void)的产生]
近年来,半导体的器件尺寸变得微细化,晶圆W上所形成的孔、线和空间(L/S:line&space)的槽部呈高的高宽比(A/R)。高宽比越高,则如图2的(a)所示,越难以用规定的膜来填埋被图案化为抗蚀剂9的图案的蚀刻对象膜8的凹部。也就是说,如图2的(b)所示,堆积物R不仅堆积于形成于蚀刻对象膜8的凹部的底部,也堆积于凹部的顶部的开口处,如图2的(c)所示,开口被堆积物R堵塞,在凹部中产生被称作所谓的“空隙V”的空间,难以用膜来填充凹部。
因此,在以下说明的本实施方式所涉及的电感耦合型等离子体处理装置5和由该装置执行的成膜方法中,根据多个实验结果来优化成膜条件,由此,能够在高的高宽比的凹部以规定的膜不堵塞顶部的开口地填埋该凹部的内部。
[样本]
在图3中示出为了执行本实施方式所涉及的成膜方法而使用的被处理体的样本例。在使用的样本例中,在(a)“SiN L&S”的样本中,在晶圆W上形成有具有疏密的、图案化后的SiN膜1。SiN膜1中的图案化后的凹部的高宽比不一致,具有高宽比为3~5的凹部、高宽比为12的凹部、高宽比为以上以外的值的凹部和平面部Ft。
在(b)“高A/R”的样本中,形成有高宽比为18的凹部的SiN1膜形成在晶圆W上。在(c)“有机L&S”的样本中,形成有高宽比为2的线和空间。在“有机L&S”的样本中,基底膜为SiO2膜2,在其上层叠有有机膜3和Si-ARC(Anti Reflective Coating:防反射膜)4。“有机L&S”的样本中所形成的凹部的高宽比为2。因而,在本实施方式所涉及的成膜方法中,对高宽比为2以上的各样本的凹部进行成膜。
[实验1:成膜]
接着,参照图4来说明由电感耦合型等离子体处理装置5执行本实施方式所涉及的成膜方法的情况下的实验1的结果。实验1的成膜条件1如下。
<成膜条件1>
腔室内压力:100mT(13.3Pa)
气体种类:C4F6 300sccm
台温度:-50℃
成膜时间:5sec
高频HF的功率:300W
高频LF的功率:0W
根据该实验的结果,在(a)“SiN L&S”、(b)“高A/R”、(c)“有机L&S”中的任一样本的情况下,都是从C4F6气体生成的等离子体中的前驱体堆积于样本上的凹部,从底向上地成膜,因此没有产生空隙。下面,将利用本实施方式所涉及的成膜方法所形成的膜(堆积物R)也称作“流动性有机膜R”。也就是说,根据本实验1可知:不论在为图4的(a)和图4的(b)所示的SiN膜1的情况下、还是在为图4的(c)所示的有机膜3的情况下,均能够以流动性有机膜R填埋凹部。
[实验2:膜的随时间的变化]
接着,参照图5来说明使用“SiN L&S”的样本的情况下的与流动性有机膜R的成膜时间相应的膜的变化的一例。实验2的成膜条件2如下。
<成膜条件2>
腔室内压力:50mT(6.65Pa)
气体种类:C4F6 300sccm
台温度:-50℃
高频HF的功率:300W
高频LF的功率:0W
在表示该实验的结果的图5中,图5的(a)表示成膜时间为2秒时的成膜状态。在图5的(a)的下图中可知,高宽比为12的凹部F被流动性有机膜R填充。
图5的(b)表示成膜时间为4秒时的流动性有机膜R的状态。在图5的(b)中可知,在凹部B、D中没有堆积流动性有机膜R,相比于凹部B、D,在凹部A、C、E的孔中堆积有更多的流动性有机膜R。可知在凹部G中也堆积有流动性有机膜R。此外,在该时间点下,在平面部H中几乎没有堆积流动性有机膜R。
图5的(c)表示成膜时间为7秒时的流动性有机膜R的状态。在图5的(c)中,在平面部H中也发现流动性有机膜R的堆积。图5的(d)表示成膜时间为10秒时的流动性有机膜R的状态。在图5的(d)中,凹部A~G被流动性有机膜R大致填充,在平面部H中也堆积有更多的流动性有机膜R。图5的(e)表示成膜时间为30秒时的流动性有机膜R的状态。在图5的(e)中,所有的凹部和平面部H均被流动性有机膜R填充。
根据以上,根据本实施方式所涉及的成膜方法,通过从C4F6气体生成的等离子体中的前驱体的堆积来利用流动性有机膜R填埋凹部。此时,可知流动性有机膜R从凹部的底部开始从底向上地成长,从下部依次成膜。由此,在本实施方式所涉及的成膜方法中,堆积物不易在SiN膜1的顶部的开口堆积,因此能够避免空隙的产生并且利用流动性有机膜R填充凹部的内部。
另外,根据本实施方式所涉及的成膜方法,可知流动性有机膜R先从凹部的宽度细的孔开始填充。如图5所示,当成膜时间短时,流动性有机膜R从凹部的宽度细的孔起依次填充,存在完全被填埋的孔和未完全被填埋的孔,均匀性差。但是,可知当成膜时间长时,在凹部的宽度粗的孔中也填充有流动性有机膜R,当成膜时间为30秒左右时,所有的孔均被流动性有机膜R填埋。
另外,可知凹部的高宽比越高则成膜速度越快。并且,可知晶圆W的凹部A~G处的成膜速度比晶圆W的平面部H处的成膜速度快。
图6以曲线图示出图5的实验结果。曲线图的横轴为成膜时间(秒),纵轴为堆积物的厚度(nm)。曲线J表示图6的左侧所示的高宽比为12的细孔的膜厚随时间的变化。曲线K表示高宽比为4.3的中间孔的膜厚随时间的变化。曲线L表示高宽比为3.6的粗孔的膜厚随时间的变化。曲线M表示堆积于作为掩模发挥功能的SiN膜1的顶部(上部)的堆积物的膜厚随时间的变化。曲线N表示堆积于平面部(Open Area)的堆积物的膜厚随时间的变化。
如图6的左侧的截面图所示,在将SiN膜1的底部的高度设为0nm时,SiN膜1的顶部的高度为110nm。因此,在曲线M表示110nm的厚度的时间带中,为在SiN膜1的顶部没有堆积堆积物的状态。观察曲线图,曲线以曲线J→曲线K→曲线L的顺序上升。也就是说,可知流动性有机膜R按照曲线J表示的细孔→曲线K表示的中间孔→曲线L表示的粗孔的顺序填充于内部。
另外,根据曲线M表示的SiN膜1的顶部(掩模上)的堆积物的厚度可知,在细孔、中间孔、粗孔被填充后,流动性有机膜R堆积于SiN膜1的顶部。另外,在细孔、中间孔和粗孔全部被流动性有机膜R填充的成膜时间10秒之前,流动性有机膜R开始堆积于曲线N表示的平面部,但平面部的成膜速度与SiN膜1的顶部的成膜速度大致相等。
[实验3:温度依赖]
接着,参照图7来说明基于本实施方式所涉及的成膜方法的膜的温度依赖。图7表示使用“SiN L&S”的样本的情况下的基于本实施方式所涉及的成膜方法的膜的温度依赖的结果的一例。实验3的成膜条件3如下。
<成膜条件3>
腔室内压力:50mT(6.65Pa)或100mT(13.3Pa)
气体种类:为C4F6时,125sccm或300sccm
为IPA(异丙醇:C3H8O)时,75sccm
台温度:-10℃、-20℃、-30℃、-40℃、-50℃
高频HF的功率:300W
高频LF的功率:0W
具体地说,图7的(a)表示将腔室内维持为100mT的压力、以300sccm供给C4F6气体时的、各温度时的膜的状态。据此可知,在为-10℃和-20℃的情况下产生空隙V,未进行从底向上的成膜,进行了图2所示的各向同性的成膜。另一方面,可知,在为-30℃和-50℃的情况下,以从凹部的底部堆积起来的方式进行成膜,因此未产生空隙V,凹部的内部被流动性有机膜R填埋。
图7的(b)表示将腔室内维持为50mT的压力、以75sccm供给IPA(异丙醇)气体时的、各温度时的膜的状态。IPA为仲醇的一种。据此可知,在为-10℃和-30℃的情况下产生空隙V,进行了各向同性的成膜。另一方面,可知,在为-40℃和-50℃的情况下,未产生空隙V,凹部被流动性有机膜R填埋。此外,未得到-20℃的情况下的结果。
图7的(c)表示将腔室内维持为50mT的压力、以300sccm供给C4F6气体时的、各温度时的膜的状态。据此可知,在为-10℃的情况下产生空隙V,进行了各向同性的成膜。另一方面,可知,在为-20℃、-30℃和-50℃的情况下,未产生空隙V,凹部被流动性有机膜R填埋。此外,未得到-40℃的情况下的结果。
图7的(d)表示将腔室内维持为50mT的压力、以125sccm供给C4F6气体时的、各温度时的膜的状态。据此可知,在为-10℃和-20℃的情况下,产生空隙V,进行了各向同性的成膜。另一方面,可知,在为-30℃的情况下,未产生空隙V,凹部被流动性有机膜R填埋。此外,未得到-40℃和-50℃的情况下的结果。
根据以上可知,能够利用流动性有机膜R填埋凹部的温度根据气体种类、压力和气体流量而不同。至少在将腔室内维持为50m的压力、以300sccm供给C4F6气体时,能够通过使台12为-20℃以下的极低温来利用流动性有机膜R填埋凹部。
[实验4:压力依赖]
接着,参照图8来说明基于本实施方式所涉及的成膜方法的膜的压力依赖。图8表示使用“SiN L&S”的样本的情况下的基于本实施方式所涉及的成膜方法的膜的压力依赖的结果的一例。实验4的成膜条件4如下。
<成膜条件4>
腔室内压力:10mT(1.33Pa)、30mT(4.00Pa)、50mT、100mT
气体种类:C4F6
气体流量:300sccm
台温度:-50℃
高频HF的功率:300W
高频LF的功率:0W
根据本实验的结果,在将台12保持为-50℃以下的极低温的状态且供给C4F6气体的情况下,如图8的(a)和(b)所示,在成膜时间为10sec且腔室内压力为10mT和为30mT的情况下产生了空隙。另一方面,在仅改变了压力的条件的图8的(c)和(d)中,在成膜时间为10sec且腔室内压力为50mT的情况和成膜时间为5sec且腔室内压力为100mT的情况中的任一情况下均未产生空隙,由流动性有机膜R填埋了凹部。根据以上可知,在将台12保持为-50℃以下的极低温且供给C4F6气体的情况下,如果使腔室内压力为50mT以上,则能够进行从底向上的成膜,利用流动性有机膜R填埋凹部。
[实验5:气体依赖]
接着,参照图9来说明基于本实施方式所涉及的成膜方法的膜的气体依赖。图9是表示使用了“SiN L&S”的样本的情况下的基于本实施方式所涉及的成膜方法的膜的气体依赖的结果的一例。实验5的成膜条件5如下。
<成膜条件5>
腔室内压力:50mT(C4F6、IPA、CH4、C4F8)、100mT(C4F6、CH3F、CF4、C4F8)
气体种类:C4F6、IPA(C3H8O)、CH4、CH3F、CF4、C4F8
台温度:-50℃、-40℃、-30℃、-20℃、-10℃
高频HF的功率:300W
高频LF的功率:0W
根据本实验的结果,关于C4F6气体、IPA(C3H8O)气体、C4F8气体,未产生空隙V,利用流动性有机膜R填埋了凹部。在使用C4F6气体时,通过使台的温度为-20℃以下,能够进行从凹部的底部开始堆积的从底向上的流动性有机膜R的成膜。在使用了IPA(C3H8O)气体时,通过使台的温度为-40℃以下,能够进行从底向上的流动性有机膜R的成膜。在使用了C4F8气体时,通过使台的温度为-30℃以下,能够进行从底向上的流动性有机膜R的成膜。
另一方面,关于CH4气体、CH3F气体,产生了空隙V,未能利用流动性有机膜R填埋凹部。在使用了CH4气体和CH3F气体时,即使使台的温度为-50℃,也未进行从底向上的流动性有机膜R的堆积。另外,在使用了CF4气体时,即使使台的温度为-50℃,也没有堆积物,未进行成膜。
(低蒸气压材料)
图10中示出蒸气压曲线。未能够进行从凹部的底部开始堆积的从底向上的流动性有机膜R的成膜的CH4气体、CH3F气体、CF4气体为在比C4F8气体的蒸气压曲线所示的达到蒸气压的温度低的温度下达到该蒸气压的气体。相对于此,成功地进行了从底向上的流动性有机膜R的成膜的C4F8、C4F6、异丙醇(IPA)为在与C4F8气体的蒸气压曲线表示的达到蒸气压的温度相同的温度或其以上的温度下达到该蒸气压的所谓的“低蒸气压材料的气体”。
根据实验1~5的结果,本实施方式所涉及的成膜方法包括:在将腔室10的内部维持为规定的压力的状态下将晶圆W设置于冷却为-20℃以下的极低温的台上的工序;向腔室10的内部供给包含低蒸气压材料的气体的气体的工序。另外,本实施方式所涉及的成膜方法包括从供给的所述包含低蒸气压材料的气体的气体生成等离子体,通过该等离子体利用从所述低蒸气压材料生成的前驱体在晶圆W之上成膜的工序。由此,能够进行从凹部的底部开始堆积的从底向上的流动性有机膜R的成膜。此时,腔室10的内部的压力优选为50mT(6.67Pa)以上且为低蒸气压材料的气体的蒸气压曲线所示的蒸气压以下。
另外,“低蒸气压材料的气体”可以为含碳气体。所谓含碳气体具体可以为C4F8、C4F6、异丙醇(IPA)中的任一个。由此,根据本实施方式所涉及的成膜方法,从晶圆W上形成的凹部的底部开始堆积从低蒸气压材料生成的前驱体,从而能够在晶圆W上形成流动性有机膜。
[实验6:LF依赖]
接着,参照图11来对表示在本实施方式所涉及的成膜方法中由于有无施加偏置吸引用的高频LF的功率而引起的膜的状态的变化的实验6的结果进行说明。图11表示基于本实施方式所涉及的成膜方法的膜的LF依赖的结果的一例。实验6的成膜条件6如下。
<成膜条件6>
腔室内压力:100mT
气体种类:C4F6
台温度:-50℃
高频HF的功率:300W
高频LF的功率(400kHz):0W(Vpp=0V)、40W(Vpp=1000V)、100W(Vpp=1400V)
根据本实验的结果,在图11的(a)所示的高频LF的功率为0W的情况下,施加于台12的电压Vpp为0V,即离子能为0V。此时,可知在凹部中从底向上地形成了流动性有机膜R。也就是说,在不施加高频LF的电力的情况下,能够利用流动性有机膜R填埋凹部。
接着,在图11的(b)所示的高频LF的功率为40W的情况下,施加于台12的电压Vpp为1000V、即离子能为1000V。此时,可知在凹部中从底向上地形成了流动性有机膜R。
另一方面,可知,在图11的(c)所示的高频LF的功率为100W的情况下,施加于台12的电压Vpp为1400V、即离子能为1400V,但产生了空隙V,凹部未从底向上地被流动性有机膜R填埋。
根据以上,可知,在本实施方式所涉及的成膜方法中,当向腔室10的内部施加等离子体生成用的高频HF的功率且施加离子能高于1000V这样的偏置吸引用的高频电力时,由于等离子体中的离子的作用而在SiN膜1的顶部也各向同性地成膜,存在产生空隙V的可能性。因而,优选的是向腔室10的内部施加等离子体生成用的高频HF的功率且不施加偏置吸引用的高频LF的功率或施加离子能为1000V以下这样的高频LF的功率。
[实验7:稀释气体的添加]
接着,参照图12来说明向在本实施方式所涉及的成膜方法中使用的低蒸气压材料的气体添加了稀释气体的实验7的结果。图12表示向在本实施方式所涉及的成膜方法中使用的低蒸气压材料的气体添加了Ar气体来作为稀释气体的结果的一例。在本实验中,作为稀释气体,使用Ar气体,但不限于此。例如,作为稀释气体,也可以添加除Ar气体以外的He气体、Ne气体或Xe气体中的任一非活性气体。
在图12所述的实验7中,利用Ar气体来稀释C4F6气体后供给到腔室10的内部。图12的(a)~(d)各自的稀释度为1%、10%、50%、100%。在稀释度为1%的情况下,C4F6气体相对于Ar气体的比例为1%。在稀释度为100%的情况下,C4F6气体相对于Ar气体的比例为100%,在该情况下,在低蒸气压材料的气体(在此为C4F6气体)中不包含Ar气体。稀释度为1%的情况下的成膜时间为300sec,稀释度为10%的情况下的成膜时间为30sec,稀释度为50%的情况下的成膜时间为120sec,稀释度为100%的情况下的成膜时间为5sec。其它的实验7的成膜条件7如下。
<成膜条件7>
腔室内压力:100mT
气体种类:C4F6
稀释气体:Ar
台温度:-50℃
高频HF的功率:300W
高频LF的功率:0W
在该实验中,使用“SiN L&S”的样本和“有机L&S”的样本来进行了实验。据此可知,在任一样本中,在台温度为-50℃的极低温的情况下,根据稀释度不同而有时掩模的顶部闭塞,产生空隙V。具体地说,在稀释度为1%和10%的情况下,进行了各向同性的成膜,产生了空隙V。另一方面,在稀释度为50%、100%的情况下,进行了从凹部的底部开始堆积流动性有机膜R的从底向上的成膜,未产生空隙。据此可知,当稀释度为50%以下时,Ar气体的比例增加,由离子产生的影响增加,因此与LF依赖同样地无法进行从底向上的成膜。
根据以上可知,包含低蒸气压材料的气体的气体也可以包含非活性气体,在该情况下,优选的是低蒸气压材料的气体的流量相对于非活性气体的流量的比例为50%以上。
[实验8:装置依赖]
最后,参照图13和图14来说明表示由于进行本实施方式所涉及的成膜方法的等离子体处理装置的不同而引起的膜的状态的变化的实验8的结果。图13表示基于本实施方式所涉及的成膜方法的膜的装置依赖的结果的一例。图14为用于说明本实施方式所涉及的装置的概要结构的图。实验8的各装置中的成膜条件8-1、8-2、8-3如下。
<成膜条件8-1:图13的(a)、(c)>
使用装置:ICP装置(电感耦合型等离子体:参照图1)
腔室内压力:50mT、100mT
气体种类:C4F6 300sccm
台温度:-50℃
高频HF的功率:300W
高频LF的功率:0W
成膜时间:10sec、5sec
<成膜条件8-2:图13的(b)>
使用装置:下部双频CCP装置(电容耦合型等离子体:图14的(a))
腔室内压力:50mT
气体种类:C4F6 80sccm
台温度:-50℃
高频HF的功率(100MHz):300W
高频LF的功率:0W
成膜时间:20sec
<成膜条件8-3:图13的(d)>
使用装置:上下双频CCP装置(电容耦合型等离子体:图14的(b))
腔室内压力:100mT
气体种类:C4F6 150sccm
台温度:-50℃
高频HF的功率(60MHz):300W
高频LF的功率:0W
成膜时间:20sec
简单地说明各装置的结构。如图14的(a)所示,在下部双频CCP装置中,在腔室110的内部设置有台120。在台120的上表面设置有用于以静电吸附力保持晶圆W的静电吸盘121,在静电吸盘121的径向外侧设置有环状地包围晶圆W的周围的聚焦环122。
在腔室10的内壁与台120的侧壁之间形成有环状的排气路,在该排气路的上部或入口安装有环状的隔板130。台120与第一高频电源140及第二高频电源150连接。第一高频电源140施加例如100MHz的等离子体生成用的高频HF的功率。第二高频电源150施加例如400kHz的偏置吸引用的高频LF的功率。与台120相向的腔室110的顶部作为上部电极160发挥功能。
如图14的(b)所示的上下双频CCP装置具有与图14的(a)所示的下部双频CCP装置大致相同的结构,只有第一高频电源140的配置不同。也就是说,在上下双频CCP装置中,第一高频电源140与上部电极160连接。第一高频电源140施加例如60MHz的等离子体生成用的高频HF的功率。
返回图13,根据该实验的结果,如图13的(a)和(c)所示,可知,在使用ICP装置的情况下,在将腔室内压力设定为50mT或100mT的情况下,均从底向上地在凹部中形成流动性有机膜R。另外,如图13的(d)所示,可知,在使用上下双频CCP装置的情况下,从底向上地在凹部形成流动性有机膜R。
另一方面,如图13的(b)所示,可知,在使用下部双频CCP装置的情况下,产生了空隙V,凹部未被流动性有机膜R填埋。这是因为,在使用下部双频CCP装置的情况下,向台120侧施加有高频HF的功率,因此在台120侧的晶圆W附近生成等离子体。因此,等离子体中的离子的作用(偏压)容易施加于晶圆W。由此,可以认为由于等离子体中的离子的作用而在SiN膜1的顶部也各向同性地成膜,产生了空隙V,使得凹部未被流动性有机膜R填埋。
相对于此,在使用了ICP装置和上下双频CCP装置的情况下,在上部电极160侧生成等离子体。由此,认为相比于下部双频CCP装置,等离子体中的离子的作用(偏压)不易施加于晶圆W,因此未产生空隙V,从底向上地在凹部中形成了流动性有机膜R。
此外,执行本实施方式所涉及的成膜方法的等离子体处理装置不限于电感耦合型等离子体处理装置(ICP装置)和将等离子体生成用的高频电力施加于上部电极侧的电容耦合型等离子体处理装置(上下双频CCP装置),也可以为微波等离子体处理装置和远程等离子体装置中的任一种。
如以上所说明的那样,根据本实施方式所涉及的成膜方法,通过优化成膜条件,能够以规定的堆积物填埋被处理体的凹部。
以上通过上述实施方式对成膜方法和等离子体处理装置进行了说明,但本发明所涉及的成膜方法和等离子体处理装置不限定于上述实施方式,在本发明的范围内能够进行各种变形和改进。上述多个实施方式所记载的事项能够在不互相矛盾的范围内进行组合。
例如在本说明书中,作为被处理体的一例列举晶圆W来进行了说明,但被处理体不限于此,也可以为LCD(Liquid Crystal Display:液晶显示器)、FPD(Flat Panel Display:平板显示器)中使用的各种基板、光掩模、CD基板、印刷基板。

Claims (13)

1.一种成膜方法,包括以下工序:
将腔室的内部保持为规定的压力,将被处理体设置于冷却为-20℃以下的极低温的台上;
向所述腔室的内部供给包含低蒸气压材料的气体的气体;以及
从供给的所述包含低蒸气压材料的气体的气体生成等离子体,通过该等离子体使从所述低蒸气压材料生成的前驱体堆积于被处理体的凹部来进行成膜,
所述低蒸气压材料的气体为在与C4F8的蒸气压曲线表示的达到蒸气压的温度相同的温度或其以上的温度下达到该蒸气压的气体,
其中,所述规定的压力为50mT即6.67Pa以上且为所述低蒸气压材料的气体的蒸气压曲线所示的蒸气压以下,
所述进行成膜的工序使从所述低蒸气压材料生成的前驱体从形成于被处理体的凹部的底部开始堆积。
2.根据权利要求1所述的成膜方法,其特征在于,
所述低蒸气压材料的气体为含碳气体。
3.根据权利要求1或2所述的成膜方法,其特征在于,
所述低蒸气压材料的气体为C4F8、C4F6、异丙醇即IPA中的任一种。
4.根据权利要求1或2所述的成膜方法,其特征在于,
所述进行成膜的工序使从所述低蒸气压材料生成的前驱体从形成于被处理体的凹部的底部开始堆积,来在被处理体上形成流动性膜。
5.根据权利要求4所述的成膜方法,其特征在于,
所述凹部的高宽比为2以上。
6.根据权利要求1或2所述的成膜方法,其特征在于,
形成于被处理体的凹部的高宽比越高,则通过所述前驱体的堆积来进行成膜的成膜速度越快。
7.根据权利要求4所述的成膜方法,其特征在于,
在所述凹部的上部进行堆积的流动性膜的成膜在流动性膜向所述凹部的内部的填充完成之后开始。
8.根据权利要求1或2所述的成膜方法,其特征在于,
形成于被处理体的凹部处的成膜速度比被处理体的平面部处的成膜速度快。
9.根据权利要求1或2所述的成膜方法,其特征在于,
还包括以下工序:向所述腔室的内部施加等离子体生成用的高频电力,且以使离子能为0V以上且1000V以下的方式施加偏置吸引用的高频电力。
10.根据权利要求1或2所述的成膜方法,其特征在于,
所述包含低蒸气压材料的气体的气体包含非活性气体,
所述低蒸气压材料的气体的流量相对于所述非活性气体的流量的比例为50%以下。
11.根据权利要求1或2所述的成膜方法,其特征在于,
所述成膜方法由电感耦合型等离子体处理装置、向上部电极侧施加等离子体生成用的高频电力的电容耦合型等离子体处理装置、微波等离子体处理装置和远程等离子体装置中的任一种来执行。
12.根据权利要求1所述的成膜方法,其特征在于,所述凹部为自所述被处理体的表面向下方凹陷而形成的结构。
13.一种等离子体处理装置,具有用于载置被处理体的台、供给气体的气体供给部以及控制部,
其中,所述控制部使腔室的内部保持为规定的压力,使被处理体设置于冷却为-20℃以下的极低温的所述台上,使所述气体供给部向所述腔室的内部供给包含低蒸气压材料的气体的气体,使得从供给的所述包含低蒸气压材料的气体的气体生成等离子体,通过该等离子体使从所述低蒸气压材料生成的前驱体堆积于被处理体的凹部,
其中,所述低蒸气压材料的气体为在与C4F8的蒸气压曲线表示的达到蒸气压的温度相同的温度或其以上的温度下达到该蒸气压的气体,
所述规定的压力为50mT即6.67Pa以上且为所述低蒸气压材料的气体的蒸气压曲线所示的蒸气压以下,
从所述低蒸气压材料生成的前驱体从形成于被处理体的凹部的底部开始堆积。
CN201810183839.1A 2017-02-28 2018-02-28 成膜方法和等离子体处理装置 Active CN108504996B (zh)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
JP2017036890A JP6807775B2 (ja) 2017-02-28 2017-02-28 成膜方法及びプラズマ処理装置
JP2017-036890 2017-02-28

Publications (2)

Publication Number Publication Date
CN108504996A CN108504996A (zh) 2018-09-07
CN108504996B true CN108504996B (zh) 2021-03-30

Family

ID=63246487

Family Applications (1)

Application Number Title Priority Date Filing Date
CN201810183839.1A Active CN108504996B (zh) 2017-02-28 2018-02-28 成膜方法和等离子体处理装置

Country Status (5)

Country Link
US (1) US11699614B2 (zh)
JP (1) JP6807775B2 (zh)
KR (1) KR102454586B1 (zh)
CN (1) CN108504996B (zh)
TW (1) TWI829630B (zh)

Families Citing this family (7)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US9234276B2 (en) 2013-05-31 2016-01-12 Novellus Systems, Inc. Method to obtain SiC class of films of desired composition and film properties
US10325773B2 (en) 2012-06-12 2019-06-18 Novellus Systems, Inc. Conformal deposition of silicon carbide films
JP7090521B2 (ja) * 2018-09-26 2022-06-24 東京エレクトロン株式会社 プラズマ処理装置及びプラズマ処理方法
CN113195786A (zh) * 2018-10-19 2021-07-30 朗姆研究公司 用于间隙填充的远程氢等离子体暴露以及掺杂或未掺杂硅碳化物沉积
US11087989B1 (en) 2020-06-18 2021-08-10 Applied Materials, Inc. Cryogenic atomic layer etch with noble gases
CN114836737A (zh) * 2021-02-01 2022-08-02 江苏菲沃泰纳米科技股份有限公司 电感耦合等离子体镀膜装置
CN114836735B (zh) * 2021-02-01 2024-01-19 江苏菲沃泰纳米科技股份有限公司 基于icp的等离子体镀膜装置及其方法

Citations (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN102044576A (zh) * 2009-10-13 2011-05-04 Ips有限公司 太阳能电池及其制造方法

Family Cites Families (23)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2633551B2 (ja) * 1987-03-18 1997-07-23 株式会社東芝 薄膜形成方法
US5358902A (en) 1989-06-26 1994-10-25 U.S. Philips Corporation Method of producing conductive pillars in semiconductor device
JP3086234B2 (ja) * 1990-06-29 2000-09-11 株式会社東芝 表面処理方法
US5244730A (en) * 1991-04-30 1993-09-14 International Business Machines Corporation Plasma deposition of fluorocarbon
US5525550A (en) * 1991-05-21 1996-06-11 Fujitsu Limited Process for forming thin films by plasma CVD for use in the production of semiconductor devices
JPH09251997A (ja) * 1996-03-18 1997-09-22 Toshiba Corp シリコン酸化膜の形成方法
JP3485081B2 (ja) * 1999-10-28 2004-01-13 株式会社デンソー 半導体基板の製造方法
JP2002270586A (ja) 2001-03-08 2002-09-20 Tokyo Electron Ltd 有機系絶縁膜のエッチング方法およびデュアルダマシンプロセス
US20040161946A1 (en) * 2002-06-24 2004-08-19 Hsin-Yi Tsai Method for fluorocarbon film depositing
JP4651076B2 (ja) 2003-01-24 2011-03-16 日本エー・エス・エム株式会社 半導体基板上の絶縁膜の形成方法
US20050011859A1 (en) 2003-07-15 2005-01-20 Bing Ji Unsaturated oxygenated fluorocarbons for selective aniostropic etch applications
JP5028033B2 (ja) 2006-06-13 2012-09-19 キヤノン株式会社 酸化物半導体膜のドライエッチング方法
JP5082411B2 (ja) * 2006-12-01 2012-11-28 東京エレクトロン株式会社 成膜方法
JP4473343B2 (ja) 2007-11-09 2010-06-02 キヤノンアネルバ株式会社 インライン型ウェハ搬送装置
US8557712B1 (en) 2008-12-15 2013-10-15 Novellus Systems, Inc. PECVD flowable dielectric gap fill
US9514932B2 (en) 2012-08-08 2016-12-06 Applied Materials, Inc. Flowable carbon for semiconductor processing
JP6140576B2 (ja) 2013-08-27 2017-05-31 東京エレクトロン株式会社 基板処理方法、基板処理システムおよび記憶媒体
JP5937632B2 (ja) 2014-02-06 2016-06-22 東京エレクトロン株式会社 基板処理方法、前処理装置、後処理装置、基板処理システムおよび記憶媒体
JP6022490B2 (ja) 2013-08-27 2016-11-09 東京エレクトロン株式会社 基板処理方法、基板処理システムおよび記憶媒体
US10049921B2 (en) 2014-08-20 2018-08-14 Lam Research Corporation Method for selectively sealing ultra low-k porous dielectric layer using flowable dielectric film formed from vapor phase dielectric precursor
JP6425517B2 (ja) 2014-11-28 2018-11-21 東京エレクトロン株式会社 基板処理方法、基板処理装置および記憶媒体
JP6367734B2 (ja) * 2015-02-18 2018-08-01 東京エレクトロン株式会社 凹部を充填する方法及び処理装置
US20160314964A1 (en) 2015-04-21 2016-10-27 Lam Research Corporation Gap fill using carbon-based films

Patent Citations (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN102044576A (zh) * 2009-10-13 2011-05-04 Ips有限公司 太阳能电池及其制造方法

Also Published As

Publication number Publication date
US11699614B2 (en) 2023-07-11
TWI829630B (zh) 2024-01-21
JP2018142650A (ja) 2018-09-13
KR102454586B1 (ko) 2022-10-13
CN108504996A (zh) 2018-09-07
KR20180099476A (ko) 2018-09-05
US20180247858A1 (en) 2018-08-30
TW201843327A (zh) 2018-12-16
JP6807775B2 (ja) 2021-01-06

Similar Documents

Publication Publication Date Title
CN108504996B (zh) 成膜方法和等离子体处理装置
CN108511339B (zh) 处理方法和等离子体处理装置
US9673059B2 (en) Method for increasing pattern density in self-aligned patterning integration schemes
US8840753B2 (en) Plasma etching unit
US9502219B2 (en) Plasma processing method
US20150228500A1 (en) Semiconductor device manufacturing method
US8609547B2 (en) Plasma etching method and computer-readable storage medium
US20070227666A1 (en) Plasma processing apparatus
US11145490B2 (en) Plasma processing method
KR20200010743A (ko) 기판 지지 장치 및 이를 포함하는 플라즈마 처리 장치
KR102435263B1 (ko) 플라즈마 처리 장치 및 방법, 및 이를 이용한 반도체 장치의 제조 방법
JP2007273596A (ja) プラズマ処理用の電極板及びプラズマ処理装置
TW201837982A (zh) 半導體製造方法及電漿處理裝置
CN108511389B (zh) 半导体制造方法和等离子体处理装置
JP2012195582A (ja) プラズマエッチング方法及びコンピュータ記録媒体
KR20230005754A (ko) 반도체 디바이스의 제조 방법
JP2012023162A (ja) 半導体製造装置

Legal Events

Date Code Title Description
PB01 Publication
PB01 Publication
SE01 Entry into force of request for substantive examination
SE01 Entry into force of request for substantive examination
GR01 Patent grant
GR01 Patent grant