US20220238334A1 - Doped or undoped silicon carbide deposition and remote hydrogen plasma exposure for gapfill - Google Patents

Doped or undoped silicon carbide deposition and remote hydrogen plasma exposure for gapfill Download PDF

Info

Publication number
US20220238334A1
US20220238334A1 US17/658,937 US202217658937A US2022238334A1 US 20220238334 A1 US20220238334 A1 US 20220238334A1 US 202217658937 A US202217658937 A US 202217658937A US 2022238334 A1 US2022238334 A1 US 2022238334A1
Authority
US
United States
Prior art keywords
substrate
plasma
gas
silicon carbide
thickness
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Abandoned
Application number
US17/658,937
Inventor
Guangbi Yuan
Ieva Narkeviciute
Bo Gong
Bhadri N. Varadarajan
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Lam Research Corp
Original Assignee
Lam Research Corp
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Lam Research Corp filed Critical Lam Research Corp
Priority to US17/658,937 priority Critical patent/US20220238334A1/en
Publication of US20220238334A1 publication Critical patent/US20220238334A1/en
Abandoned legal-status Critical Current

Links

Images

Classifications

    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/02274Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition in the presence of a plasma [PECVD]
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/02Pretreatment of the material to be coated
    • C23C16/0272Deposition of sub-layers, e.g. to promote the adhesion of the main coating
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/04Coating on selected surface areas, e.g. using masks
    • C23C16/045Coating cavities or hollow spaces, e.g. interior of tubes; Infiltration of porous substrates
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/308Oxynitrides
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/32Carbides
    • C23C16/325Silicon carbide
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/448Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for generating reactive gas streams, e.g. by evaporation or sublimation of precursor materials
    • C23C16/452Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for generating reactive gas streams, e.g. by evaporation or sublimation of precursor materials by activating reactive gas streams before their introduction into the reaction chamber, e.g. by ionisation or addition of reactive species
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45527Atomic layer deposition [ALD] characterized by the ALD cycle, e.g. different flows or temperatures during half-reactions, unusual pulsing sequence, use of precursor mixtures or auxiliary reactants or activations
    • C23C16/45536Use of plasma, radiation or electromagnetic fields
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45553Atomic layer deposition [ALD] characterized by the use of precursors specially adapted for ALD
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45563Gas nozzles
    • C23C16/45565Shower nozzles
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/50Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges
    • C23C16/505Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges using radio frequency discharges
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/56After-treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32082Radio frequency generated discharge
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32357Generation remote from the workpiece, e.g. down-stream
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/02126Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material containing Si, O, and at least one of H, N, C, F, or other non-metal elements, e.g. SiOC, SiOC:H or SiONC
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/02126Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material containing Si, O, and at least one of H, N, C, F, or other non-metal elements, e.g. SiOC, SiOC:H or SiONC
    • H01L21/0214Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material containing Si, O, and at least one of H, N, C, F, or other non-metal elements, e.g. SiOC, SiOC:H or SiONC the material being a silicon oxynitride, e.g. SiON or SiON:H
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/02167Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material being a silicon carbide not containing oxygen, e.g. SiC, SiC:H or silicon carbonitrides
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/0228Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition deposition by cyclic CVD, e.g. ALD, ALE, pulsed CVD
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02296Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer
    • H01L21/02318Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment
    • H01L21/02337Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment treatment by exposure to a gas or vapour
    • H01L21/0234Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment treatment by exposure to a gas or vapour treatment by exposure to a plasma
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/76Making of isolation regions between components
    • H01L21/762Dielectric regions, e.g. EPIC dielectric isolation, LOCOS; Trench refilling techniques, SOI technology, use of channel stoppers
    • H01L21/76224Dielectric regions, e.g. EPIC dielectric isolation, LOCOS; Trench refilling techniques, SOI technology, use of channel stoppers using trench refilling with dielectric materials
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/76Making of isolation regions between components
    • H01L21/762Dielectric regions, e.g. EPIC dielectric isolation, LOCOS; Trench refilling techniques, SOI technology, use of channel stoppers
    • H01L21/76224Dielectric regions, e.g. EPIC dielectric isolation, LOCOS; Trench refilling techniques, SOI technology, use of channel stoppers using trench refilling with dielectric materials
    • H01L21/76232Dielectric regions, e.g. EPIC dielectric isolation, LOCOS; Trench refilling techniques, SOI technology, use of channel stoppers using trench refilling with dielectric materials of trenches having a shape other than rectangular or V-shape, e.g. rounded corners, oblique or rounded trench walls
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76829Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing characterised by the formation of thin functional dielectric layers, e.g. dielectric etch-stop, barrier, capping or liner layers
    • H01L21/76831Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing characterised by the formation of thin functional dielectric layers, e.g. dielectric etch-stop, barrier, capping or liner layers in via holes or trenches, e.g. non-conductive sidewall liners
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J2237/00Discharge tubes exposing object to beam, e.g. for analysis treatment, etching, imaging
    • H01J2237/32Processing objects by plasma generation
    • H01J2237/33Processing objects by plasma generation characterised by the type of processing
    • H01J2237/332Coating

Definitions

  • Fabrication of devices such as semiconductor devices may involve deposition of various dielectric, conductive, or semiconductive films in recessed features of a substrate.
  • Various techniques for filling such features exist, but as devices shrink and features become smaller, feature fill without voids or seams becomes increasingly challenging.
  • a method of depositing a doped or undoped silicon carbide (SiC x O y N z ) film in one or more features of a substrate includes depositing a first thickness of the SiC x O y N z film in the one or more features of the substrate, exposing the SiC x O y N z film to a remote hydrogen plasma under conditions that increase a size of an opening near a top surface of each of the one or more features, and depositing a second thickness of the SiC x O y N z film on the first thickness of the SiC x O y N z film, where x has a value greater than zero, y has a value equal to or greater than zero, and z has a value equal to or greater than zero.
  • the method further includes repeating operations of exposing the SiC x O y N z film to the remote hydrogen plasma and depositing a new thickness of the SiC x O y N z film in the one or more features until the one or more features are substantially filled.
  • the conditions of the remote hydrogen plasma include a treatment time, a treatment frequency, a treatment power, and/or remote plasma gas composition, where the treatment time, the treatment frequency, the treatment power, and/or the remote plasma gas composition are controlled so that the size of the opening near the top surface of each of the one or more features is increased more than a size of an opening near a bottom surface of each of the one or more features.
  • the treatment time of exposure to the remote hydrogen plasma may be between about 0.5 seconds and about 120 seconds.
  • the treatment frequency may be 10 ⁇ or less of the SiC x O y N z film per cycle of depositing the SiC x O y N z film and exposing the SiC x O y N z film to remote hydrogen plasma.
  • the remote plasma gas composition of the remote hydrogen plasma may include the remote hydrogen plasma having a concentration between about 10% and about 50% by volume of hydrogen.
  • each of the first thickness and the second thickness is between about 0.5 ⁇ and about 4.5 ⁇ .
  • depositing the first thickness of the SiC x O y N z film includes flowing one or more silicon-containing precursors into a reaction chamber, and introducing one or more hydrogen radicals generated from a remote plasma source and towards the substrate in the reaction chamber, where the one or more hydrogen radicals react with the one or more silicon-containing precursors to deposit the first thickness of the SiC x O y N z film.
  • at least 90% of the hydrogen radicals are hydrogen radicals in the ground state.
  • the conditions of the remote hydrogen plasma increase the size of the opening near the top surface of each of the one or more features by at least about 5%.
  • the conditions of the remote hydrogen plasma increase the size of the opening near the top surface of each of the one or more features when an atomic concentration of carbon of the first thickness of the SiC x O y N z film is between about 10% and about 30%.
  • operations of depositing the first thickness of the SiC x O y N z film and exposing the SiC x O y N z film to the remote hydrogen plasma occur without introducing a vacuum break.
  • the method further includes introducing a time interval between depositing the first thickness of the SiC x O y N z film and exposing the first thickness of the SiC x O y N z film to remote hydrogen plasma in order to modulate gapfill performance.
  • the apparatus includes a reaction chamber, a substrate support for supporting a substrate in the reaction chamber, the substrate having one or more features, and a controller.
  • the controller is configured with instructions for performing the following operations: depositing a first thickness of a doped or undoped silicon carbide (SiC x O y N z ) film in the one or more features of the substrate, exposing the SiC x O y N z film to a remote hydrogen plasma under conditions that increase a size of an opening near a top surface of each of the one or more features, depositing a second thickness of the SiC x O y N z film on the first thickness of the SiC x O y N z film, where x has a value greater than zero, y has a value equal to or greater than zero, and z has a value equal to or greater than zero.
  • SiC x O y N z silicon carbide
  • the controller is further configured with instructions for performing the following operation: repeating operations of exposing the SiC x O y N z film to the remote hydrogen plasma and depositing a new thickness of the SiC x O y N z film in the one or more features until the one or more features are substantially filled.
  • the conditions of the remote hydrogen plasma include a treatment time, a treatment frequency, a treatment power, and/or a remote plasma gas composition, where the treatment time, the treatment frequency, the treatment power, and/or remote plasma gas composition are controlled so that the size of the opening near the top surface of each of the one or more features is increased more than a size of an opening near a bottom surface of each of the one or more features.
  • the remote plasma gas composition of the remote hydrogen plasma may include the remote hydrogen plasma having a concentration between about 10% and about 50% by volume of hydrogen.
  • each of the first thickness and the second thickness is equal to or less than about 10 ⁇ .
  • the controller is further configured with instructions for performing the following operation: introducing a time interval between depositing the first thickness of the SiC x O y N z film and exposing the first thickness of the SiC x O y N z film to remote hydrogen plasma in order to modulate gapfill performance.
  • FIG. 1 illustrates a cross-sectional schematic of an example feature of a substrate.
  • FIGS. 2A-2C are cross-sectional schematic illustrations of a feature of an example substrate undergoing gapfill using dep-etch-dep processing.
  • FIGS. 3A-3C are cross-sectional schematic illustrations of a feature of an example substrate undergoing gapfill using inhibition chemistry.
  • FIG. 4 illustrates a cross-sectional schematic of different gapfill materials in an example substrate according to some implementations.
  • FIGS. 5A-5C are cross-sectional schematic illustrations of a feature of an example substrate undergoing gapfill using remote plasma chemical vapor deposition and remote hydrogen plasma exposure operations according to some implementations.
  • FIG. 6 illustrates a schematic diagram of an example plasma processing apparatus with a remote plasma source according to some implementations.
  • FIG. 7 illustrates a schematic diagram of an example plasma processing apparatus with a remote plasma source according to some other implementations.
  • FIG. 8 shows a TEM image of an SiC x O y N z film deposited in a plurality of features of a substrate according to some implementations.
  • FIG. 9 shows a TEM image of an SiC x O y N z film deposited in a plurality of features of a substrate according to some implementations.
  • semiconductor wafer semiconductor wafer
  • wafer semiconductor wafer
  • substrate substrate
  • wafer substrate semiconductor substrate
  • partially fabricated integrated circuit can refer to a silicon wafer during any of many stages of integrated circuit fabrication.
  • a wafer or substrate used in the semiconductor device industry typically has a diameter of 200 mm, or 300 mm, or 450 mm.
  • the following detailed description assumes the present disclosure is implemented on a wafer. However, the present disclosure is not so limited.
  • the work piece may be of various shapes, sizes, and materials.
  • other work pieces that may take advantage of the present disclosure include various articles such as printed circuit boards and the like.
  • Substrates may include “features” or “trenches.” “Features” as used herein may refer to non-planar structures of a substrate, typically a surface being modified in a semiconductor device fabrication operation. Examples of features, which may also be referred to as “negative features” or “recessed features,” include trenches, holes, vias, gaps, recessed regions, and the like. These terms may be used interchangeably in the present disclosure.
  • One example of a feature is a hole or via in a semiconductor substrate or in a layer on the substrate. Another example is a trench in a substrate or layer.
  • a feature typically has an aspect ratio (depth to lateral dimension).
  • a feature may be characterized by one or more of narrow and/or re-entrant openings, constrictions within the feature, and high aspect ratios.
  • a feature having a high aspect ratio can have a depth to lateral dimension aspect ratio equal to or greater than about 10:1, equal to or greater than about 15:1, equal to or greater than about 20:1, equal to or greater than about 25:1, equal to or greater than about 30:1, equal to or greater than about 40:1, equal to or greater than about 50:1, or equal to or greater than about 100:1.
  • the feature may have an under-layer, such as a barrier layer or adhesion layer.
  • under-layers include dielectric layers and conducting layers, e.g., silicon oxides, silicon nitrides, undoped silicon carbides, oxygen-doped silicon carbides, nitrogen-doped silicon carbides, metal oxides, metal nitrides, metal carbides, and metal layers.
  • dielectric layers and conducting layers e.g., silicon oxides, silicon nitrides, undoped silicon carbides, oxygen-doped silicon carbides, nitrogen-doped silicon carbides, metal oxides, metal nitrides, metal carbides, and metal layers.
  • a feature can have straight sidewalls, positively sloped sidewalls, or negatively sloped sidewalls.
  • a feature can have sidewall topography or sidewall roughness, which may occur as a result of an etch process to form the feature.
  • a feature can have a feature opening that is greater at the top of the feature than at the bottom, or a feature can have a feature opening that is greater at the bottom of the feature than at the top.
  • a feature can be partially filled with material or have one or more under-layers. Gapfill of features such as any of foregoing embodiments can depend on feature type and profile.
  • Semiconductor fabrication processes often include gapfill processes or dielectric gapfill processes.
  • CVD chemical vapor deposition
  • ALD atomic layer deposition
  • Conventional techniques often result in formation of undesirable seams or voids within the feature.
  • the presence of seams and/or voids in gapfill may lead to high resistance, contamination, loss of filled materials, degraded performance, and even device failure.
  • ALD processes use surface-mediated deposition reactions to deposit films on a layer-by-layer basis, and such films are typically conformal. Although ALD can deposit highly conformal films, deposition of films into high aspect ratio features can be challenging. The step coverage and uniformity of film along the sidewall depends on, for example, transport of the deposition precursor, reactant ions and/or radicals, and byproducts.
  • FIG. 1 illustrates a cross-sectional schematic of an example feature of a substrate.
  • a substrate 100 has a feature 101 that is filled using a conventional CVD, plasma-enhanced CVD (PECVD), ALD, or plasma-enhanced ALD (PEALD) technique.
  • PECVD plasma-enhanced CVD
  • ALD plasma-enhanced ALD
  • PEALD plasma-enhanced ALD
  • a seam 106 forms where gapfill material 102 deposited along sidewalls of the feature 101 meets. If ALD or PEALD techniques are used, the opening at the top of the feature 101 closes and molecular transport becomes progressively difficult, which causes the seam 106 to form near the top of the feature 101 and leave a void 108 in the feature 101 .
  • the gapfill material 102 will tend to build up faster at the edges of the feature 101 than along sidewalls of the feature so that the top of the feature 101 closes off and is “pinched” at the seam 106 before the feature 101 is filled, thereby leaving a void 108 in the feature 101 .
  • the film deposited within the feature may have a different and more degraded film quality than the film deposited near the top of the feature. Without being limited by any theory, this may be because the number and distribution of reactant species reaching the bottom of the feature is different from and less than at the top.
  • film quality can be evaluated by etching the deposited film and observing and comparing the etch rates at the top of the feature, at the bottom of the feature, and at the sidewalls of the feature.
  • the deposition rate for CVD, PECVD, ALD, or PEALD gapfill can be slowed down.
  • Slowing down deposition rate can fine tune the deposition profile in the gapfill structure and improve gapfill performance. For example, more cycles can be performed in ALD or PEALD processes before a feature is closed off.
  • a longer duration during initial cycles may allow diffusion of precursor and/or reactant species to reach the bottom and sidewalls of the features.
  • slowing down the deposition rate to improve gapfill decreases overall throughput and generally is more applicable to low aspect ratio features and/or features with large openings.
  • dep-etch-dep deposition, etch, deposition
  • the dep-etch-dep technique involves deposition of gapfill material, followed by etching some of the gapfill material back to open the feature opening, and followed by re-depositing some of the same gapfill material to complete the gapfill or advance the gapfill process.
  • FIGS. 2A-2C are cross-sectional schematic illustrations of a feature of an example substrate undergoing gapfill using dep-etch-dep processing.
  • FIG. 2A shows an example of a feature 201 of a substrate 200 where material 202 a is deposited in the feature 201 .
  • the material 202 a may be deposited conformally along a top surface, sidewalls, and a bottom surface of the feature 201 .
  • the material 202 a may be deposited using ALD or PEALD.
  • a typical ALD cycle may include: (i) dosing that delivers and adsorbs precursor material onto a substrate surface, (ii) purging excess precursor material from the chamber and leaving a self-limited monolayer on the substrate surface, (iii) delivery of reactant material to react with the adsorbed precursor material, and (iv) purging of unreacted reactant material or reaction byproducts from the chamber.
  • the dose step may adsorb precursor material in a self-limiting manner such that once active sites are occupied by the precursor material, little or no additional precursor material will be adsorbed on the substrate surface.
  • the reactant material may likewise react with the precursor material in a self-limiting or adsorption-limiting manner. Purge steps may be optionally performed to remove excess precursor material, reaction byproducts, and/or unreacted reactant material from the chamber, thereby completing an ALD cycle.
  • FIG. 2B shows an example of the feature 201 of the substrate 200 where the material 202 a is etched back. For example, as shown in FIG. 2B , the material 202 a can be etched back and result in a tapered profile.
  • FIG. 2C shows an example of the feature 201 of the substrate 200 where material 202 b is deposited in the feature 201 .
  • the material 202 b includes the material 202 a from FIGS. 2A and 2B . As shown in FIG.
  • the material 202 b may substantially fill the feature 201 following deposition and etch operations.
  • Deposition of the material 202 b may result in formation of a void 203 , but the void 203 may be smaller by interrupting deposition operations with one or more etch operations.
  • dep-etch-dep techniques may mitigate the formation of voids and/or seams, etch operations during dep-etch-dep may etch underlying materials, which often leads to device instability and possible device failure.
  • dep-etch-dep techniques often involve multiple rounds of dep-etch-dep and/or multiple wafer transfers between deposition and etch chambers, which lowers overall throughput.
  • inhibition chemistry can be used so that gapfill material grows or otherwise forms in the feature in a topographically different manner.
  • an inhibitor can react with a material and create a passivated surface to inhibit growth.
  • a surface of a substrate can be more passivated in field and upper regions of a feature and less passivated as a distance into the feature increases. That way, deposition at the top of a feature is selectively inhibited and deposition in lower portions of the feature can proceed with less inhibition or without being inhibited. As a result, bottom-up fill is enhanced.
  • FIGS. 3A-3C are cross-sectional schematic illustrations of a feature of an example substrate undergoing gapfill using inhibition chemistry.
  • FIG. 3A shows an example of a feature 301 of a substrate 300 where at least a field region and upper regions of the feature 301 are exposed to a reactant that inhibits deposition/growth of gapfill material.
  • the reactant reacts with a material to form a passivated layer 305 on the substrate 300 .
  • nitrogen gas (N 2 ) or ammonia (NH 3 ) may be used to form the passivated layer 305 that is made of a nitride.
  • Gapfill material such as ALD silicon dioxide (SiO 2 ), nucleates at a slower rate on nitride surfaces.
  • gapfill material 302 a is deposited in the feature 301 .
  • the gapfill material 302 a may be deposited along a top surface, sidewalls, and a bottom surface of the feature 301 .
  • the passivated layer 305 selectively inhibits deposition/growth of the gapfill material 302 a in the field region and upper regions of the feature 301 so that deposition/growth of the gapfill material 302 a in other regions of the feature 301 proceeds with less inhibition or without inhibition.
  • gapfill material 302 b is deposited to substantially fill the feature 301 . Deposition of the gapfill material 302 b may result in the formation of a void 303 , but the void 303 may be smaller by virtue of the inhibition chemistry promoting bottom-up filling in the feature 301 .
  • inhibition chemistry may mitigate the formation of voids and/or seams
  • inhibition chemistries are limited to certain types of chemistries and processes. In other words, different processes require different inhibition chemistries.
  • Certain inhibition chemistries may not be suitable for limiting deposition/growth of SiC x O y N z films, or deposition/growth of SiC x O y N z films using a particular deposition technique (e.g., remote plasma CVD), or deposition/growth of SiC x O y N z films with desired properties (e.g., high etch selectivity to oxide and nitride).
  • a particular deposition technique e.g., remote plasma CVD
  • desired properties e.g., high etch selectivity to oxide and nitride
  • application of inhibition chemistry in gapfill may be limited to certain chemistries, deposition techniques, and film properties.
  • the present disclosure relates to deposition of doped or undoped silicon carbide film for gapfill using remote plasma CVD and remote plasma exposure.
  • One or more high aspect ratio features are filled or at least substantially filled with doped or undoped silicon carbide gapfill material.
  • the doped or undoped silicon carbide gapfill material is silicon oxycarbide (SiCO).
  • the doped or undoped silicon carbide gapfill material is silicon nitricarbide (SiCN).
  • a source gas including hydrogen gas is provided into a remote plasma source that may cause the source gas to dissociate and generate ions and radicals in an excited energy state. After excitation, the radicals in the excited energy state relax to substantially low energy state radicals or ground state radicals in a reaction chamber.
  • One or more silicon-containing precursors are provided in the reaction chamber, where bonds in the one or more silicon-containing precursors are selectively broken by the substantially low energy state radicals or ground state radicals to form the doped or undoped silicon carbide gapfill material in the one or more high aspect ratio features.
  • Gapfill occurs by alternating deposition and treatment operations, where the deposition operation includes depositing a certain thickness of doped or undoped silicon carbide gapfill material by remote plasma CVD and where the treatment operation includes exposing the doped or undoped silicon carbide gapfill material to remote hydrogen plasma.
  • Remote hydrogen plasma treatment conditions are controlled so that a size of an opening near a top surface of each of the high aspect ratio features is increased after treatment.
  • the size of the opening near the top surface is increased more than a size of an opening near a bottom surface of each of the high aspect ratio features after treatment.
  • the remote hydrogen plasma treatment conditions are controlled by controlling treatment time, treatment frequency, treatment power, and/or remote plasma gas composition. Various time intervals can be introduced in between plasma deposition and plasma treatment to modulate the gapfill performance.
  • silicon carbide films are frequently used in semiconductor devices.
  • silicon carbide includes undoped or doped silicon carbides, such oxygen doped silicon carbide or silicon oxycarbide (SiCO), nitrogen doped silicon carbide or silicon nitricarbide (SiCN), and nitrogen and oxygen doped silicon carbide or silicon oxynitricarbide (SiOCN).
  • SiCO oxygen doped silicon carbide or silicon oxycarbide
  • SiCN silicon nitricarbide
  • SiOCN nitrogen and oxygen doped silicon carbide or silicon oxynitricarbide
  • doped silicon carbides have at most about 50% atomic of dopant atoms, whether those atoms are oxygen, nitrogen, or atoms of another element. The doping level provides desired film properties.
  • doped or undoped silicon carbide refers specifically to “SiC x O y N z ,” where x has a value greater than zero, y has a value equal to or greater than zero, and z has a value equal to or greater than zero.
  • Doped or undoped silicon carbide films may be employed as metal diffusion barriers, etch stop layers, hard mask layers, gate spacers for source and drain implants, encapsulation barriers for magnetoresistive random-access memory (MRAM) or resistive random-access memory (RRAM), and hermetic diffusion barriers at air gaps, among other applications.
  • doped or undoped silicon carbide films may be used as gapfill material in high aspect ratio features of transistor devices.
  • FIG. 4 illustrates a cross-sectional schematic of different gapfill materials in an example substrate according to some implementations.
  • a semiconductor device 400 may include first electrically conductive structures 402 and second electrically conductive structures 404 .
  • the semiconductor device 400 is a transistor device.
  • Spacers 420 may separate the first electrically conductive structures 402 and the second electrically conductive structures 404 .
  • a first gapfill material forms a first insulating cap layer 412 over the first electrically conductive structures 402
  • a second gapfill material forms a second insulating cap layer 414 over the second electrically conductive structures 404 .
  • the first insulating cap layer 412 may have a different etch selectivity than the second insulating cap layer.
  • the first gapfill material of the first insulating cap layer 412 may have an etch selectivity of at least 7:1 under dry etch or wet etch conditions against the second gapfill material of the second insulating cap layer 414 .
  • the first gapfill material may have excellent electrical properties including high breakdown voltages and low leakage currents.
  • the first gapfill material may have a low dielectric constant (low-k), where the effective dielectric constant of the first gapfill material is about 4.0 or lower, about 3.5 or lower, about 3.0 or lower, or about 2.5 or lower.
  • the first gapfill material is SiCO formed by a remote plasma CVD process of the present disclosure.
  • the second gapfill material may be a nitride or oxide, such as silicon nitride or silicon oxide.
  • the first electrically conductive structures 402 include source/drain contacts in a transistor device
  • the second electrically conductive structures 404 include a gate stack having a gate electrode layer and a gate dielectric layer in the transistor device. Having the first gapfill material be SiCO formed by the remote plasma CVD process of present disclosure not only provides good electrical properties, low dielectric constant, and high etch selectivity against other gapfill materials, but also provides good step coverage and gapfill performance that does not leave a significant seam and/or void.
  • a substrate may be filled or at least substantially filled with doped or undoped silicon carbide gapfill material using a process that involves alternating operations of remote plasma CVD and remote hydrogen plasma exposure.
  • a certain thickness of doped or undoped silicon carbide gapfill material may be deposited by remote plasma CVD followed by a controlled remote hydrogen plasma exposure, and the steps may be repeated until the features are filled or at least substantially filled.
  • substantially filled may refer to having the feature filled to at least 98% by volume.
  • FIG. 5A-5C are cross-sectional schematic illustrations of a feature of an example substrate undergoing gapfill using remote plasma chemical vapor deposition and remote hydrogen plasma exposure operations according to some implementations.
  • Operations 500 a - 500 c of a process 500 shown in FIGS. 5A-5C may include additional, fewer, or different operations.
  • the operations 500 a - 500 c of the process 500 shown in FIGS. 5A-5C may be performed by any one of the plasma processing apparatuses as described in FIGS. 6 and 7 .
  • a first thickness of a SiC x O y N z film 506 is deposited in a feature 504 of a substrate 502 .
  • the substrate 502 shows only a single feature 504 in FIGS. 5A-5C , it will be understood that the substrate 502 may have one or more features 504 .
  • the SiC x O y N z film 506 includes silicon oxycarbide (SiCO).
  • the first thickness of the SiC x O y N z film 506 is deposited by a remote plasma CVD process. The remote plasma CVD process deposits the first thickness of the SiC x O y N z film 506 on the surface of the substrate 502 under relatively mild conditions adjacent to the substrate 502 .
  • Depositing the first thickness of the SiC x O y N z film 506 includes flowing one or more silicon-containing precursors into a reaction chamber and introducing one or more hydrogen radicals generated from a remote plasma source towards the substrate 502 in the reaction chamber, where the one or more hydrogen radicals react with the one or more silicon-containing precursors to deposit the first thickness of the SiC x O y N z film 506 .
  • the one or more silicon-containing precursors can include a silicon-containing precursor with one or more silicon-hydrogen (Si—H) bonds and/or silicon-silicon (Si—Si) bonds.
  • the silicon-containing precursor can have one or more silicon-carbon (Si—C) bonds.
  • the silicon-containing precursor can have one or more silicon-oxygen (Si—O) bonds. In some embodiments, the silicon-containing precursor can have one or more silicon-nitrogen (Si—N) bonds. Examples of silicon-containing precursors are discussed in further detail below.
  • the Si—H bonds and/or Si—Si bonds are broken and serve as reactive sites for forming bonds between the silicon-containing precursors in the deposited SiC x O y N z film 506 .
  • the broken bonds can also serve as sites for cross-linking during thermal processing conducted during or after deposition. Bonding at the reactive sites and cross-linking can form a primary backbone or matrix collectively in the resulting SiC x O y N z film 506 .
  • the relatively mild conditions can preserve or substantially preserve Si—C bonds and, if present, Si—O bonds and Si—N bonds in the as-deposited layer of the SiC x O y N z film 506 .
  • the reaction conditions adjacent to the substrate 502 provide for the selective breaking of Si—H and/or Si—Si bonds, e.g., extracting hydrogen from the broken Si—H bonds, but the reaction conditions do not provide for extracting oxygen from Si—O bonds, nitrogen from Si—N bonds, or carbon from Si—C bonds. However, introduction of a co-reactant such as oxygen may extract carbon from Si—C bonds.
  • the described reaction conditions exist at the exposed face of the substrate 502 (the face where the SiC x O y N z film 506 is deposited). They may further exist at some distance above the substrate 502 , e.g., about 0.5 micrometers to about 150 millimeters above the substrate 502 . In effect, activation of the silicon-containing precursors can happen in the gas phase at a substantial distance above the substrate 502 .
  • the pertinent reaction conditions will be uniform or substantially uniform over the entire exposed face of the substrate 502 , although certain applications may permit some variation.
  • the environment adjacent to the substrate 502 includes one or more radicals that are in a substantially low energy state or ground state.
  • the one or more radicals can include one or more hydrogen radicals, which may also be referred to as hydrogen atom radicals or hydrogen radical species.
  • all, or substantially all, or a substantial fraction of the hydrogen radicals adjacent to the substrate 502 are in the ground state, e.g., at least about 90% or 95% of the hydrogen radicals adjacent to the substrate 502 are in the ground state.
  • hydrogen gas (H 2 ) may be provided in an inert carrier gas such as helium in a remote plasma source. Hydrogen radicals are generated in the remote plasma source and introduced into the reaction chamber.
  • the hydrogen radicals are in an excited energy state.
  • hydrogen in an excited energy state can have an energy of at least 10.2 eV (first excited state).
  • Excited hydrogen radicals may cause unselective decomposition of a silicon-containing precursor, easily breaking Si—H, Si—Si, Si—N, Si—O, and Si—C bonds, which can alter the composition or physical or electrical characteristics of the SiC x O y N z film 506 . This can lead to films with high dielectric constants, low breakdown voltages, high leakage currents, and poor conformality. Process conditions are controlled so that the hydrogen radicals lose their energy or relax when they encounter the substrate 502 without recombining.
  • the process conditions are controlled so that the hydrogen radicals are in a substantially low energy state or ground state at the environment adjacent to the substrate 502 , where hydrogen radicals in a substantially low energy state or ground state can be capable of selectively breaking Si—H and Si—Si bonds while generally preserving Si—O, Si—N, and Si—C bonds.
  • the plasma processing apparatus or associated components may be designed so that a residence time of hydrogen radicals diffusing from the remote plasma source to the substrate 502 is greater than the energetic relaxation time of an excited hydrogen atom radical.
  • the plasma processing apparatuses shown in FIGS. 6 and 7 may be configured to produce a mild state in which a substantial fraction of the hydrogen radicals in the environment adjacent to the substrate 502 are in a ground state.
  • the source gas for the hydrogen radicals may be delivered with other species, including carrier gas.
  • the silicon-containing precursors may be delivered with other species, including carrier gas.
  • Example carrier gases include but are not limited to argon (Ar), helium (He), neon (Ne), krypton (Kr), and xenon (Xe).
  • the concentration of carrier gas can be substantially greater than the concentration of the source gas.
  • substantially greater with respect to the concentration of carrier gas relative to source gas can refer to a percentage by volume that is at least three times greater.
  • hydrogen gas may be provided in a helium carrier gas at a concentration of about 1-50% hydrogen. The presence of the carrier gas can contribute to increased ionization of the source gas and reduced recombination.
  • the presence of the carrier gas can serve the same effect. That way, even at a higher pressure, a substantial fraction of radicals may be generated with minimal recombination when a carrier gas such as helium is flowed with the source gas.
  • Higher pressure in the reaction chamber during deposition may improve the conformality of the SiC x O y N z film 506 .
  • Higher pressure in the reaction chamber may correspond to a pressure greater than about 3 Torr or greater than about 5 Torr, such as about 7 Torr.
  • the silicon-containing precursors are introduced as a mixture having major and minor species.
  • the minor species may not contribute significantly to the composition or structural features of the SiC x O y N z film 506 .
  • the silicon-containing precursors provide essentially all of the mass of the deposited SiC x O y N z film 506 , with small amounts of hydrogen or other element from the remote plasma providing less than about 5 atomic percent or less than about 2 atomic percent.
  • the deposition reaction includes a co-reactant other than the silicon-containing precursors and the hydrogen radicals, which may or may not contribute to the composition of the deposited SiC x O y N z film 506 .
  • the co-reactant may tune the composition of the first thickness of the SiC x O y N z film 506 .
  • co-reactants include carbon dioxide (CO 2 ), carbon monoxide (CO), water (H 2 O), methanol (CH 3 OH), oxygen (O 2 ), ozone (O 3 ), nitrogen (N 2 ), nitrous oxide (N 2 O), ammonia (NH 3 ), diazene (N 2 H 2 ), methane (CH 4 ), ethane (C 2 H 6 ), acetylene (C 2 H 2 ), ethylene (C 2 H 4 ), diborane (B 2 H 6 ), and combinations thereof.
  • the co-reactant may increase or decrease the carbon, oxygen, or nitrogen content of the SiC x O y N z film 506 .
  • the co-reactant may be introduced into the reaction chamber along the same flow path as the hydrogen radicals.
  • the co-reactant may be introduced upstream of the silicon-containing precursors, where the co-reactant may be at least partially converted to radicals and/or ions.
  • the co-reactant may be introduced into the reaction chamber along the same flow path as the silicon-containing precursors.
  • the co-reactant may be introduced downstream of the hydrogen radicals, typically without direct exposure to plasma.
  • the co-reactant may be present in the process gases at about 0.05% or less by mass, or at about 0.01% or less by mass, or at about 0.001% or less by mass.
  • the co-reactant may be present at higher concentrations, such as about 2% or less or about 0.1% or less by mass.
  • the co-reactant is present at even higher concentrations, such as about 10% or more or about 20% or more by mass.
  • bonds in a co-reactant may be selectively broken by the hydrogen radicals to activate the co-reactant.
  • Process conditions for depositing the first thickness of the SiC x O y N z film 506 can be controlled.
  • a temperature in the environment adjacent to the substrate 502 can be largely controlled by the temperature of a pedestal on which the substrate 502 is supported during deposition of the SiC x O y N z film 506 .
  • the operating temperature can be between about 50° C. and about 500° C. or between about 250° C. and about 400° C. Increasing temperature can lead to increased cross-linking on the substrate surface.
  • a pressure in the reaction chamber can be controlled to facilitate production of reactive radicals.
  • chamber pressure can be about 35 Torr or lower, between about 10 Torr and about 20 Torr in some applications, or between about 0.2 Torr and about 5 Torr in some other applications.
  • the silicon-containing precursors used in forming the SiC x O y N z film 506 may each contain at least one Si—H and/or at least one Si—Si bond.
  • the silicon-containing precursors may optionally each contain at least one Si—O bond, Si—N bond, and/or Si—C bond.
  • the silicon-containing precursors each do not contain O—C or N—C bonds; e.g., the precursors contain no alkoxy (—O—R), where R is an organic group such as a hydrocarbon group, or amine (—NR 1 R 2 ), where R 1 and R 2 are independently hydrogen or organic groups. Without being limited by any theory, it is believed that such groups may impart high sticking coefficients to the precursors or fragments on which they reside.
  • the silicon-containing precursors employed in the deposition reaction may be limited to a particular chemical class or mixtures of the chemical classes.
  • the silicon-containing precursors include siloxanes.
  • the siloxanes may be cyclic, three-dimensional or caged, or linear.
  • the silicon-containing precursors include alkyl silanes or other hydrocarbon-substituted silanes.
  • the silicon-containing precursor can include an alkylcarbosilane.
  • the silicon-containing precursors include alkoxy silanes.
  • the silicon-containing precursors include silazanes.
  • multiple silicon-containing precursors can be present in the process gas, where some of the silicon-containing precursors are different.
  • a siloxane and an alkyl silane can be used together, or a siloxane and an alkoxy silane can be used together.
  • the relative proportions of the individual precursors can be chosen based on the chemical structures of the precursors chosen and the application of the resulting SiC x O y N z film 506 .
  • an amount of siloxane can be greater than an amount of silane in molar percentages to produce a more porous film.
  • the silicon-containing precursors when depositing silicon oxycarbide films, may include siloxanes such as cyclic siloxanes or linear siloxanes. In some embodiments when depositing silicon oxycarbide films, the silicon-containing precursors may include alkyl silanes. An oxygen-containing co-reactant may be introduced to react with the alkyl silanes.
  • the silicon-containing precursors may be chosen to produce a highly conformal SiC x O y N z film 506 .
  • Conformality may be calculated by comparing the average thickness of a deposited film on a bottom, sidewall, or top of a feature 504 to the average thickness of a deposited film on a bottom, sidewall, or top of a feature 504 .
  • conformality may be calculated by dividing the average thickness of the deposited film on the sidewall by the average thickness of the deposited film at the top of the feature 504 and multiplying it by 100 to obtain a percentage. It is believed that silicon-containing precursors having low sticking coefficients are capable of producing highly conformal films.
  • “Sticking coefficient” is a term used to describe the ratio of the number of adsorbate species (e.g., fragments or molecules) that adsorb/stick to a surface compared to the total number of species that impinge upon that surface during the same period of time.
  • the symbol S c is sometimes used to refer to the sticking coefficient. The value of S c is between 0 (meaning that none of the species stick) and 1 (meaning that all of the impinging species stick).
  • Various factors affect the sticking coefficient including the type of impinging species, surface temperature, surface coverage, structural details of the surface, and the kinetic energy of the impinging species.
  • the first thickness of the SiC x O y N z film 506 may have a conformality of at least about 75%, at least about 80%, at least about 85%, at least about 90%, at least about 95%, or at least about 98%.
  • the first thickness of the SiC x O y N z film 506 may be equal to or less than about 10 ⁇ , or may be equal to or less than about 5 ⁇ .
  • the first thickness of the SiC x O y N z film 506 may be between about 0.5 ⁇ and about 5 ⁇ , or between about 0.5 ⁇ and about 4.5 ⁇ .
  • the first thickness of the SiC x O y N z film 506 can be deposited according to a predetermined deposition time to achieve a desired thickness.
  • the deposition time can be between about 1 second and about 200 seconds, or between about 5 seconds and about 100 seconds.
  • the first thickness can be controlled to enable sufficient penetration of a subsequent remote plasma treatment to densify and shrink the first thickness of the SiC x O y N z film 506 .
  • the first thickness can be controlled according to a desired treatment frequency of the subsequent remote plasma treatment operations.
  • the first thickness of the SiC x O y N z film 506 is deposited in the feature 504 of the substrate 502 , where the feature 504 can take the shape of a trench, recess, or hole.
  • the feature 504 can have a depth to lateral dimension aspect ratio of at least about 5:1, at least about 10:1, at least about 15:1, at least about 20:1, at least about 30:1, at least about 40:1, at least about 50:1, or at least about 100:1.
  • features having a high aspect ratio may be at least 10:1.
  • the lateral dimension may be a width or diameter at the top of the feature 504 .
  • the lateral dimension of the feature 504 may be less than about 200 nm, less than about 100 nm, between about 2 nm and about 100 nm, or between about 2 nm and about 50 nm.
  • the depth of the feature 504 may be between about 0.1 ⁇ m and about 100 ⁇ m, between about 0.5 ⁇ m and about 50 ⁇ m, between about 0.5 ⁇ m and about 25 ⁇ m, or between about 1 ⁇ m and about 25 ⁇ m.
  • the composition of the SiC x O y N z film 506 may affect an amount that the first thickness of the SiC x O y N z film 506 shrinks in a subsequent remote plasma exposure operation.
  • the composition of the SiC x O y N z film 506 can have an atomic concentration of carbon between about 10% and about 40% or between about 10% and about 30%.
  • the atomic concentration of carbon may be controlled by adjusting deposition parameters such as choice of precursors, flow rate of the precursors, choice of co-reactant, and flow rate of a co-reactant such as oxygen.
  • increasing the presence oxygen in the deposition of the SiC x O y N z film 506 can extract more carbon from the SiC x O y N z film 506 .
  • the atomic concentration of carbon in the SiC x O y N z film 506 is controlled during operation 500 a so that conditions of remote hydrogen plasma exposure increase a size of an opening near a top surface of the feature 504 during operation 500 b.
  • the SiC x O y N z film 506 is exposed to a remote hydrogen plasma under conditions that increase a size of an opening near a top surface of the feature 504 .
  • the remote hydrogen plasma is used to treat the first thickness of the SiC x O y N z film 506 so that the first thickness of the SiC x O y N z film 506 shrinks near the top surface of the feature 504 . How much the first thickness of the SiC x O y N z film 506 shrinks near the top surface of the feature 504 can depend on the first thickness and composition of the SiC x O y N z film 506 . How much the first thickness of the SiC x O y N z film 506 shrinks near the top surface of the feature 504 can also depend on the remote plasma conditions.
  • a remote plasma source for generating radicals of a source gas during deposition may also serve to generate radicals of the source gas during treatment to shrink the first thickness of the SiC x O y N z film 506 near the top surface of the feature 504 .
  • SiC x O y N z film deposition may occur in the same reaction chamber as SiC x O y N z film treatment. This allows alternating deposition and treatment cycles to be performed in the same tool.
  • depositing the first thickness and exposing the first thickness of the SiC x O y N z film 506 to remote hydrogen plasma can occur without introducing a vacuum break (e.g., air break).
  • a vacuum break can reduce throughput and introduce oxidation in the substrate 502 , which can lead to higher electrical resistance and decreased performance.
  • Exposing the first thickness of the SiC x O y N z film 506 to the remote hydrogen plasma occurs without delivery of silicon-containing precursors.
  • a source gas including hydrogen gas can be provided with an inert carrier gas such as helium.
  • the source gas can include hydrogen, nitrogen, N—H containing species such as NH 3 , oxygen, oxygen-containing species such as H 2 O, CO 2 , or N 2 O, or combinations thereof.
  • the source gas is provided in the remote plasma source, where hydrogen radicals are generated in the remote plasma source and introduced into the reaction chamber and towards the substrate 502 .
  • the hydrogen radicals are in an excited energy state.
  • the hydrogen radicals lose their energy or relax when they encounter the substrate 502 without recombining.
  • the first thickness of the SiC x O y N z film 506 is exposed to a remote hydrogen plasma such that at least a substantial fraction of the hydrogen radicals are in a substantially low energy state or ground state.
  • at least 90% of the radicals of the source gas are hydrogen radicals in the ground state.
  • Such hydrogen radicals of the remote hydrogen plasma are used to densify and shrink the first thickness of the SiC x O y N z film 506 near the top surface of the feature 504 . Due at least in part to the minimal concentration of ions and the low energy state of the hydrogen radicals, exposing the SiC x O y N z film 506 to the remote hydrogen plasma does not generally cause damage to underlying layers of the substrate 502 .
  • the thickness of the SiC x O y N z film 506 can be deposited according to a treatment frequency of each remote hydrogen plasma treatment. Thus, how much SiC x O y N z film 506 is deposited per deposition-treatment cycle is controlled to improve gapfill performance.
  • the first thickness of the SiC x O y N z film 506 is equal to or less than about 10 ⁇ , equal to or less than about 5 ⁇ , or between about 0.5 ⁇ and about 4.5 ⁇ . Accordingly, a thickness equal to or less than about 10 ⁇ , equal to or less than about 5 ⁇ , or between about 0.5 ⁇ and about 4.5 ⁇ of SiC x O y N z film 506 is deposited per deposition-treatment cycle.
  • a higher treatment frequency corresponds to smaller thicknesses of SiC x O y N z film 506 deposited per cycle, where a higher treatment frequency may provide better gapfill performance.
  • the composition of the SiC x O y N z film 506 can be deposited so that the remote hydrogen plasma treatment has a greater effect on shrinking the first thickness of the SiC x O y N z film 506 .
  • an atomic concentration of carbon in the SiC x O y N z film 506 can be tuned at operation 500 a , where the atomic concentration of carbon is between about 10% and about 40% or between about 10% and about 30%.
  • the increase in the size of the opening near the top surface of the feature 504 is greater when the atomic carbon concentration in the SiC x O y N z film 506 is lower.
  • the size of the opening near the top surface of the feature 504 may be increased using the conditions of the remote hydrogen plasma. This can improve gapfill performance.
  • the conditions of the remote hydrogen plasma can be controlled to preferentially treat the first thickness of the SiC x O y N z film 506 near the top surface than near a bottom surface of the feature 504 .
  • the first thickness of the SiC x O y N z film 506 near the top surface of the feature 504 may be exposed to more hydrogen radicals of the remote hydrogen plasma than near the bottom surface.
  • treatment time and/or treatment frequency may be controlled so that diffusion or transport of the hydrogen radicals of the remote hydrogen plasma towards the bottom surface of the feature 504 is limited. For example, shorter treatment times may limit diffusion/transport of the hydrogen radicals from reaching the bottom surface of the feature 504 .
  • the treatment time of exposure to the remote hydrogen plasma is between about 0.5 seconds and about 120 seconds, between about 1 second and about 30 seconds, between about 2 seconds and about 20 seconds, or between about 5 seconds and about 15 seconds. In some embodiments, the treatment time of exposure to the remote hydrogen plasma is about 10 seconds. It will be understood that the treatment time may vary depending on the aspect ratio of the feature 504 , where the treatment time is long enough to densify and shrink the first thickness of the SiC x O y N z film 506 but short enough to limit diffusion and transport of hydrogen radicals to the bottom surface of the feature 504 .
  • the conditions of the remote hydrogen plasma can be controlled to increase the size of the opening near the top surface of the feature 504 .
  • the size of the opening near the top surface of the feature 504 is increased more than a size of an opening near the bottom surface of the feature 504 .
  • Exposure to the remote hydrogen plasma can densify the SiC x O y N z film 506 by extracting hydrogen and promoting cross-linking so that more Si—O—Si and Si—C—Si bonds may form.
  • exposure to the remote hydrogen plasma can shrink the thickness of the SiC x O y N z film 506 under suitable conditions.
  • a treatment power can be controlled to facilitate densifying and shrinking the thickness of the SiC x O y N z film 506 .
  • RF power of an inductively-coupled plasma can be tuned to control treatment power, where the RF power can be between about 300 Watts and 10 Kilowatts, between about 1 Kilowatt and about 8 Kilowatts, or between about 2 Kilowatts and about 6 Kilowatts.
  • the RF power applied to the remote plasma source during treatment can be adjusted to increase generation of hydrogen radicals of the source gas.
  • the treatment power can be correlated at least in part with remote plasma gas composition.
  • the remote plasma gas composition can include a concentration of source gas relative to carrier gas, where a greater concentration of source gas contributes to increased generation of radicals, thereby leading to a higher treatment power.
  • a concentration of a source gas e.g., hydrogen gas
  • a concentration of a source gas is at least 10% by volume with a balance of inert carrier gas, at least 15% by volume with a balance of inert carrier gas, at least 20% by volume with a balance of inert carrier gas, at least 25% by volume with a balance of inert carrier gas, between about 10% and about 50% by volume with a balance of inert carrier gas, or between about 10% and about 30% by volume with a balance of inert carrier gas.
  • a gas mixture can include hydrogen gas with a balance of an inert carrier gas such as helium, where the gas mixture includes at least 10% by volume hydrogen gas with the balance of helium, at least 15% by volume hydrogen gas with the balance of helium, at least 20% by volume hydrogen gas with the balance of helium, at least 25% by volume hydrogen gas with the balance of helium, between about 10% and about 50% by volume hydrogen gas with the balance of helium, or between about 10% and about 30% by volume hydrogen gas with the balance of helium.
  • typical gas mixtures include hydrogen gas at a concentration of 1-10% by volume with a balance of helium. Treatment power and remote plasma gas composition may be adjusted depending on the composition of the SiC x O y N z film 506 .
  • treatment power may be reduced and/or hydrogen source gas concentration may be reduced in the remote hydrogen plasma treatment and still achieve an increase in the size of the opening near the top surface of the feature 504 where an atomic concentration of carbon in the SiC x O y N z film 506 is reduced.
  • the size of the opening near the top surface of the feature 504 can be measured using a lateral distance (e.g., diameter) along the top surface of the feature 504 .
  • the size of the opening can be measured by a distance between opposite corners of the top surface of the feature 504 minus the thickness of the SiC x O y N z film 506 at the corners of the top surface of the feature 504 .
  • the size of the opening near the top surface of the feature 504 after operation 500 b can be increased by a percentage amount that is at least about 1%, at least about 5%, at least about 10%, at least about 20%, at least about 50%, at least about 100%, between about 1% and about 100%, between about 5% and about 100%, between about 1% and about 50%, or between about 5% and about 50%.
  • the size of the opening near the top surface of the feature 504 can increase from 20 nm to an amount greater than 30 nm after operation 500 b , which represents an increase of at least 50%.
  • the size of the opening near the top surface of the feature 504 is increased more than the size of the opening near the bottom surface of the feature 504 .
  • the size of the opening near the bottom surface of the feature 504 can be measured using a lateral distance (e.g., diameter) along the bottom surface of the feature 504 . Specifically, the size of the opening can be measured by a distance between opposite corners of the bottom surface of the feature 504 minus the thickness of the SiC x O y N z film 506 at the corners of the bottom surface of the feature 504 .
  • the bottom surface of the feature 504 refers to the lowest exposed surface as the feature 504 is filled by the SiC x O y N z film 506 .
  • the size of the opening near the bottom surface of the feature 504 does not increase or increases by an amount less than the opening near the top surface of the feature 504 .
  • the conditions of the remote hydrogen plasma can be controlled so that the SiC x O y N z film 506 shrinks more at a top opening than at a bottom opening.
  • the hydrogen radicals and/or ions of the remote plasma can be isotropic or substantially isotropic in nature so that treatment occurs preferentially at the top opening than at the bottom opening of the feature 504 .
  • Treatment frequency, treatment time, treatment power, and/or remote plasma gas composition can be controlled so that the size of the opening near the top surface of the feature 504 is increased more than the opening near the bottom surface of the feature 504 .
  • Composition and thickness of the SiC x O y N z film 506 can be controlled so that the size of the opening near the top surface of the feature 504 is increased more than the opening near the bottom surface of the feature 504 .
  • parameters other than treatment frequency, treatment time, treatment power, remote plasma gas composition, composition of the SiC x O y N z film 506 , and thickness of the SiC x O y N z film 506 can be controlled to shrink the SiC x O y N z film 506 at the top opening.
  • Other tunable parameters include but are not limited to timing, gas composition, gas flow rates, chamber pressure, chamber temperature, substrate temperature, time interval between deposition and plasma treatment, and substrate position. These parameters can be tuned during exposure to the remote hydrogen plasma to influence the characteristics of the remote plasma, which can affect the size of the opening near the top surface of the feature 504 .
  • the chamber pressure can be between about 0.2 Torr and about 5 Torr, or between about 1 Torr and about 3 Torr. In some embodiments, the chamber pressure can be greater than 3 Torr or greater than 5 Torr, where other process conditions (e.g., inert carrier gas) cause sufficient ionization and reduced residence times.
  • the source gas can be flowed with One or more co-reactants, such as CO 2 , CO, H 2 O, CH 3 OH, O 2 , O 3 , Na, N 2 O, NH 3 , N 2 H 2 , CH 4 , C 2 H 6 , C 2 H 2 , C 2 H 4 , B 2 H 6 , or combinations thereof.
  • the one or more co-reactants can increase or decrease oxygen, nitrogen, or carbon content of the SiC x O y N z film 506 .
  • the one or more co-reactants may include CO 2 , O 2 , N 2 , NH 3 , or combinations thereof. The presence of oxygen gas or oxygen radicals tends to extract carbon from Si—C bonds, thereby converting carbide to oxide.
  • a time interval may be introduced between depositing the first thickness of the SiC x O y N z film 506 at operation 500 a and exposing the first thickness of the SiC x O y N z film 506 to remote hydrogen plasma treatment at operation 500 b .
  • plasma is turned off and some gases continue to flow into the reaction chamber.
  • the gases may include the silicon-containing precursors flowed during deposition at operation 500 a .
  • residue deposition does not occur that may adversely affect gapfill performance.
  • the time interval may be between about 1 second and about 30 seconds, such as about 5 seconds, about 10 seconds, or about 20 seconds.
  • a second thickness of the SiC x O y N z film 506 is deposited in the feature 504 of the substrate 502 .
  • the second thickness can be deposited on or over the first thickness of the SiC x O y N z film 506 .
  • Aspects of depositing the second thickness of the SiC x O y N z film 506 can be identical or at least similar to aspects of depositing the first thickness of the SiC x O y N z film 506 .
  • depositing the second thickness includes repeating the aforementioned operation 500 a in operation 500 c .
  • Deposition time, film thickness, chamber pressure, chamber temperature, substrate temperature, RF power levels, gas flow, gas composition, and other parameters in operation 500 c may be the same or different than in operation 500 a .
  • the first thickness of the SiC x O y N z film 506 is deposited by a remote plasma CVD process, and the second thickness of the SiC x O y N z film 506 is deposited by a remote plasma CVD process, where the remote plasma CVD process deposits the second thickness of the SiC x O y N z film 506 on the surface of the substrate 502 under relatively mild conditions adjacent to the substrate 502 .
  • relatively mild conditions are described in operation 500 a.
  • the second thickness of the SiC x O y N z film 506 may have a conformality of at least about 75%, at least about 80%, at least about 85%, at least about 90%, at least about 95%, or at least about 98%.
  • the second thickness of the SiC x O y N z film 506 may be equal to or less than about 10 ⁇ , or equal to or less than about 5 ⁇ .
  • the second thickness of the SiC x O y N z film 506 may be between about 0.5 ⁇ and about 5 ⁇ , or between about 0.5 ⁇ and about 4.5 ⁇ .
  • Deposition of the second thickness of the SiC x O y N z film 506 may occur in the same reaction chamber as treatment of the SiC x O y N z film 506 and deposition of the first thickness of the SiC x O y N z film 506 .
  • depositing the second thickness of the SiC x O y N z film 506 can occur without introducing a vacuum break (e.g., air break) between operations.
  • the operation 500 c of the process 500 may further include repeating operations of 500 b and 500 a until the feature 504 is filled or substantially filled.
  • substantially filled with respect to filling the feature 504 can refer to having the SiC x O y N z film 506 occupy at least 98% of a volume the feature 504 .
  • Seams and/or voids 508 may form when the feature 504 is substantially filled with the SiC x O y N z film 506 .
  • deposition by remote plasma CVD and remote hydrogen plasma exposure as described in the present disclosure can eliminate formation of seams and/or voids 508 or at least minimize the sizes of seams and/or voids 508 .
  • Repeating operations of 500 b and 500 a in operation 500 c can include repeating: (i) exposing the SiC x O y N z film 506 to remote hydrogen plasma so that a size of an opening at the top surface of the feature 504 is increased and (ii) depositing a new thickness of the SiC x O y N z film 506 in the feature 504 .
  • treatment frequency, treatment time, treatment power, and/or remote plasma gas composition are identical in operation 500 c to the treatment frequency, treatment time, treatment power, and/or remote plasma gas composition in operations 500 b and 500 a .
  • a treatment frequency of 5 ⁇ or less per deposition-treatment cycle, a treatment time of between about 0.5 seconds and 120 seconds, a treatment power of an applied RF power between about 1 Kilowatt and about 8 Kilowatts, and a remote plasma gas composition having a concentration between about 10% and about 50% by volume of hydrogen with a balance of helium can be provided until the feature 504 is filled or at least substantially filled.
  • the conditions of the remote hydrogen plasma during repeated operations 500 b in operation 500 c are controlled so that the size of the opening near the top surface of the feature 504 is increased. In some embodiments, the size of the opening near the top surface of the feature 504 is increased more than the opening near the bottom surface of the feature 504 when repeating operations 500 b in operation 500 c . Gapfill is completed when one of the repeated operations 500 a in operation 500 c closes off the opening of the feature 504 .
  • a time interval may be introduced between depositing the second thickness of the SiC x O y N z film 506 at operation 500 c and repeating operation 500 b (i.e., plasma treatment).
  • plasma is turned off and some gases continue to flow into the reaction chamber.
  • the gases may include the hydrogen gas, inert carrier gas, and/or co-reactant gas flowed during plasma treatment at operation 500 b .
  • residue deposition does not occur that may adversely affect gapfill performance.
  • the time interval may be between about 1 second and about 30 seconds, such as about 5 seconds, about 10 seconds, or about 20 seconds.
  • various time intervals may occur between plasma deposition and plasma treatment operations to modulate gapfill performance. This means that the time intervals may occur in a transition from deposition to plasma treatment, and/or in a transition from plasma treatment back to deposition.
  • parameters of treatment frequency, treatment time, treatment power, and/or remote plasma gas composition may be adjusted depending on the geometry of the feature 504 .
  • the treatment frequency, treatment time, treatment power, and/or remote plasma gas composition may vary.
  • the treatment frequency for example, can be flexibly tuned based on an incoming feature geometry to reshape the filling of the feature 504 and improve gapfill performance. That way, how much thickness of the SiC x O y N z film 506 is deposited per deposition-treatment cycle can be tuned to minimize formation of seams and/or voids 508 while maintaining reasonable throughput.
  • the SiC x O y N z film 506 deposited by remote plasma CVD in the present disclosure has high etch selectivity to both oxide and nitride materials, where the SiC x O y N z film 506 has an etch selectivity of at least 7:1 under dry etch or wet etch conditions against oxide and nitride materials.
  • the SiC x O y N z film 506 may have excellent electrical properties including high breakdown voltages and low leakage currents.
  • the SiC x O y N z film 506 may have a low dielectric constant (low-k), where the effective dielectric constant of the SiC x O y N z film 506 is about 4.0 or lower, about 3.5 or lower, about 3.0 or lower, or about 2.5 or lower.
  • low-k low dielectric constant
  • a suitable apparatus includes hardware for accomplishing the process operations and a system controller having instructions for controlling process operations in accordance with the present disclosure.
  • the apparatus for performing the aforementioned process operations can include a remote plasma source.
  • a remote plasma source provides mild reaction conditions in comparison to a direct plasma.
  • An example of a suitable remote plasma apparatus is described in U.S. patent application Ser. No. 14/062,648 to Varadarajan et al., filed Oct.
  • FIG. 6 presents a schematic diagram of a remote plasma apparatus according to certain embodiments.
  • the device 600 includes a reaction chamber 610 with a showerhead 620 . Inside the reaction chamber 610 , a substrate 630 rests on a stage or pedestal 635 . In some embodiments, the pedestal 635 can be fitted with a heating/cooling element.
  • a controller 640 may be connected to the components of the device 600 to control the operation of the device 600 .
  • the controller 640 may contain instructions for controlling process conditions for the operations of the device 600 , such as the temperature process conditions and/or the pressure process conditions.
  • the controller 640 may contain instructions for controlling the flow rates of precursor gas, co-reactant gas, source gas, and carrier gas.
  • the controller 640 may contain instructions for controlling the treatment frequency, treatment time, treatment power, and remote plasma gas composition of remote hydrogen plasma. A more detailed description of the controller 640 is provided below.
  • gases or gas mixtures are introduced into the reaction chamber 610 via one or more gas inlets coupled to the reaction chamber 610 .
  • two or more gas inlets are coupled to the reaction chamber 610 .
  • a first gas inlet 655 can be coupled to the reaction chamber 610 and connected to a vessel 650
  • a second gas inlet 665 can be coupled to the reaction chamber 610 and connected to a remote plasma source 660 .
  • the delivery lines for the precursors and the radical species generated in the remote plasma source 660 are separated. Hence, the precursors and the radical species do not substantially interact before reaching the substrate 630 .
  • the gas lines may be reversed so that the vessel 650 may provide precursor gas flow through the second gas inlet 665 and the remote plasma source 660 may provide ions and radicals through the first gas inlet 655 .
  • One or more radical species may be generated in the remote plasma source 660 and configured to enter the reaction chamber 610 via the second gas inlet 665 .
  • Any type of plasma source may be used in remote plasma source 660 to create the radical species. This includes, but is not limited to, capacitively coupled plasmas, inductively coupled plasmas, microwave plasmas, DC plasmas, and laser-created plasmas.
  • An example of a capacitively coupled plasma can be a radio frequency (RF) plasma.
  • RF radio frequency
  • a high-frequency plasma can be configured to operate at 13.56 MHz or higher.
  • An example of such a remote plasma source 660 can be the GAMMA®, manufactured by Lam Research Corporation of Fremont, Calif.
  • a RF remote plasma source 660 can be the Aston®, manufactured by MKS Instruments of Wilmington, Mass., which can be operated at 440 kHz and can be provided as a subunit bolted onto a larger apparatus for processing one or more substrates in parallel.
  • a microwave plasma can be used as the remote plasma source 660 , such as the Astex®, also manufactured by MKS Instruments.
  • a microwave plasma can be configured to operate at a frequency of 2.45 GHz.
  • Gas provided to the remote plasma source 660 may include hydrogen, nitrogen, oxygen, and other gases as mentioned elsewhere herein.
  • hydrogen is provided in a carrier such helium.
  • hydrogen gas may be provided in a helium carrier at a concentration of about 1-50% by volume during deposition operations, and hydrogen gas may be provided in a helium carrier at a concentration of at least about 10% by volume during treatment operations.
  • the precursors can be provided in vessel 650 and can be supplied to the showerhead 620 via the first gas inlet 655 .
  • the showerhead 620 distributes the precursors into the reaction chamber 610 toward the substrate 630 .
  • the substrate 630 can be located beneath the showerhead 620 . It will be appreciated that the showerhead 620 can have any suitable shape, and may have any number and arrangement of ports for distributing gases to the substrate 630 .
  • the precursors can be supplied to the showerhead 620 and ultimately to the substrate 630 at a controlled flow rate.
  • the one or more radical species formed in the remote plasma source 660 can be carried in the gas phase toward the substrate 630 .
  • the one or more radical species can flow through a second gas inlet 665 into the reaction chamber 610 .
  • the second gas inlet 665 need not be transverse to the surface of the substrate 630 as illustrated in FIG. 6 .
  • the second gas inlet 665 can be directly above the substrate 630 or in other locations.
  • the distance between the remote plasma source 660 and the reaction chamber 610 can be configured to provide mild reactive conditions such that the ionized species generated in the remote plasma source 660 are substantially neutralized, but at least some radical species in substantially low energy states remain in the environment adjacent to the substrate 630 .
  • the distance between the remote plasma source 660 and the reaction chamber 610 can be a function of the aggressiveness of the plasma (e.g., determined in part by the source RF power level), the density of gas in the plasma (e.g., if there's a high concentration of hydrogen atoms, a significant fraction of them may recombine to form H 2 before reaching the reaction chamber 610 ), and other factors.
  • the distance between the remote plasma source 660 and the reaction chamber 610 can be between about 1 cm and 30 cm, such as about 5 cm or about 15 cm.
  • a co-reactant which is not the primary silicon-containing precursor or a hydrogen radical, is introduced during the deposition reaction.
  • the device 600 is configured to introduce the co-reactant through the second gas inlet 665 , in which case the co-reactant is at least partially converted to plasma.
  • the device 600 is configured to introduce the co-reactant through the showerhead 620 via the first gas inlet 655 .
  • the co-reactant include oxygen, nitrogen, ammonia, carbon dioxide, carbon monoxide, and the like.
  • FIG. 7 illustrates a schematic diagram of an example plasma processing apparatus with a remote plasma source according to some other implementations.
  • the plasma processing apparatus 700 includes the remote plasma source 702 separated from a reaction chamber 704 .
  • the remote plasma source 702 is fluidly coupled with the reaction chamber 704 via a multiport gas distributor 706 , which may also be referred to as a showerhead. Radical species are generated in the remote plasma source 702 and supplied to the reaction chamber 704 .
  • One or more silicon-containing precursors are supplied to the reaction chamber 704 downstream from the remote plasma source 702 and from the multiport gas distributor 706 .
  • the one or more silicon-containing precursors react with the radical species in a chemical vapor deposition zone 708 of the reaction chamber 704 to deposit a SiC x O y N z film on a surface of a substrate 712 .
  • the chemical vapor deposition zone 708 includes an environment adjacent to the surface of the substrate 712 .
  • the substrate 712 is supported on a substrate support or pedestal 714 .
  • the pedestal 714 may move within the reaction chamber 704 to position the substrate 712 within the chemical vapor deposition zone 708 .
  • pedestal 714 is shown having elevated the substrate 712 within the chemical vapor deposition zone 708 .
  • the pedestal 714 may also adjust the temperature of the substrate 712 in some embodiments, which can provide some selective control over thermally activated surface reactions on the substrate 712 .
  • FIG. 7 shows a coil 718 arranged around the remote plasma source 702 , where the remote plasma source 702 includes an outer wall (e.g., quartz dome).
  • the coil 718 is electrically coupled to a plasma generator controller 722 , which may be used to form and sustain plasma within a plasma region 724 via inductively coupled plasma generation.
  • the plasma generator controller 722 may include a power supply for supplying power to the coil 718 , where the power can be in a range between about 1 and 6 kilowatts (kW) during plasma generation.
  • electrodes or antenna for parallel plate or capacitively coupled plasma generation may be used to generate a continuous supply of radicals via plasma excitation rather than inductively coupled plasma generation.
  • radical species may continuously be generated using plasma excitation during film deposition and treatment.
  • hydrogen radicals are generated under approximately steady-state conditions during steady-state film deposition, though transients may occur at the beginning and end of film deposition and treatment.
  • a supply of hydrogen radicals may be continuously generated within the plasma region 724 while hydrogen gas or other source gas is being supplied to the remote plasma source 702 .
  • Excited hydrogen radicals may be generated in the remote plasma source 702 . If not re-excited or re-supplied with energy, or re-combined with other radicals, the excited hydrogen radicals lose their energy, or relax. Thus, excited hydrogen radicals may relax to form hydrogen radicals in a substantially low energy state or ground state.
  • the hydrogen gas or other source gas may be diluted with one or more additional gases. These one or more additional gases may be supplied to the remote plasma source 702 .
  • the hydrogen gas or other source gas is mixed with one or more additional gases to form a gas mixture, where the one or more additional gases can include a carrier gas.
  • additional gases can include helium, neon, argon, krypton, and xenon.
  • the one or more additional gases may support or stabilize steady-state plasma conditions within the remote plasma source 702 or aid in transient plasma ignition or extinction processes.
  • diluting hydrogen gas or other source gas with helium may permit higher total pressures without concomitant plasma breakdown.
  • a dilute gas mixture of hydrogen gas and helium may permit higher total gas pressure without increasing plasma power to the remote plasma source 702 .
  • a source gas supply 726 is fluidly coupled with the remote plasma source 702 for supplying the hydrogen gas or source gas.
  • an additional gas supply 728 is fluidly coupled with the remote plasma source 702 for supplying the one or more additional gases.
  • the one or more additional gases may also include a co-reactant gas as described above. While the embodiment in FIG. 7 depicts the gas mixture of the source gas and the one or more additional gases being introduced through separate gas outlets, it will be understood that the gas mixture may be introduced directly into the remote plasma source 702 . That is, a pre-mixed dilute gas mixture may be supplied to the remote plasma source 702 through a single gas outlet.
  • Gases such as excited hydrogen and helium radicals and relaxed gases/radicals, flow out of the remote plasma source 702 and into the reaction chamber 704 via multiport gas distributor 706 .
  • Gases within the multiport gas distributor 706 and within the reaction chamber 704 are generally not subject to continued plasma excitation therein.
  • the multiport gas distributor 706 includes an ion filter and/or a photon filter. Filtering ions and/or photons may reduce substrate damage, undesirable re-excitation of molecules, and/or selective breakdown or decomposition of silicon-containing precursors within the reaction chamber 704 .
  • Multiport gas distributor 706 may have a plurality of gas ports 734 to diffuse the flow of gases into the reaction chamber 704 .
  • the plurality of gas ports 734 may be mutually spaced apart. In some implementations, the plurality of gas ports 734 may be arranged as an array of regularly spaced apart channels or through-holes extending through a plate separating the remote plasma source 702 and the reaction chamber 704 . The plurality of gas ports 734 may smoothly disperse and diffuse exiting radicals from the remote plasma source 702 into the reaction chamber 704 .
  • Typical remote plasma sources are far removed from reaction vessels. Consequently, radical extinction and recombination, e.g., via wall collision events, may reduce active species substantially.
  • dimensions for the plurality of gas ports 734 may be configured in view of the mean free path or gas flow residence time under typical processing conditions to aid the free passage of radicals into the reaction chamber 704 .
  • openings for the plurality of gas ports 734 may occupy between about 5% and about 20% of an exposed surface area of the multiport gas distributor 706 .
  • the plurality of gas ports 734 may each have an axial length to diameter ratio of between about 3:1 and 10:1 or between about 6:1 and about 8:1.
  • Such aspect ratios may reduce wall-collision frequency for radical species passing through the plurality of gas ports 734 while providing sufficient time for a majority of excited state radical species to relax to ground state radical species.
  • dimensions of the plurality of gas ports 734 may be configured so that the residence time of gases passing through the multiport gas distributor 706 is greater than the typical energetic relaxation time of an excited state radical species.
  • Excited state radical species for hydrogen source gas may be denoted by .H* in FIG. 7 and ground state radical species for hydrogen source gas may be denoted by .H in FIG. 7 .
  • excited state radical species exiting the plurality of gas ports 734 may flow into a relaxation zone 738 contained within an interior of the reaction chamber 704 .
  • the relaxation zone 738 is positioned upstream of the chemical vapor deposition zone 708 but downstream of the multiport gas distributor 706 .
  • Substantially all or at least 90% of the excited state radical species exiting the multiport gas distributor 706 will transition into relaxed state radical species in the relaxation zone 738 .
  • almost all of the excited state radical species (e.g., excited hydrogen radicals) entering the relaxation zone 738 become de-excited or transition into a relaxed state radical species (e.g., ground state hydrogen radicals) before exiting the relaxation zone 738 .
  • a relaxed state radical species e.g., ground state hydrogen radicals
  • process conditions or a geometry of the relaxation zone 738 may be configured so that the residence time of radical species flowing through the relaxation zone 738 , e.g., a time determined by mean free path and mean molecular velocity, results in relaxed state radical species flowing out of the relaxation zone 738 .
  • one or more silicon-containing precursors and/or one or more co-reactants may be introduced into the chemical vapor deposition zone 708 .
  • the one or more silicon-containing precursors may be introduced via a gas distributor or gas outlet 742 , where the gas outlet 742 may be fluidly coupled with a precursor supply source 740 .
  • the relaxation zone 738 may be contained within a space between the multiport gas distributor 706 and the gas outlet 742 .
  • the gas outlet 742 may include mutually spaced apart openings so that the flow of the one or more silicon-containing precursors may be introduced in a direction parallel with gas mixture flowing from the relaxation zone 738 .
  • the gas outlet 742 may be located downstream from the multiport gas distributor 706 and the relaxation zone 738 .
  • the gas outlet 742 may be located upstream from the chemical vapor deposition zone 708 and the substrate 712 .
  • the chemical vapor deposition zone 708 is located within the interior of the reaction chamber 704 and between the gas outlet 742 and the substrate 712 .
  • Substantially all of the flow of the one or more silicon-containing precursors may be prevented from mixing with excited state radical species adjacent to the multiport gas distributor 706 .
  • Relaxed or ground state radical species mix in a region adjacent to the substrate 712 with the one or more silicon-containing precursors.
  • the chemical vapor deposition zone 708 includes the region adjacent to the substrate 712 where the relaxed or ground state radical species mix with the one or more silicon-containing precursors.
  • the relaxed or ground state radical species mix with the one or more silicon-containing precursors in the gas phase during CVD formation of an SiC x O y N z film. However, the relaxed or ground state radical species do not mix with any silicon-containing precursors in the gas phase during densification and shrinkage of the SiC x O y N z film.
  • a co-reactant may be introduced from the gas outlet 742 and flowed along with the one or more silicon-containing precursors.
  • the co-reactant may be introduced downstream from the remote plasma source 702 .
  • the co-reactant may be supplied from the precursor supply source 740 or other source (not shown) fluidly coupled to the gas outlet 742 .
  • a co-reactant may be introduced from the multiport gas distributor 706 and flowed along with the radical species generated in the remote plasma source 702 and into the reaction chamber 704 . This may include radicals and/or ions of a co-reactant gas provided in the remote plasma source 702 .
  • the co-reactant may be supplied from the additional gas supply 728 .
  • the gas outlet 742 may be separated from the multiport gas distributor 706 by a sufficient distance to prevent back diffusion or back streaming of the one or more silicon-containing precursors. In some implementations, the gas outlet 742 may be separated from the plurality of gas ports 734 by a distance between about 0.5 inches and about 5 inches, or between about 1.5 inches and about 4.5 inches, or between about 1.5 inches and about 3 inches.
  • a system controller 750 is in operative communication with the plasma processing apparatus 700 .
  • the system controller 750 includes a processor system 752 (e.g., microprocessor) configured to execute instructions held in a data system 754 (e.g., memory).
  • the system controller 750 may be in communication with the plasma generator controller 722 to control plasma parameters and/or conditions.
  • the system controller 750 may be in communication with the pedestal 714 to control pedestal elevation and temperature. In some implementations, the system controller 750 may control other processing conditions, such as RF power settings, frequency settings, duty cycles, pulse times, pressure within the reaction chamber 704 , pressure within the remote plasma source 702 , gas flow rates from the source gas supply 726 and the additional gas supply 728 , gas flow rates from the precursor supply source 740 and other sources, temperature of the pedestal 714 , and temperature of the reaction chamber 704 , among others.
  • other processing conditions such as RF power settings, frequency settings, duty cycles, pulse times, pressure within the reaction chamber 704 , pressure within the remote plasma source 702 , gas flow rates from the source gas supply 726 and the additional gas supply 728 , gas flow rates from the precursor supply source 740 and other sources, temperature of the pedestal 714 , and temperature of the reaction chamber 704 , among others.
  • the controller 750 may contain instructions for controlling process conditions for the operation of the plasma processing apparatus 700 .
  • the controller 750 will typically include one or more memory devices and one or more processors.
  • the processor may include a CPU or computer, analog and/or digital input/output connections, stepper motor controller boards, etc. Instructions for implementing appropriate control operations are executed on the processor. These instructions may be stored on the memory devices associated with the controller 750 or they may be provided over a network.
  • the controller 750 controls all or most activities of the plasma processing apparatus 700 described herein.
  • the controller 750 may control all or most activities of the plasma processing apparatus 700 associated with depositing an SiC x O y N z film and, optionally, other operations in a fabrication flow that includes the SiC x O y N z film.
  • the controller 750 may execute system control software including sets of instructions for controlling the treatment frequency, treatment time, treatment power, and remote plasma gas composition of remote plasma conditions for gapfill operations.
  • the controller 750 may also execute system control software including sets of instructions for controlling timing, time interval between deposition and plasma treatment operations, gas composition, gas flow rates, chamber pressure, chamber temperature, substrate position, and/or other parameters.
  • controller 750 may be employed in some embodiments.
  • parameters such as the RF power levels, gas flow rates to the plasma region 724 , gas flow rates to the chemical vapor deposition zone 708 , and timing of the plasma ignition can be adjusted and maintained by controller 750 .
  • adjusting the substrate position may further reduce the presence of high-energy radical species at the environment adjacent to the substrate 712 .
  • the controller 750 may comprise different or identical instructions for different apparatus stations, thus allowing the apparatus stations to operate either independently or synchronously.
  • the controller 750 may include instructions for performing operations such depositing a first thickness of a SiC x O y N z film in one or more features of the substrate 712 , exposing the SiC x O y N z film to a remote hydrogen plasma under conditions that increase a size of an opening near a top surface of each of the one or more features, and depositing a second thickness of the SiC x O y N z film is deposited in the one or more features of the substrate 712 .
  • the controller 750 may include instructions for flowing one or more silicon-containing precursors into the reaction chamber 704 and introducing one or more hydrogen radicals generated from the remote plasma source 702 and towards the substrate 712 in the reaction chamber 704 , where the one or more hydrogen radicals react with the one or more silicon-containing precursors to deposit the SiC x O y N z film.
  • the controller 750 may further include instructions for controlling an atomic concentration of the SiC x O y N z film so that the conditions of the remote hydrogen plasma increase the size of the opening near the top surface of each of the one or more features.
  • the controller 750 may further include instructions for repeating operations of exposing the SiC x O y N z film to the remote hydrogen plasma and depositing a new thickness of the SiC x O y N z film in the one or more features of the substrate 712 until the one or more features are filled or at least substantially filled.
  • the conditions of the remote hydrogen plasma include a treatment frequency, treatment time, treatment power, and/or remote plasma gas composition being controlled so that the size of the opening near the top surface of each of the one or more features is increased more than a size of an opening near a bottom surface of each of the one or more features.
  • the treatment power of the remote hydrogen plasma includes a concentration between about 10% and about 50% by volume of hydrogen, or a concentration between about 10% and about 30% by volume of hydrogen.
  • the first thickness and the second thickness of the SiC x O y N z film is each between about 0.5 ⁇ and about 10 ⁇ , or between about 0.5 ⁇ and about 4.5 ⁇ .
  • the apparatus 700 may include a user interface associated with controller 750 .
  • the user interface may include a display screen, graphical software displays of the apparatus 700 and/or process conditions, and user input devices such as pointing devices, keyboards, touch screens, microphones, etc.
  • the computer program code for controlling the above operations can be written in any conventional computer readable programming language: for example, assembly language, C, C++, Pascal, Fortran, or others. Compiled object code or script is executed by the processor to perform the tasks identified in the program.
  • Signals for monitoring the process may be provided by analog and/or digital input connections of the system controller.
  • the signals for controlling the process are output on the analog and digital output connections of the processing system.
  • the methods described herein can be performed on systems including semiconductor processing equipment such as a processing tool or tools, chamber or chambers, a platform or platforms for processing, and/or specific processing components (a wafer pedestal, a gas flow system, etc.). These systems may be integrated with electronics for controlling their operation before, during, and after processing of a semiconductor wafer or substrate. In general, the electronics are referred to as the controller, which may control various components or subparts of the system or systems.
  • the controller may be programmed to control any of the processes disclosed herein, including the delivery of processing gases, temperature settings (e.g., heating and/or cooling), pressure settings, vacuum settings, power settings, RF generator settings, RF matching circuit settings, frequency settings, flow rate settings, fluid delivery settings, positional and operation settings, wafer transfers into and out of a tool and other transfer tools and/or load locks connected to or interfaced with a specific system.
  • temperature settings e.g., heating and/or cooling
  • pressure settings e.g., vacuum settings
  • power settings e.g., RF generator settings
  • RF matching circuit settings e.g., frequency settings, flow rate settings, fluid delivery settings, positional and operation settings
  • the controller may be defined as electronics having various integrated circuits, logic, memory, and/or software that receive instructions, issue instructions, control operation, enable cleaning operations, enable endpoint measurements, and the like.
  • the integrated circuits may include chips in the form of firmware that store program instructions, digital signal processors (DSPs), chips defined as application specific integrated circuits (ASICs), and/or one or more microprocessors, or microcontrollers that execute program instructions (e.g., software).
  • Program instructions may be instructions communicated to the controller in the form of various individual settings (or program files), defining operational parameters for carrying out a particular process on or for a semiconductor wafer or to a system.
  • the operational parameters may, in some embodiments, be part of a recipe defined by process engineers to accomplish one or more processing steps during the fabrication of one or more layers, materials (e.g., silicon carbide), surfaces, circuits, and/or dies of a wafer.
  • the controller may be a part of or coupled to a computer that is integrated with, coupled to the system, otherwise networked to the system, or a combination thereof.
  • the controller may be in the “cloud” or all or a part of a fab host computer system, which can allow for remote access of the wafer processing.
  • the computer may enable remote access to the system to monitor current progress of fabrication operations, examine a history of past fabrication operations, examine trends or performance metrics from a plurality of fabrication operations, to change parameters of current processing, to set processing steps to follow a current processing, or to start a new process.
  • a remote computer e.g. a server
  • the remote computer may include a user interface that enables entry or programming of parameters and/or settings, which are then communicated to the system from the remote computer.
  • the controller receives instructions in the form of data, which specify parameters for each of the processing steps to be performed during one or more operations. It should be understood that the parameters may be specific to the type of process to be performed and the type of tool that the controller is configured to interface with or control.
  • the controller may be distributed, such as by comprising one or more discrete controllers that are networked together and working towards a common purpose, such as the processes and controls described herein.
  • An example of a distributed controller for such purposes would be one or more integrated circuits on a chamber in communication with one or more integrated circuits located remotely (such as at the platform level or as part of a remote computer) that combine to control a process on the chamber.
  • example systems may include a plasma etch chamber or module, a deposition chamber or module, a spin-rinse chamber or module, a metal plating chamber or module, a clean chamber or module, a bevel edge etch chamber or module, a physical vapor deposition (PVD) chamber or module, a chemical vapor deposition (CVD) chamber or module, an atomic layer deposition (ALD) chamber or module, an atomic layer etch (ALE) chamber or module, an ion implantation chamber or module, a track chamber or module, and any other semiconductor processing systems that may be associated or used in the fabrication and/or manufacturing of semiconductor wafers.
  • PVD physical vapor deposition
  • CVD chemical vapor deposition
  • ALD atomic layer deposition
  • ALE atomic layer etch
  • the controller might communicate with one or more of other tool circuits or modules, other tool components, cluster tools, other tool interfaces, adjacent tools, neighboring tools, tools located throughout a factory, a main computer, another controller, or tools used in material transport that bring containers of wafers to and from tool locations and/or load ports in a semiconductor manufacturing factory.
  • the apparatus/process described hereinabove may be used in conjunction with lithographic patterning tools or processes, for example, for the fabrication or manufacture of semiconductor devices, displays, LEDs, photovoltaic panels and the like. Typically, though not necessarily, such tools/processes will be used or conducted together in a common fabrication facility.
  • Lithographic patterning of a film typically includes some or all of the following operations, each operation enabled with a number of possible tools: (1) application of photoresist on a workpiece, i.e., substrate, using a spin-on or spray-on tool; (2) curing of photoresist using a hot plate or furnace or UV curing tool; (3) exposing the photoresist to visible or UV or x-ray light with a tool such as a wafer stepper; (4) developing the resist so as to selectively remove resist and thereby pattern it using a tool such as a wet bench; (5) transferring the resist pattern into an underlying film or workpiece by using a dry or plasma-assisted etching tool; and (6) removing the resist using a tool such as an RF or microwave plasma resist stripper.
  • a tool such as an RF or microwave plasma resist stripper.
  • FIG. 8 shows a TEM image of an SiC x O y N z film deposited in a plurality of features of a substrate according to some implementations.
  • the SiC x O y N z film serves as gapfill material in the plurality of features.
  • the SiC x O y N z film may include silicon oxycarbide.
  • the SiC x O y N z film may be deposited by alternating remote plasma CVD and remote hydrogen plasma exposure operations.
  • the treatment frequency is greater than about 10 ⁇ per deposition-treatment cycle
  • the treatment power of the remote hydrogen plasma has a concentration of between 1-5% hydrogen by volume with a balance of helium
  • the treatment time of the remote hydrogen plasma exposure is at least 10 seconds.
  • Voids are formed in each of the plurality of features in FIG. 8 .
  • FIG. 9 shows a TEM image of a SiC x O y N z film deposited in a plurality of features of a substrate according to some implementations.
  • the SiC x O y N z film serves as gapfill material in the plurality of features.
  • the SiC x O y N z film may include silicon oxycarbide.
  • the SiC x O y N z film may be deposited by alternating remote plasma CVD and remote hydrogen plasma exposure operations. Various time intervals may be introduced between remote plasma CVD and remote hydrogen plasma exposure operations to modulate gapfill performance. However, the remote hydrogen plasma exposure conditions are controlled to limit the sizes of the voids formed in FIG. 9 .
  • the treatment frequency is equal to or less than 5 ⁇ per deposition-treatment cycle
  • the treatment power of the remote hydrogen plasma is between about 2 Kilowatts and about 6 Kilowatts
  • the remote plasma gas composition has a concentration of between about 10% and about 50% by volume of hydrogen with a balance of helium
  • the treatment time of the remote hydrogen plasma exposure is between about 0.5 seconds and about 120 seconds.
  • Voids are formed in each of the plurality of features in FIG. 9 , but the voids are significantly smaller compared to FIG. 8 .

Abstract

A doped or undoped silicon carbide (SiCxOyNz) film can be deposited in one or more features of a substrate for gapfill. After a first thickness of the doped or undoped silicon carbide film is deposited in the one or more features, the doped or undoped silicon carbide film is exposed to a remote hydrogen plasma under conditions that cause a size of an opening near a top surface of each of the one or more features to increase, where the conditions can be controlled by controlling treatment time, treatment frequency, treatment power, and/or remote plasma gas composition. Operations of depositing additional thicknesses of silicon carbide film and performing a remote hydrogen plasma treatment are repeated to at least substantially fill the one or more features. Various time intervals between deposition and plasma treatment may be added to modulate gapfill performance.

Description

    INCORPORATION BY REFERENCE
  • An Application Data Sheet is filed concurrently with this specification as part of the present application. Each application that the present application claims benefit of or priority to as identified in the concurrently filed Application Data Sheet is incorporated by reference herein in their entireties and for all purposes.
  • BACKGROUND
  • Fabrication of devices such as semiconductor devices may involve deposition of various dielectric, conductive, or semiconductive films in recessed features of a substrate. Various techniques for filling such features exist, but as devices shrink and features become smaller, feature fill without voids or seams becomes increasingly challenging.
  • The background provided herein is for the purposes of generally presenting the context of the disclosure. Work of the presently named inventors, to the extent that it is described in this background, as well as aspects of the description that may not otherwise qualify as prior art at the time of filing, are neither expressly nor impliedly admitted as prior art against the present disclosure.
  • SUMMARY
  • Provided herein is a method of depositing a doped or undoped silicon carbide (SiCxOyNz) film in one or more features of a substrate. The method includes depositing a first thickness of the SiCxOyNz film in the one or more features of the substrate, exposing the SiCxOyNz film to a remote hydrogen plasma under conditions that increase a size of an opening near a top surface of each of the one or more features, and depositing a second thickness of the SiCxOyNz film on the first thickness of the SiCxOyNz film, where x has a value greater than zero, y has a value equal to or greater than zero, and z has a value equal to or greater than zero.
  • In some implementations, the method further includes repeating operations of exposing the SiCxOyNz film to the remote hydrogen plasma and depositing a new thickness of the SiCxOyNz film in the one or more features until the one or more features are substantially filled. In some implementations, the conditions of the remote hydrogen plasma include a treatment time, a treatment frequency, a treatment power, and/or remote plasma gas composition, where the treatment time, the treatment frequency, the treatment power, and/or the remote plasma gas composition are controlled so that the size of the opening near the top surface of each of the one or more features is increased more than a size of an opening near a bottom surface of each of the one or more features. The treatment time of exposure to the remote hydrogen plasma may be between about 0.5 seconds and about 120 seconds. The treatment frequency may be 10 Å or less of the SiCxOyNz film per cycle of depositing the SiCxOyNz film and exposing the SiCxOyNz film to remote hydrogen plasma. The remote plasma gas composition of the remote hydrogen plasma may include the remote hydrogen plasma having a concentration between about 10% and about 50% by volume of hydrogen. In some implementations, each of the first thickness and the second thickness is between about 0.5 Å and about 4.5 Å. In some implementations, depositing the first thickness of the SiCxOyNz film includes flowing one or more silicon-containing precursors into a reaction chamber, and introducing one or more hydrogen radicals generated from a remote plasma source and towards the substrate in the reaction chamber, where the one or more hydrogen radicals react with the one or more silicon-containing precursors to deposit the first thickness of the SiCxOyNz film. In some implementations, at least 90% of the hydrogen radicals are hydrogen radicals in the ground state. In some implementations, the conditions of the remote hydrogen plasma increase the size of the opening near the top surface of each of the one or more features by at least about 5%. In some implementations, the conditions of the remote hydrogen plasma increase the size of the opening near the top surface of each of the one or more features when an atomic concentration of carbon of the first thickness of the SiCxOyNz film is between about 10% and about 30%. In some implementations, operations of depositing the first thickness of the SiCxOyNz film and exposing the SiCxOyNz film to the remote hydrogen plasma occur without introducing a vacuum break. In some implementations, the method further includes introducing a time interval between depositing the first thickness of the SiCxOyNz film and exposing the first thickness of the SiCxOyNz film to remote hydrogen plasma in order to modulate gapfill performance.
  • Another aspect involves an apparatus. The apparatus includes a reaction chamber, a substrate support for supporting a substrate in the reaction chamber, the substrate having one or more features, and a controller. The controller is configured with instructions for performing the following operations: depositing a first thickness of a doped or undoped silicon carbide (SiCxOyNz) film in the one or more features of the substrate, exposing the SiCxOyNz film to a remote hydrogen plasma under conditions that increase a size of an opening near a top surface of each of the one or more features, depositing a second thickness of the SiCxOyNz film on the first thickness of the SiCxOyNz film, where x has a value greater than zero, y has a value equal to or greater than zero, and z has a value equal to or greater than zero.
  • In some implementations, the controller is further configured with instructions for performing the following operation: repeating operations of exposing the SiCxOyNz film to the remote hydrogen plasma and depositing a new thickness of the SiCxOyNz film in the one or more features until the one or more features are substantially filled. In some implementations, the conditions of the remote hydrogen plasma include a treatment time, a treatment frequency, a treatment power, and/or a remote plasma gas composition, where the treatment time, the treatment frequency, the treatment power, and/or remote plasma gas composition are controlled so that the size of the opening near the top surface of each of the one or more features is increased more than a size of an opening near a bottom surface of each of the one or more features. The remote plasma gas composition of the remote hydrogen plasma may include the remote hydrogen plasma having a concentration between about 10% and about 50% by volume of hydrogen. In some implementations, each of the first thickness and the second thickness is equal to or less than about 10 Å. In some implementations, the controller is further configured with instructions for performing the following operation: introducing a time interval between depositing the first thickness of the SiCxOyNz film and exposing the first thickness of the SiCxOyNz film to remote hydrogen plasma in order to modulate gapfill performance.
  • These and other aspects are described further below with reference to the drawings.
  • BRIEF DESCRIPTION OF THE DRAWINGS
  • FIG. 1 illustrates a cross-sectional schematic of an example feature of a substrate.
  • FIGS. 2A-2C are cross-sectional schematic illustrations of a feature of an example substrate undergoing gapfill using dep-etch-dep processing.
  • FIGS. 3A-3C are cross-sectional schematic illustrations of a feature of an example substrate undergoing gapfill using inhibition chemistry.
  • FIG. 4 illustrates a cross-sectional schematic of different gapfill materials in an example substrate according to some implementations.
  • FIGS. 5A-5C are cross-sectional schematic illustrations of a feature of an example substrate undergoing gapfill using remote plasma chemical vapor deposition and remote hydrogen plasma exposure operations according to some implementations.
  • FIG. 6 illustrates a schematic diagram of an example plasma processing apparatus with a remote plasma source according to some implementations.
  • FIG. 7 illustrates a schematic diagram of an example plasma processing apparatus with a remote plasma source according to some other implementations.
  • FIG. 8 shows a TEM image of an SiCxOyNz film deposited in a plurality of features of a substrate according to some implementations.
  • FIG. 9 shows a TEM image of an SiCxOyNz film deposited in a plurality of features of a substrate according to some implementations.
  • DETAILED DESCRIPTION
  • In the present disclosure, the terms “semiconductor wafer,” “wafer,” “substrate,” “wafer substrate,” and “partially fabricated integrated circuit” are used interchangeably. One of ordinary skill in the art would understand that the term “partially fabricated integrated circuit” can refer to a silicon wafer during any of many stages of integrated circuit fabrication. A wafer or substrate used in the semiconductor device industry typically has a diameter of 200 mm, or 300 mm, or 450 mm. The following detailed description assumes the present disclosure is implemented on a wafer. However, the present disclosure is not so limited. The work piece may be of various shapes, sizes, and materials. In addition to semiconductor wafers, other work pieces that may take advantage of the present disclosure include various articles such as printed circuit boards and the like.
  • Substrates may include “features” or “trenches.” “Features” as used herein may refer to non-planar structures of a substrate, typically a surface being modified in a semiconductor device fabrication operation. Examples of features, which may also be referred to as “negative features” or “recessed features,” include trenches, holes, vias, gaps, recessed regions, and the like. These terms may be used interchangeably in the present disclosure. One example of a feature is a hole or via in a semiconductor substrate or in a layer on the substrate. Another example is a trench in a substrate or layer. A feature typically has an aspect ratio (depth to lateral dimension). A feature may be characterized by one or more of narrow and/or re-entrant openings, constrictions within the feature, and high aspect ratios. A feature having a high aspect ratio can have a depth to lateral dimension aspect ratio equal to or greater than about 10:1, equal to or greater than about 15:1, equal to or greater than about 20:1, equal to or greater than about 25:1, equal to or greater than about 30:1, equal to or greater than about 40:1, equal to or greater than about 50:1, or equal to or greater than about 100:1. In various embodiments, the feature may have an under-layer, such as a barrier layer or adhesion layer. Non-limiting examples of under-layers include dielectric layers and conducting layers, e.g., silicon oxides, silicon nitrides, undoped silicon carbides, oxygen-doped silicon carbides, nitrogen-doped silicon carbides, metal oxides, metal nitrides, metal carbides, and metal layers.
  • Features of a substrate can be of various types. In some embodiments, a feature can have straight sidewalls, positively sloped sidewalls, or negatively sloped sidewalls. In some embodiments, a feature can have sidewall topography or sidewall roughness, which may occur as a result of an etch process to form the feature. In some embodiments, a feature can have a feature opening that is greater at the top of the feature than at the bottom, or a feature can have a feature opening that is greater at the bottom of the feature than at the top. In some embodiments, a feature can be partially filled with material or have one or more under-layers. Gapfill of features such as any of foregoing embodiments can depend on feature type and profile. Semiconductor fabrication processes often include gapfill processes or dielectric gapfill processes. Typically, chemical vapor deposition (CVD) and/or atomic layer deposition (ALD) methods are used to fill features. Conventional techniques, however, often result in formation of undesirable seams or voids within the feature. In some embodiments, the presence of seams and/or voids in gapfill may lead to high resistance, contamination, loss of filled materials, degraded performance, and even device failure.
  • As the aspect ratio of features increases, mass transport limitations of CVD gas phase reactions may cause “bread-loafing” deposition effects that show thicker deposition at top surfaces and thinner deposition at recessed surfaces, which causes the top of a feature opening to close before the feature can be completely filled. Unlike CVD processes, ALD processes use surface-mediated deposition reactions to deposit films on a layer-by-layer basis, and such films are typically conformal. Although ALD can deposit highly conformal films, deposition of films into high aspect ratio features can be challenging. The step coverage and uniformity of film along the sidewall depends on, for example, transport of the deposition precursor, reactant ions and/or radicals, and byproducts. As the lateral dimension of the feature is reduced or the depth of the feature is increased, transport and diffusion of the deposition precursor and/or reactant species becomes increasingly difficult in the feature. Thus, the top of the feature is exposed to more precursor and reactant species and the bottom of the feature is exposed to fewer precursor and reactant species due to diffusion limitations. This can lead to the formation of seams and/or voids in high aspect ratio features.
  • FIG. 1 illustrates a cross-sectional schematic of an example feature of a substrate. A substrate 100 has a feature 101 that is filled using a conventional CVD, plasma-enhanced CVD (PECVD), ALD, or plasma-enhanced ALD (PEALD) technique. A seam 106 forms where gapfill material 102 deposited along sidewalls of the feature 101 meets. If ALD or PEALD techniques are used, the opening at the top of the feature 101 closes and molecular transport becomes progressively difficult, which causes the seam 106 to form near the top of the feature 101 and leave a void 108 in the feature 101. If CVD or PECVD techniques are used, the gapfill material 102 will tend to build up faster at the edges of the feature 101 than along sidewalls of the feature so that the top of the feature 101 closes off and is “pinched” at the seam 106 before the feature 101 is filled, thereby leaving a void 108 in the feature 101.
  • In addition to the formation of voids and seams, the film deposited within the feature may have a different and more degraded film quality than the film deposited near the top of the feature. Without being limited by any theory, this may be because the number and distribution of reactant species reaching the bottom of the feature is different from and less than at the top. In some embodiments, film quality can be evaluated by etching the deposited film and observing and comparing the etch rates at the top of the feature, at the bottom of the feature, and at the sidewalls of the feature.
  • To improve gapfill performance in CVD, PECVD, ALD, or PEALD processes, one of many approaches are generally implemented.
  • In some embodiments, the deposition rate for CVD, PECVD, ALD, or PEALD gapfill can be slowed down. Slowing down deposition rate can fine tune the deposition profile in the gapfill structure and improve gapfill performance. For example, more cycles can be performed in ALD or PEALD processes before a feature is closed off. In addition or in the alternative, a longer duration during initial cycles may allow diffusion of precursor and/or reactant species to reach the bottom and sidewalls of the features. However, slowing down the deposition rate to improve gapfill decreases overall throughput and generally is more applicable to low aspect ratio features and/or features with large openings.
  • In some embodiments, dep-etch-dep (deposition, etch, deposition) techniques are employed to fill features. The dep-etch-dep technique involves deposition of gapfill material, followed by etching some of the gapfill material back to open the feature opening, and followed by re-depositing some of the same gapfill material to complete the gapfill or advance the gapfill process. FIGS. 2A-2C are cross-sectional schematic illustrations of a feature of an example substrate undergoing gapfill using dep-etch-dep processing. FIG. 2A shows an example of a feature 201 of a substrate 200 where material 202 a is deposited in the feature 201. The material 202 a may be deposited conformally along a top surface, sidewalls, and a bottom surface of the feature 201. In some embodiments, the material 202 a may be deposited using ALD or PEALD. A typical ALD cycle may include: (i) dosing that delivers and adsorbs precursor material onto a substrate surface, (ii) purging excess precursor material from the chamber and leaving a self-limited monolayer on the substrate surface, (iii) delivery of reactant material to react with the adsorbed precursor material, and (iv) purging of unreacted reactant material or reaction byproducts from the chamber. The dose step may adsorb precursor material in a self-limiting manner such that once active sites are occupied by the precursor material, little or no additional precursor material will be adsorbed on the substrate surface. The reactant material may likewise react with the precursor material in a self-limiting or adsorption-limiting manner. Purge steps may be optionally performed to remove excess precursor material, reaction byproducts, and/or unreacted reactant material from the chamber, thereby completing an ALD cycle. FIG. 2B shows an example of the feature 201 of the substrate 200 where the material 202 a is etched back. For example, as shown in FIG. 2B, the material 202 a can be etched back and result in a tapered profile. Thus, more of the material 202 a is removed near the top of the feature 201 than at the bottom of the feature 201. The etch operation performed in FIG. 2B reshapes the deposited material 202 a so that more material can be filled in the feature 201. When a subsequent deposition operation is performed that deposits more material near the top of the feature 201 than at the bottom of the feature 201, the feature 201 can be filled with smaller or no voids. FIG. 2C shows an example of the feature 201 of the substrate 200 where material 202 b is deposited in the feature 201. The material 202 b includes the material 202 a from FIGS. 2A and 2B. As shown in FIG. 2C, the material 202 b may substantially fill the feature 201 following deposition and etch operations. Deposition of the material 202 b may result in formation of a void 203, but the void 203 may be smaller by interrupting deposition operations with one or more etch operations. While dep-etch-dep techniques may mitigate the formation of voids and/or seams, etch operations during dep-etch-dep may etch underlying materials, which often leads to device instability and possible device failure. Furthermore, dep-etch-dep techniques often involve multiple rounds of dep-etch-dep and/or multiple wafer transfers between deposition and etch chambers, which lowers overall throughput.
  • In some embodiments, inhibition chemistry can be used so that gapfill material grows or otherwise forms in the feature in a topographically different manner. For example, an inhibitor can react with a material and create a passivated surface to inhibit growth. A surface of a substrate can be more passivated in field and upper regions of a feature and less passivated as a distance into the feature increases. That way, deposition at the top of a feature is selectively inhibited and deposition in lower portions of the feature can proceed with less inhibition or without being inhibited. As a result, bottom-up fill is enhanced. FIGS. 3A-3C are cross-sectional schematic illustrations of a feature of an example substrate undergoing gapfill using inhibition chemistry. FIG. 3A shows an example of a feature 301 of a substrate 300 where at least a field region and upper regions of the feature 301 are exposed to a reactant that inhibits deposition/growth of gapfill material. The reactant reacts with a material to form a passivated layer 305 on the substrate 300. By way of an example, nitrogen gas (N2) or ammonia (NH3) may be used to form the passivated layer 305 that is made of a nitride. Gapfill material, such as ALD silicon dioxide (SiO2), nucleates at a slower rate on nitride surfaces. In FIG. 3B, gapfill material 302 a is deposited in the feature 301. The gapfill material 302 a may be deposited along a top surface, sidewalls, and a bottom surface of the feature 301. The passivated layer 305 selectively inhibits deposition/growth of the gapfill material 302 a in the field region and upper regions of the feature 301 so that deposition/growth of the gapfill material 302 a in other regions of the feature 301 proceeds with less inhibition or without inhibition. In FIG. 3C, gapfill material 302 b is deposited to substantially fill the feature 301. Deposition of the gapfill material 302 b may result in the formation of a void 303, but the void 303 may be smaller by virtue of the inhibition chemistry promoting bottom-up filling in the feature 301. While inhibition chemistry may mitigate the formation of voids and/or seams, inhibition chemistries are limited to certain types of chemistries and processes. In other words, different processes require different inhibition chemistries. Certain inhibition chemistries may not be suitable for limiting deposition/growth of SiCxOyNz films, or deposition/growth of SiCxOyNz films using a particular deposition technique (e.g., remote plasma CVD), or deposition/growth of SiCxOyNz films with desired properties (e.g., high etch selectivity to oxide and nitride). Thus, application of inhibition chemistry in gapfill may be limited to certain chemistries, deposition techniques, and film properties.
  • The present disclosure relates to deposition of doped or undoped silicon carbide film for gapfill using remote plasma CVD and remote plasma exposure. One or more high aspect ratio features are filled or at least substantially filled with doped or undoped silicon carbide gapfill material. In some embodiments, the doped or undoped silicon carbide gapfill material is silicon oxycarbide (SiCO). In some embodiments, the doped or undoped silicon carbide gapfill material is silicon nitricarbide (SiCN). A source gas including hydrogen gas is provided into a remote plasma source that may cause the source gas to dissociate and generate ions and radicals in an excited energy state. After excitation, the radicals in the excited energy state relax to substantially low energy state radicals or ground state radicals in a reaction chamber. One or more silicon-containing precursors are provided in the reaction chamber, where bonds in the one or more silicon-containing precursors are selectively broken by the substantially low energy state radicals or ground state radicals to form the doped or undoped silicon carbide gapfill material in the one or more high aspect ratio features. Gapfill occurs by alternating deposition and treatment operations, where the deposition operation includes depositing a certain thickness of doped or undoped silicon carbide gapfill material by remote plasma CVD and where the treatment operation includes exposing the doped or undoped silicon carbide gapfill material to remote hydrogen plasma. Remote hydrogen plasma treatment conditions are controlled so that a size of an opening near a top surface of each of the high aspect ratio features is increased after treatment. In some instances, the size of the opening near the top surface is increased more than a size of an opening near a bottom surface of each of the high aspect ratio features after treatment. In some embodiments, the remote hydrogen plasma treatment conditions are controlled by controlling treatment time, treatment frequency, treatment power, and/or remote plasma gas composition. Various time intervals can be introduced in between plasma deposition and plasma treatment to modulate the gapfill performance.
  • Silicon carbide films are frequently used in semiconductor devices. As used herein, the term “silicon carbide” includes undoped or doped silicon carbides, such oxygen doped silicon carbide or silicon oxycarbide (SiCO), nitrogen doped silicon carbide or silicon nitricarbide (SiCN), and nitrogen and oxygen doped silicon carbide or silicon oxynitricarbide (SiOCN). For many, doped silicon carbides have at most about 50% atomic of dopant atoms, whether those atoms are oxygen, nitrogen, or atoms of another element. The doping level provides desired film properties. As used herein, reference to “doped or undoped silicon carbide” refers specifically to “SiCxOyNz,” where x has a value greater than zero, y has a value equal to or greater than zero, and z has a value equal to or greater than zero.
  • Doped or undoped silicon carbide films may be employed as metal diffusion barriers, etch stop layers, hard mask layers, gate spacers for source and drain implants, encapsulation barriers for magnetoresistive random-access memory (MRAM) or resistive random-access memory (RRAM), and hermetic diffusion barriers at air gaps, among other applications. In some embodiments, doped or undoped silicon carbide films may be used as gapfill material in high aspect ratio features of transistor devices.
  • FIG. 4 illustrates a cross-sectional schematic of different gapfill materials in an example substrate according to some implementations. A semiconductor device 400 may include first electrically conductive structures 402 and second electrically conductive structures 404. In some embodiments, the semiconductor device 400 is a transistor device. Spacers 420 may separate the first electrically conductive structures 402 and the second electrically conductive structures 404. A first gapfill material forms a first insulating cap layer 412 over the first electrically conductive structures 402, and a second gapfill material forms a second insulating cap layer 414 over the second electrically conductive structures 404. The first insulating cap layer 412 may have a different etch selectivity than the second insulating cap layer. For example, the first gapfill material of the first insulating cap layer 412 may have an etch selectivity of at least 7:1 under dry etch or wet etch conditions against the second gapfill material of the second insulating cap layer 414. The first gapfill material may have excellent electrical properties including high breakdown voltages and low leakage currents. Moreover, the first gapfill material may have a low dielectric constant (low-k), where the effective dielectric constant of the first gapfill material is about 4.0 or lower, about 3.5 or lower, about 3.0 or lower, or about 2.5 or lower. In some embodiments, the first gapfill material is SiCO formed by a remote plasma CVD process of the present disclosure. The second gapfill material may be a nitride or oxide, such as silicon nitride or silicon oxide. In some embodiments, the first electrically conductive structures 402 include source/drain contacts in a transistor device, and the second electrically conductive structures 404 include a gate stack having a gate electrode layer and a gate dielectric layer in the transistor device. Having the first gapfill material be SiCO formed by the remote plasma CVD process of present disclosure not only provides good electrical properties, low dielectric constant, and high etch selectivity against other gapfill materials, but also provides good step coverage and gapfill performance that does not leave a significant seam and/or void.
  • Features of a substrate may be filled or at least substantially filled with doped or undoped silicon carbide gapfill material using a process that involves alternating operations of remote plasma CVD and remote hydrogen plasma exposure. In other words, a certain thickness of doped or undoped silicon carbide gapfill material may be deposited by remote plasma CVD followed by a controlled remote hydrogen plasma exposure, and the steps may be repeated until the features are filled or at least substantially filled. As used herein, substantially filled may refer to having the feature filled to at least 98% by volume.
  • FIG. 5A-5C are cross-sectional schematic illustrations of a feature of an example substrate undergoing gapfill using remote plasma chemical vapor deposition and remote hydrogen plasma exposure operations according to some implementations. Operations 500 a-500 c of a process 500 shown in FIGS. 5A-5C may include additional, fewer, or different operations. The operations 500 a-500 c of the process 500 shown in FIGS. 5A-5C may be performed by any one of the plasma processing apparatuses as described in FIGS. 6 and 7.
  • At operation 500 a of the process 500, a first thickness of a SiCxOyNz film 506 is deposited in a feature 504 of a substrate 502. Though the substrate 502 shows only a single feature 504 in FIGS. 5A-5C, it will be understood that the substrate 502 may have one or more features 504. In some embodiments, the SiCxOyNz film 506 includes silicon oxycarbide (SiCO). The first thickness of the SiCxOyNz film 506 is deposited by a remote plasma CVD process. The remote plasma CVD process deposits the first thickness of the SiCxOyNz film 506 on the surface of the substrate 502 under relatively mild conditions adjacent to the substrate 502.
  • Depositing the first thickness of the SiCxOyNz film 506 includes flowing one or more silicon-containing precursors into a reaction chamber and introducing one or more hydrogen radicals generated from a remote plasma source towards the substrate 502 in the reaction chamber, where the one or more hydrogen radicals react with the one or more silicon-containing precursors to deposit the first thickness of the SiCxOyNz film 506. The one or more silicon-containing precursors can include a silicon-containing precursor with one or more silicon-hydrogen (Si—H) bonds and/or silicon-silicon (Si—Si) bonds. In some embodiments, the silicon-containing precursor can have one or more silicon-carbon (Si—C) bonds. In some embodiments, the silicon-containing precursor can have one or more silicon-oxygen (Si—O) bonds. In some embodiments, the silicon-containing precursor can have one or more silicon-nitrogen (Si—N) bonds. Examples of silicon-containing precursors are discussed in further detail below.
  • During the deposition process, the Si—H bonds and/or Si—Si bonds are broken and serve as reactive sites for forming bonds between the silicon-containing precursors in the deposited SiCxOyNz film 506. The broken bonds can also serve as sites for cross-linking during thermal processing conducted during or after deposition. Bonding at the reactive sites and cross-linking can form a primary backbone or matrix collectively in the resulting SiCxOyNz film 506. In some embodiments, the relatively mild conditions can preserve or substantially preserve Si—C bonds and, if present, Si—O bonds and Si—N bonds in the as-deposited layer of the SiCxOyNz film 506. Accordingly, the reaction conditions adjacent to the substrate 502 provide for the selective breaking of Si—H and/or Si—Si bonds, e.g., extracting hydrogen from the broken Si—H bonds, but the reaction conditions do not provide for extracting oxygen from Si—O bonds, nitrogen from Si—N bonds, or carbon from Si—C bonds. However, introduction of a co-reactant such as oxygen may extract carbon from Si—C bonds. Generally, the described reaction conditions exist at the exposed face of the substrate 502 (the face where the SiCxOyNz film 506 is deposited). They may further exist at some distance above the substrate 502, e.g., about 0.5 micrometers to about 150 millimeters above the substrate 502. In effect, activation of the silicon-containing precursors can happen in the gas phase at a substantial distance above the substrate 502. Typically, the pertinent reaction conditions will be uniform or substantially uniform over the entire exposed face of the substrate 502, although certain applications may permit some variation.
  • In addition to the silicon-containing precursors, the environment adjacent to the substrate 502 includes one or more radicals that are in a substantially low energy state or ground state. The one or more radicals can include one or more hydrogen radicals, which may also be referred to as hydrogen atom radicals or hydrogen radical species. In some embodiments, all, or substantially all, or a substantial fraction of the hydrogen radicals adjacent to the substrate 502 are in the ground state, e.g., at least about 90% or 95% of the hydrogen radicals adjacent to the substrate 502 are in the ground state. As an example, hydrogen gas (H2) may be provided in an inert carrier gas such as helium in a remote plasma source. Hydrogen radicals are generated in the remote plasma source and introduced into the reaction chamber. Once generated in the remote plasma source, the hydrogen radicals are in an excited energy state. For example, hydrogen in an excited energy state can have an energy of at least 10.2 eV (first excited state). Excited hydrogen radicals may cause unselective decomposition of a silicon-containing precursor, easily breaking Si—H, Si—Si, Si—N, Si—O, and Si—C bonds, which can alter the composition or physical or electrical characteristics of the SiCxOyNz film 506. This can lead to films with high dielectric constants, low breakdown voltages, high leakage currents, and poor conformality. Process conditions are controlled so that the hydrogen radicals lose their energy or relax when they encounter the substrate 502 without recombining. The process conditions are controlled so that the hydrogen radicals are in a substantially low energy state or ground state at the environment adjacent to the substrate 502, where hydrogen radicals in a substantially low energy state or ground state can be capable of selectively breaking Si—H and Si—Si bonds while generally preserving Si—O, Si—N, and Si—C bonds. For example, the plasma processing apparatus or associated components may be designed so that a residence time of hydrogen radicals diffusing from the remote plasma source to the substrate 502 is greater than the energetic relaxation time of an excited hydrogen atom radical. The plasma processing apparatuses shown in FIGS. 6 and 7 may be configured to produce a mild state in which a substantial fraction of the hydrogen radicals in the environment adjacent to the substrate 502 are in a ground state.
  • The source gas for the hydrogen radicals may be delivered with other species, including carrier gas. The silicon-containing precursors may be delivered with other species, including carrier gas. Example carrier gases include but are not limited to argon (Ar), helium (He), neon (Ne), krypton (Kr), and xenon (Xe). The concentration of carrier gas can be substantially greater than the concentration of the source gas. As used herein, “substantially greater” with respect to the concentration of carrier gas relative to source gas can refer to a percentage by volume that is at least three times greater. By way of an example, hydrogen gas may be provided in a helium carrier gas at a concentration of about 1-50% hydrogen. The presence of the carrier gas can contribute to increased ionization of the source gas and reduced recombination. Though lower pressure typically facilitates increased ionization of the source gas and reduced recombination, the presence of the carrier gas can serve the same effect. That way, even at a higher pressure, a substantial fraction of radicals may be generated with minimal recombination when a carrier gas such as helium is flowed with the source gas. Higher pressure in the reaction chamber during deposition may improve the conformality of the SiCxOyNz film 506. Higher pressure in the reaction chamber may correspond to a pressure greater than about 3 Torr or greater than about 5 Torr, such as about 7 Torr.
  • In some embodiments, the silicon-containing precursors are introduced as a mixture having major and minor species. The minor species may not contribute significantly to the composition or structural features of the SiCxOyNz film 506. In some embodiments, the silicon-containing precursors provide essentially all of the mass of the deposited SiCxOyNz film 506, with small amounts of hydrogen or other element from the remote plasma providing less than about 5 atomic percent or less than about 2 atomic percent. In some embodiments, the deposition reaction includes a co-reactant other than the silicon-containing precursors and the hydrogen radicals, which may or may not contribute to the composition of the deposited SiCxOyNz film 506. Thus, the co-reactant may tune the composition of the first thickness of the SiCxOyNz film 506. Examples of co-reactants include carbon dioxide (CO2), carbon monoxide (CO), water (H2O), methanol (CH3OH), oxygen (O2), ozone (O3), nitrogen (N2), nitrous oxide (N2O), ammonia (NH3), diazene (N2H2), methane (CH4), ethane (C2H6), acetylene (C2H2), ethylene (C2H4), diborane (B2H6), and combinations thereof. Such materials may be used as nitriding agents, oxidizers, reductants, etc. Depending on the choice of the co-reactant, the co-reactant may increase or decrease the carbon, oxygen, or nitrogen content of the SiCxOyNz film 506. In some embodiments, the co-reactant may be introduced into the reaction chamber along the same flow path as the hydrogen radicals. The co-reactant may be introduced upstream of the silicon-containing precursors, where the co-reactant may be at least partially converted to radicals and/or ions. In some embodiments, the co-reactant may be introduced into the reaction chamber along the same flow path as the silicon-containing precursors. In such instances, the co-reactant may be introduced downstream of the hydrogen radicals, typically without direct exposure to plasma. In some embodiments, the co-reactant may be present in the process gases at about 0.05% or less by mass, or at about 0.01% or less by mass, or at about 0.001% or less by mass. In some embodiments, the co-reactant may be present at higher concentrations, such as about 2% or less or about 0.1% or less by mass. In some embodiments, the co-reactant is present at even higher concentrations, such as about 10% or more or about 20% or more by mass. In some embodiments, bonds in a co-reactant may be selectively broken by the hydrogen radicals to activate the co-reactant.
  • Process conditions for depositing the first thickness of the SiCxOyNz film 506 can be controlled. In some embodiments, a temperature in the environment adjacent to the substrate 502 can be largely controlled by the temperature of a pedestal on which the substrate 502 is supported during deposition of the SiCxOyNz film 506. In some embodiments, the operating temperature can be between about 50° C. and about 500° C. or between about 250° C. and about 400° C. Increasing temperature can lead to increased cross-linking on the substrate surface. In some embodiments, a pressure in the reaction chamber can be controlled to facilitate production of reactive radicals. In some embodiments, chamber pressure can be about 35 Torr or lower, between about 10 Torr and about 20 Torr in some applications, or between about 0.2 Torr and about 5 Torr in some other applications.
  • The silicon-containing precursors used in forming the SiCxOyNz film 506 may each contain at least one Si—H and/or at least one Si—Si bond. The silicon-containing precursors may optionally each contain at least one Si—O bond, Si—N bond, and/or Si—C bond. In some embodiments, the silicon-containing precursors each do not contain O—C or N—C bonds; e.g., the precursors contain no alkoxy (—O—R), where R is an organic group such as a hydrocarbon group, or amine (—NR1R2), where R1 and R2 are independently hydrogen or organic groups. Without being limited by any theory, it is believed that such groups may impart high sticking coefficients to the precursors or fragments on which they reside.
  • The silicon-containing precursors employed in the deposition reaction may be limited to a particular chemical class or mixtures of the chemical classes. In some embodiments, the silicon-containing precursors include siloxanes. The siloxanes may be cyclic, three-dimensional or caged, or linear. In some embodiments, the silicon-containing precursors include alkyl silanes or other hydrocarbon-substituted silanes. For example, the silicon-containing precursor can include an alkylcarbosilane. In some embodiments, the silicon-containing precursors include alkoxy silanes. In some embodiments, the silicon-containing precursors include silazanes. The silazanes may be cyclic or linear. Specific examples of the chemical classes of silicon-containing precursors are described in U.S. patent application Ser. No. 14/616,435 to Varadarajan et al., filed Feb. 6, 2015, titled “CONFORMAL DEPOSITION OF SILICON CARBIDE FILMS,” which is incorporated herein by reference in its entirety and for all purposes.
  • In depositing the SiCxOyNz film 506, multiple silicon-containing precursors can be present in the process gas, where some of the silicon-containing precursors are different. For example, a siloxane and an alkyl silane can be used together, or a siloxane and an alkoxy silane can be used together. The relative proportions of the individual precursors can be chosen based on the chemical structures of the precursors chosen and the application of the resulting SiCxOyNz film 506. For example, an amount of siloxane can be greater than an amount of silane in molar percentages to produce a more porous film.
  • In some embodiments when depositing silicon oxycarbide films, the silicon-containing precursors may include siloxanes such as cyclic siloxanes or linear siloxanes. In some embodiments when depositing silicon oxycarbide films, the silicon-containing precursors may include alkyl silanes. An oxygen-containing co-reactant may be introduced to react with the alkyl silanes.
  • The silicon-containing precursors may be chosen to produce a highly conformal SiCxOyNz film 506. Conformality may be calculated by comparing the average thickness of a deposited film on a bottom, sidewall, or top of a feature 504 to the average thickness of a deposited film on a bottom, sidewall, or top of a feature 504. For example, conformality may be calculated by dividing the average thickness of the deposited film on the sidewall by the average thickness of the deposited film at the top of the feature 504 and multiplying it by 100 to obtain a percentage. It is believed that silicon-containing precursors having low sticking coefficients are capable of producing highly conformal films. “Sticking coefficient” is a term used to describe the ratio of the number of adsorbate species (e.g., fragments or molecules) that adsorb/stick to a surface compared to the total number of species that impinge upon that surface during the same period of time. The symbol Sc is sometimes used to refer to the sticking coefficient. The value of Sc is between 0 (meaning that none of the species stick) and 1 (meaning that all of the impinging species stick). Various factors affect the sticking coefficient including the type of impinging species, surface temperature, surface coverage, structural details of the surface, and the kinetic energy of the impinging species.
  • At operation 500 a of the process 500, the first thickness of the SiCxOyNz film 506 may have a conformality of at least about 75%, at least about 80%, at least about 85%, at least about 90%, at least about 95%, or at least about 98%. The first thickness of the SiCxOyNz film 506 may be equal to or less than about 10 Å, or may be equal to or less than about 5 Å. In some embodiments, the first thickness of the SiCxOyNz film 506 may be between about 0.5 Å and about 5 Å, or between about 0.5 Å and about 4.5 Å.
  • The first thickness of the SiCxOyNz film 506 can be deposited according to a predetermined deposition time to achieve a desired thickness. In some embodiments, the deposition time can be between about 1 second and about 200 seconds, or between about 5 seconds and about 100 seconds. The first thickness can be controlled to enable sufficient penetration of a subsequent remote plasma treatment to densify and shrink the first thickness of the SiCxOyNz film 506. Moreover, the first thickness can be controlled according to a desired treatment frequency of the subsequent remote plasma treatment operations.
  • The first thickness of the SiCxOyNz film 506 is deposited in the feature 504 of the substrate 502, where the feature 504 can take the shape of a trench, recess, or hole. The feature 504 can have a depth to lateral dimension aspect ratio of at least about 5:1, at least about 10:1, at least about 15:1, at least about 20:1, at least about 30:1, at least about 40:1, at least about 50:1, or at least about 100:1. For example, features having a high aspect ratio may be at least 10:1. The lateral dimension may be a width or diameter at the top of the feature 504. In some embodiments, the lateral dimension of the feature 504 may be less than about 200 nm, less than about 100 nm, between about 2 nm and about 100 nm, or between about 2 nm and about 50 nm. In some embodiments, the depth of the feature 504 may be between about 0.1 μm and about 100 μm, between about 0.5 μm and about 50 μm, between about 0.5 μm and about 25 μm, or between about 1 μm and about 25 μm.
  • The composition of the SiCxOyNz film 506 may affect an amount that the first thickness of the SiCxOyNz film 506 shrinks in a subsequent remote plasma exposure operation. In some implementations, the composition of the SiCxOyNz film 506 can have an atomic concentration of carbon between about 10% and about 40% or between about 10% and about 30%. In some implementations, the atomic concentration of carbon may be controlled by adjusting deposition parameters such as choice of precursors, flow rate of the precursors, choice of co-reactant, and flow rate of a co-reactant such as oxygen. For example, increasing the presence oxygen in the deposition of the SiCxOyNz film 506 can extract more carbon from the SiCxOyNz film 506. In some implementations, the atomic concentration of carbon in the SiCxOyNz film 506 is controlled during operation 500 a so that conditions of remote hydrogen plasma exposure increase a size of an opening near a top surface of the feature 504 during operation 500 b.
  • At operation 500 b of the process 500, the SiCxOyNz film 506 is exposed to a remote hydrogen plasma under conditions that increase a size of an opening near a top surface of the feature 504. The remote hydrogen plasma is used to treat the first thickness of the SiCxOyNz film 506 so that the first thickness of the SiCxOyNz film 506 shrinks near the top surface of the feature 504. How much the first thickness of the SiCxOyNz film 506 shrinks near the top surface of the feature 504 can depend on the first thickness and composition of the SiCxOyNz film 506. How much the first thickness of the SiCxOyNz film 506 shrinks near the top surface of the feature 504 can also depend on the remote plasma conditions.
  • A remote plasma source for generating radicals of a source gas during deposition may also serve to generate radicals of the source gas during treatment to shrink the first thickness of the SiCxOyNz film 506 near the top surface of the feature 504. Accordingly, SiCxOyNz film deposition may occur in the same reaction chamber as SiCxOyNz film treatment. This allows alternating deposition and treatment cycles to be performed in the same tool. As a result, depositing the first thickness and exposing the first thickness of the SiCxOyNz film 506 to remote hydrogen plasma can occur without introducing a vacuum break (e.g., air break). A vacuum break can reduce throughput and introduce oxidation in the substrate 502, which can lead to higher electrical resistance and decreased performance.
  • Exposing the first thickness of the SiCxOyNz film 506 to the remote hydrogen plasma occurs without delivery of silicon-containing precursors. In other words, while depositing the first thickness of the SiCxOyNz film 506 involves flowing one or more silicon-containing precursors to react with hydrogen radicals of the remote hydrogen plasma, exposing the first thickness of the SiCxOyNz film 506 ceases the flow of silicon-containing precursors. A source gas including hydrogen gas can be provided with an inert carrier gas such as helium. In some embodiments, the source gas can include hydrogen, nitrogen, N—H containing species such as NH3, oxygen, oxygen-containing species such as H2O, CO2, or N2O, or combinations thereof. The source gas is provided in the remote plasma source, where hydrogen radicals are generated in the remote plasma source and introduced into the reaction chamber and towards the substrate 502. Once generated in the remote plasma source, the hydrogen radicals are in an excited energy state. The hydrogen radicals lose their energy or relax when they encounter the substrate 502 without recombining. The first thickness of the SiCxOyNz film 506 is exposed to a remote hydrogen plasma such that at least a substantial fraction of the hydrogen radicals are in a substantially low energy state or ground state. In some embodiments, at least 90% of the radicals of the source gas are hydrogen radicals in the ground state. Such hydrogen radicals of the remote hydrogen plasma are used to densify and shrink the first thickness of the SiCxOyNz film 506 near the top surface of the feature 504. Due at least in part to the minimal concentration of ions and the low energy state of the hydrogen radicals, exposing the SiCxOyNz film 506 to the remote hydrogen plasma does not generally cause damage to underlying layers of the substrate 502.
  • The thickness of the SiCxOyNz film 506 can be deposited according to a treatment frequency of each remote hydrogen plasma treatment. Thus, how much SiCxOyNz film 506 is deposited per deposition-treatment cycle is controlled to improve gapfill performance. At operation 500 a, the first thickness of the SiCxOyNz film 506 is equal to or less than about 10 Å, equal to or less than about 5 Å, or between about 0.5 Å and about 4.5 Å. Accordingly, a thickness equal to or less than about 10 Å, equal to or less than about 5 Å, or between about 0.5 Å and about 4.5 Å of SiCxOyNz film 506 is deposited per deposition-treatment cycle. A higher treatment frequency corresponds to smaller thicknesses of SiCxOyNz film 506 deposited per cycle, where a higher treatment frequency may provide better gapfill performance.
  • The composition of the SiCxOyNz film 506 can be deposited so that the remote hydrogen plasma treatment has a greater effect on shrinking the first thickness of the SiCxOyNz film 506. Specifically, an atomic concentration of carbon in the SiCxOyNz film 506 can be tuned at operation 500 a, where the atomic concentration of carbon is between about 10% and about 40% or between about 10% and about 30%. In some implementations, the increase in the size of the opening near the top surface of the feature 504 is greater when the atomic carbon concentration in the SiCxOyNz film 506 is lower. Where the atomic concentration of carbon is controlled, the size of the opening near the top surface of the feature 504 may be increased using the conditions of the remote hydrogen plasma. This can improve gapfill performance.
  • The conditions of the remote hydrogen plasma can be controlled to preferentially treat the first thickness of the SiCxOyNz film 506 near the top surface than near a bottom surface of the feature 504. The first thickness of the SiCxOyNz film 506 near the top surface of the feature 504 may be exposed to more hydrogen radicals of the remote hydrogen plasma than near the bottom surface. In some embodiments, treatment time and/or treatment frequency may be controlled so that diffusion or transport of the hydrogen radicals of the remote hydrogen plasma towards the bottom surface of the feature 504 is limited. For example, shorter treatment times may limit diffusion/transport of the hydrogen radicals from reaching the bottom surface of the feature 504. In some embodiments, the treatment time of exposure to the remote hydrogen plasma is between about 0.5 seconds and about 120 seconds, between about 1 second and about 30 seconds, between about 2 seconds and about 20 seconds, or between about 5 seconds and about 15 seconds. In some embodiments, the treatment time of exposure to the remote hydrogen plasma is about 10 seconds. It will be understood that the treatment time may vary depending on the aspect ratio of the feature 504, where the treatment time is long enough to densify and shrink the first thickness of the SiCxOyNz film 506 but short enough to limit diffusion and transport of hydrogen radicals to the bottom surface of the feature 504.
  • The conditions of the remote hydrogen plasma can be controlled to increase the size of the opening near the top surface of the feature 504. In some embodiments, the size of the opening near the top surface of the feature 504 is increased more than a size of an opening near the bottom surface of the feature 504. Exposure to the remote hydrogen plasma can densify the SiCxOyNz film 506 by extracting hydrogen and promoting cross-linking so that more Si—O—Si and Si—C—Si bonds may form. Moreover, exposure to the remote hydrogen plasma can shrink the thickness of the SiCxOyNz film 506 under suitable conditions. In some embodiments, a treatment power can be controlled to facilitate densifying and shrinking the thickness of the SiCxOyNz film 506. In some embodiments, RF power of an inductively-coupled plasma can be tuned to control treatment power, where the RF power can be between about 300 Watts and 10 Kilowatts, between about 1 Kilowatt and about 8 Kilowatts, or between about 2 Kilowatts and about 6 Kilowatts. The RF power applied to the remote plasma source during treatment can be adjusted to increase generation of hydrogen radicals of the source gas. In some embodiments, the treatment power can be correlated at least in part with remote plasma gas composition. The remote plasma gas composition can include a concentration of source gas relative to carrier gas, where a greater concentration of source gas contributes to increased generation of radicals, thereby leading to a higher treatment power. In some embodiments, a concentration of a source gas (e.g., hydrogen gas) is at least 10% by volume with a balance of inert carrier gas, at least 15% by volume with a balance of inert carrier gas, at least 20% by volume with a balance of inert carrier gas, at least 25% by volume with a balance of inert carrier gas, between about 10% and about 50% by volume with a balance of inert carrier gas, or between about 10% and about 30% by volume with a balance of inert carrier gas. Specifically, a gas mixture can include hydrogen gas with a balance of an inert carrier gas such as helium, where the gas mixture includes at least 10% by volume hydrogen gas with the balance of helium, at least 15% by volume hydrogen gas with the balance of helium, at least 20% by volume hydrogen gas with the balance of helium, at least 25% by volume hydrogen gas with the balance of helium, between about 10% and about 50% by volume hydrogen gas with the balance of helium, or between about 10% and about 30% by volume hydrogen gas with the balance of helium. In contrast, typical gas mixtures include hydrogen gas at a concentration of 1-10% by volume with a balance of helium. Treatment power and remote plasma gas composition may be adjusted depending on the composition of the SiCxOyNz film 506. In some embodiments, treatment power may be reduced and/or hydrogen source gas concentration may be reduced in the remote hydrogen plasma treatment and still achieve an increase in the size of the opening near the top surface of the feature 504 where an atomic concentration of carbon in the SiCxOyNz film 506 is reduced.
  • The size of the opening near the top surface of the feature 504 can be measured using a lateral distance (e.g., diameter) along the top surface of the feature 504. Specifically, the size of the opening can be measured by a distance between opposite corners of the top surface of the feature 504 minus the thickness of the SiCxOyNz film 506 at the corners of the top surface of the feature 504. In some embodiments, the size of the opening near the top surface of the feature 504 after operation 500 b can be increased by a percentage amount that is at least about 1%, at least about 5%, at least about 10%, at least about 20%, at least about 50%, at least about 100%, between about 1% and about 100%, between about 5% and about 100%, between about 1% and about 50%, or between about 5% and about 50%. By way of an example, the size of the opening near the top surface of the feature 504 can increase from 20 nm to an amount greater than 30 nm after operation 500 b, which represents an increase of at least 50%.
  • In some embodiments, the size of the opening near the top surface of the feature 504 is increased more than the size of the opening near the bottom surface of the feature 504. The size of the opening near the bottom surface of the feature 504 can be measured using a lateral distance (e.g., diameter) along the bottom surface of the feature 504. Specifically, the size of the opening can be measured by a distance between opposite corners of the bottom surface of the feature 504 minus the thickness of the SiCxOyNz film 506 at the corners of the bottom surface of the feature 504. The bottom surface of the feature 504 refers to the lowest exposed surface as the feature 504 is filled by the SiCxOyNz film 506. After operation 500 b, the size of the opening near the bottom surface of the feature 504 does not increase or increases by an amount less than the opening near the top surface of the feature 504.
  • In some embodiments, the conditions of the remote hydrogen plasma can be controlled so that the SiCxOyNz film 506 shrinks more at a top opening than at a bottom opening. The hydrogen radicals and/or ions of the remote plasma can be isotropic or substantially isotropic in nature so that treatment occurs preferentially at the top opening than at the bottom opening of the feature 504. Treatment frequency, treatment time, treatment power, and/or remote plasma gas composition can be controlled so that the size of the opening near the top surface of the feature 504 is increased more than the opening near the bottom surface of the feature 504. Composition and thickness of the SiCxOyNz film 506 can be controlled so that the size of the opening near the top surface of the feature 504 is increased more than the opening near the bottom surface of the feature 504.
  • It will be understood that parameters other than treatment frequency, treatment time, treatment power, remote plasma gas composition, composition of the SiCxOyNz film 506, and thickness of the SiCxOyNz film 506 can be controlled to shrink the SiCxOyNz film 506 at the top opening. Other tunable parameters include but are not limited to timing, gas composition, gas flow rates, chamber pressure, chamber temperature, substrate temperature, time interval between deposition and plasma treatment, and substrate position. These parameters can be tuned during exposure to the remote hydrogen plasma to influence the characteristics of the remote plasma, which can affect the size of the opening near the top surface of the feature 504. In some embodiments, the chamber pressure can be between about 0.2 Torr and about 5 Torr, or between about 1 Torr and about 3 Torr. In some embodiments, the chamber pressure can be greater than 3 Torr or greater than 5 Torr, where other process conditions (e.g., inert carrier gas) cause sufficient ionization and reduced residence times. In some embodiments, the source gas can be flowed with One or more co-reactants, such as CO2, CO, H2O, CH3OH, O2, O3, Na, N2O, NH3, N2H2, CH4, C2H6, C2H2, C2H4, B2H6, or combinations thereof. Depending on the choice of the co-reactants, the one or more co-reactants can increase or decrease oxygen, nitrogen, or carbon content of the SiCxOyNz film 506. In some embodiments, the one or more co-reactants may include CO2, O2, N2, NH3, or combinations thereof. The presence of oxygen gas or oxygen radicals tends to extract carbon from Si—C bonds, thereby converting carbide to oxide.
  • In some embodiments, a time interval may be introduced between depositing the first thickness of the SiCxOyNz film 506 at operation 500 a and exposing the first thickness of the SiCxOyNz film 506 to remote hydrogen plasma treatment at operation 500 b. During the time interval, plasma is turned off and some gases continue to flow into the reaction chamber. In some embodiments, the gases may include the silicon-containing precursors flowed during deposition at operation 500 a. During the time interval where plasma is turned off, residue deposition does not occur that may adversely affect gapfill performance. In some implementations, the time interval may be between about 1 second and about 30 seconds, such as about 5 seconds, about 10 seconds, or about 20 seconds.
  • At operation 500 c of the process 500, a second thickness of the SiCxOyNz film 506 is deposited in the feature 504 of the substrate 502. The second thickness can be deposited on or over the first thickness of the SiCxOyNz film 506. Aspects of depositing the second thickness of the SiCxOyNz film 506 can be identical or at least similar to aspects of depositing the first thickness of the SiCxOyNz film 506. Specifically, where depositing the first thickness includes flowing one or more silicon-containing precursors into the reaction chamber and introducing hydrogen radicals generated from the remote plasma source to react with the one or more silicon-containing precursors during the operation 500 a, depositing the second thickness includes repeating the aforementioned operation 500 a in operation 500 c. Deposition time, film thickness, chamber pressure, chamber temperature, substrate temperature, RF power levels, gas flow, gas composition, and other parameters in operation 500 c may be the same or different than in operation 500 a. The first thickness of the SiCxOyNz film 506 is deposited by a remote plasma CVD process, and the second thickness of the SiCxOyNz film 506 is deposited by a remote plasma CVD process, where the remote plasma CVD process deposits the second thickness of the SiCxOyNz film 506 on the surface of the substrate 502 under relatively mild conditions adjacent to the substrate 502. Such relatively mild conditions are described in operation 500 a.
  • In some embodiments, the second thickness of the SiCxOyNz film 506 may have a conformality of at least about 75%, at least about 80%, at least about 85%, at least about 90%, at least about 95%, or at least about 98%. The second thickness of the SiCxOyNz film 506 may be equal to or less than about 10 Å, or equal to or less than about 5 Å. In some embodiments, the second thickness of the SiCxOyNz film 506 may be between about 0.5 Å and about 5 Å, or between about 0.5 Å and about 4.5 Å.
  • Deposition of the second thickness of the SiCxOyNz film 506 may occur in the same reaction chamber as treatment of the SiCxOyNz film 506 and deposition of the first thickness of the SiCxOyNz film 506. As a result, depositing the second thickness of the SiCxOyNz film 506 can occur without introducing a vacuum break (e.g., air break) between operations.
  • The operation 500 c of the process 500 may further include repeating operations of 500 b and 500 a until the feature 504 is filled or substantially filled. As used herein, “substantially filled” with respect to filling the feature 504 can refer to having the SiCxOyNz film 506 occupy at least 98% of a volume the feature 504. Seams and/or voids 508 may form when the feature 504 is substantially filled with the SiCxOyNz film 506. However, deposition by remote plasma CVD and remote hydrogen plasma exposure as described in the present disclosure can eliminate formation of seams and/or voids 508 or at least minimize the sizes of seams and/or voids 508.
  • Repeating operations of 500 b and 500 a in operation 500 c can include repeating: (i) exposing the SiCxOyNz film 506 to remote hydrogen plasma so that a size of an opening at the top surface of the feature 504 is increased and (ii) depositing a new thickness of the SiCxOyNz film 506 in the feature 504. In some embodiments, treatment frequency, treatment time, treatment power, and/or remote plasma gas composition are identical in operation 500 c to the treatment frequency, treatment time, treatment power, and/or remote plasma gas composition in operations 500 b and 500 a. For example, a treatment frequency of 5 Å or less per deposition-treatment cycle, a treatment time of between about 0.5 seconds and 120 seconds, a treatment power of an applied RF power between about 1 Kilowatt and about 8 Kilowatts, and a remote plasma gas composition having a concentration between about 10% and about 50% by volume of hydrogen with a balance of helium can be provided until the feature 504 is filled or at least substantially filled. The conditions of the remote hydrogen plasma during repeated operations 500 b in operation 500 c are controlled so that the size of the opening near the top surface of the feature 504 is increased. In some embodiments, the size of the opening near the top surface of the feature 504 is increased more than the opening near the bottom surface of the feature 504 when repeating operations 500 b in operation 500 c. Gapfill is completed when one of the repeated operations 500 a in operation 500 c closes off the opening of the feature 504.
  • In some embodiments, a time interval may be introduced between depositing the second thickness of the SiCxOyNz film 506 at operation 500 c and repeating operation 500 b (i.e., plasma treatment). During the time interval, plasma is turned off and some gases continue to flow into the reaction chamber. In some embodiments, the gases may include the hydrogen gas, inert carrier gas, and/or co-reactant gas flowed during plasma treatment at operation 500 b. During the time interval where plasma is turned off, residue deposition does not occur that may adversely affect gapfill performance. In some implementations, the time interval may be between about 1 second and about 30 seconds, such as about 5 seconds, about 10 seconds, or about 20 seconds. Generally speaking, various time intervals may occur between plasma deposition and plasma treatment operations to modulate gapfill performance. This means that the time intervals may occur in a transition from deposition to plasma treatment, and/or in a transition from plasma treatment back to deposition.
  • In some embodiments, parameters of treatment frequency, treatment time, treatment power, and/or remote plasma gas composition may be adjusted depending on the geometry of the feature 504. Depending on an aspect ratio of the feature 504, the treatment frequency, treatment time, treatment power, and/or remote plasma gas composition may vary. The treatment frequency, for example, can be flexibly tuned based on an incoming feature geometry to reshape the filling of the feature 504 and improve gapfill performance. That way, how much thickness of the SiCxOyNz film 506 is deposited per deposition-treatment cycle can be tuned to minimize formation of seams and/or voids 508 while maintaining reasonable throughput.
  • The SiCxOyNz film 506 deposited by remote plasma CVD in the present disclosure has high etch selectivity to both oxide and nitride materials, where the SiCxOyNz film 506 has an etch selectivity of at least 7:1 under dry etch or wet etch conditions against oxide and nitride materials. The SiCxOyNz film 506 may have excellent electrical properties including high breakdown voltages and low leakage currents. In addition, the SiCxOyNz film 506 may have a low dielectric constant (low-k), where the effective dielectric constant of the SiCxOyNz film 506 is about 4.0 or lower, about 3.5 or lower, about 3.0 or lower, or about 2.5 or lower.
  • One aspect of the disclosure is an apparatus configured to accomplish the methods described herein. A suitable apparatus includes hardware for accomplishing the process operations and a system controller having instructions for controlling process operations in accordance with the present disclosure. In some embodiments, the apparatus for performing the aforementioned process operations can include a remote plasma source. A remote plasma source provides mild reaction conditions in comparison to a direct plasma. An example of a suitable remote plasma apparatus is described in U.S. patent application Ser. No. 14/062,648 to Varadarajan et al., filed Oct. 24, 2013, titled “GROUND STATE HYDROGEN RADICAL SOURCES FOR CHEMICAL VAPOR DEPOSITION OF SILICON-CARBON-CONTAINING FILMS,” which is incorporated herein by reference in its entirety and for all purposes.
  • FIG. 6 presents a schematic diagram of a remote plasma apparatus according to certain embodiments. The device 600 includes a reaction chamber 610 with a showerhead 620. Inside the reaction chamber 610, a substrate 630 rests on a stage or pedestal 635. In some embodiments, the pedestal 635 can be fitted with a heating/cooling element. A controller 640 may be connected to the components of the device 600 to control the operation of the device 600. For example, the controller 640 may contain instructions for controlling process conditions for the operations of the device 600, such as the temperature process conditions and/or the pressure process conditions. In some embodiments, the controller 640 may contain instructions for controlling the flow rates of precursor gas, co-reactant gas, source gas, and carrier gas. The controller 640 may contain instructions for controlling the treatment frequency, treatment time, treatment power, and remote plasma gas composition of remote hydrogen plasma. A more detailed description of the controller 640 is provided below.
  • During operation, gases or gas mixtures are introduced into the reaction chamber 610 via one or more gas inlets coupled to the reaction chamber 610. In some embodiments, two or more gas inlets are coupled to the reaction chamber 610. A first gas inlet 655 can be coupled to the reaction chamber 610 and connected to a vessel 650, and a second gas inlet 665 can be coupled to the reaction chamber 610 and connected to a remote plasma source 660. In embodiments including remote plasma configurations, the delivery lines for the precursors and the radical species generated in the remote plasma source 660 are separated. Hence, the precursors and the radical species do not substantially interact before reaching the substrate 630. It will be understood that in some implementations the gas lines may be reversed so that the vessel 650 may provide precursor gas flow through the second gas inlet 665 and the remote plasma source 660 may provide ions and radicals through the first gas inlet 655.
  • One or more radical species may be generated in the remote plasma source 660 and configured to enter the reaction chamber 610 via the second gas inlet 665. Any type of plasma source may be used in remote plasma source 660 to create the radical species. This includes, but is not limited to, capacitively coupled plasmas, inductively coupled plasmas, microwave plasmas, DC plasmas, and laser-created plasmas. An example of a capacitively coupled plasma can be a radio frequency (RF) plasma. A high-frequency plasma can be configured to operate at 13.56 MHz or higher. An example of such a remote plasma source 660 can be the GAMMA®, manufactured by Lam Research Corporation of Fremont, Calif. Another example of such a RF remote plasma source 660 can be the Aston®, manufactured by MKS Instruments of Wilmington, Mass., which can be operated at 440 kHz and can be provided as a subunit bolted onto a larger apparatus for processing one or more substrates in parallel. In some embodiments, a microwave plasma can be used as the remote plasma source 660, such as the Astex®, also manufactured by MKS Instruments. A microwave plasma can be configured to operate at a frequency of 2.45 GHz. Gas provided to the remote plasma source 660 may include hydrogen, nitrogen, oxygen, and other gases as mentioned elsewhere herein. In certain embodiments, hydrogen is provided in a carrier such helium. As an example, hydrogen gas may be provided in a helium carrier at a concentration of about 1-50% by volume during deposition operations, and hydrogen gas may be provided in a helium carrier at a concentration of at least about 10% by volume during treatment operations.
  • The precursors can be provided in vessel 650 and can be supplied to the showerhead 620 via the first gas inlet 655. The showerhead 620 distributes the precursors into the reaction chamber 610 toward the substrate 630. The substrate 630 can be located beneath the showerhead 620. It will be appreciated that the showerhead 620 can have any suitable shape, and may have any number and arrangement of ports for distributing gases to the substrate 630. The precursors can be supplied to the showerhead 620 and ultimately to the substrate 630 at a controlled flow rate.
  • The one or more radical species formed in the remote plasma source 660 can be carried in the gas phase toward the substrate 630. The one or more radical species can flow through a second gas inlet 665 into the reaction chamber 610. It will be understood that the second gas inlet 665 need not be transverse to the surface of the substrate 630 as illustrated in FIG. 6. In certain embodiments, the second gas inlet 665 can be directly above the substrate 630 or in other locations. The distance between the remote plasma source 660 and the reaction chamber 610 can be configured to provide mild reactive conditions such that the ionized species generated in the remote plasma source 660 are substantially neutralized, but at least some radical species in substantially low energy states remain in the environment adjacent to the substrate 630. Such low energy state radical species are not recombined to form stable compounds. The distance between the remote plasma source 660 and the reaction chamber 610 can be a function of the aggressiveness of the plasma (e.g., determined in part by the source RF power level), the density of gas in the plasma (e.g., if there's a high concentration of hydrogen atoms, a significant fraction of them may recombine to form H2 before reaching the reaction chamber 610), and other factors. In some embodiments, the distance between the remote plasma source 660 and the reaction chamber 610 can be between about 1 cm and 30 cm, such as about 5 cm or about 15 cm.
  • In some embodiments, a co-reactant, which is not the primary silicon-containing precursor or a hydrogen radical, is introduced during the deposition reaction. In some implementations, the device 600 is configured to introduce the co-reactant through the second gas inlet 665, in which case the co-reactant is at least partially converted to plasma. In some implementations, the device 600 is configured to introduce the co-reactant through the showerhead 620 via the first gas inlet 655. Examples of the co-reactant include oxygen, nitrogen, ammonia, carbon dioxide, carbon monoxide, and the like.
  • FIG. 7 illustrates a schematic diagram of an example plasma processing apparatus with a remote plasma source according to some other implementations. The plasma processing apparatus 700 includes the remote plasma source 702 separated from a reaction chamber 704. The remote plasma source 702 is fluidly coupled with the reaction chamber 704 via a multiport gas distributor 706, which may also be referred to as a showerhead. Radical species are generated in the remote plasma source 702 and supplied to the reaction chamber 704. One or more silicon-containing precursors are supplied to the reaction chamber 704 downstream from the remote plasma source 702 and from the multiport gas distributor 706. The one or more silicon-containing precursors react with the radical species in a chemical vapor deposition zone 708 of the reaction chamber 704 to deposit a SiCxOyNz film on a surface of a substrate 712. The chemical vapor deposition zone 708 includes an environment adjacent to the surface of the substrate 712.
  • The substrate 712 is supported on a substrate support or pedestal 714. The pedestal 714 may move within the reaction chamber 704 to position the substrate 712 within the chemical vapor deposition zone 708. In the embodiment shown in FIG. 7, pedestal 714 is shown having elevated the substrate 712 within the chemical vapor deposition zone 708. The pedestal 714 may also adjust the temperature of the substrate 712 in some embodiments, which can provide some selective control over thermally activated surface reactions on the substrate 712.
  • FIG. 7 shows a coil 718 arranged around the remote plasma source 702, where the remote plasma source 702 includes an outer wall (e.g., quartz dome). The coil 718 is electrically coupled to a plasma generator controller 722, which may be used to form and sustain plasma within a plasma region 724 via inductively coupled plasma generation. In some implementations, the plasma generator controller 722 may include a power supply for supplying power to the coil 718, where the power can be in a range between about 1 and 6 kilowatts (kW) during plasma generation. In some implementations, electrodes or antenna for parallel plate or capacitively coupled plasma generation may be used to generate a continuous supply of radicals via plasma excitation rather than inductively coupled plasma generation. Regardless of the mechanism used to ignite and sustain the plasma in the plasma region 724, radical species may continuously be generated using plasma excitation during film deposition and treatment. In some implementations, hydrogen radicals are generated under approximately steady-state conditions during steady-state film deposition, though transients may occur at the beginning and end of film deposition and treatment.
  • A supply of hydrogen radicals may be continuously generated within the plasma region 724 while hydrogen gas or other source gas is being supplied to the remote plasma source 702. Excited hydrogen radicals may be generated in the remote plasma source 702. If not re-excited or re-supplied with energy, or re-combined with other radicals, the excited hydrogen radicals lose their energy, or relax. Thus, excited hydrogen radicals may relax to form hydrogen radicals in a substantially low energy state or ground state.
  • The hydrogen gas or other source gas may be diluted with one or more additional gases. These one or more additional gases may be supplied to the remote plasma source 702. In some implementations, the hydrogen gas or other source gas is mixed with one or more additional gases to form a gas mixture, where the one or more additional gases can include a carrier gas. Non-limiting examples of additional gases can include helium, neon, argon, krypton, and xenon. The one or more additional gases may support or stabilize steady-state plasma conditions within the remote plasma source 702 or aid in transient plasma ignition or extinction processes. In some implementations, diluting hydrogen gas or other source gas with helium, for example, may permit higher total pressures without concomitant plasma breakdown. Put another way, a dilute gas mixture of hydrogen gas and helium may permit higher total gas pressure without increasing plasma power to the remote plasma source 702. As shown in FIG. 7, a source gas supply 726 is fluidly coupled with the remote plasma source 702 for supplying the hydrogen gas or source gas. In addition, an additional gas supply 728 is fluidly coupled with the remote plasma source 702 for supplying the one or more additional gases. The one or more additional gases may also include a co-reactant gas as described above. While the embodiment in FIG. 7 depicts the gas mixture of the source gas and the one or more additional gases being introduced through separate gas outlets, it will be understood that the gas mixture may be introduced directly into the remote plasma source 702. That is, a pre-mixed dilute gas mixture may be supplied to the remote plasma source 702 through a single gas outlet.
  • Gases, such as excited hydrogen and helium radicals and relaxed gases/radicals, flow out of the remote plasma source 702 and into the reaction chamber 704 via multiport gas distributor 706. Gases within the multiport gas distributor 706 and within the reaction chamber 704 are generally not subject to continued plasma excitation therein. In some implementations, the multiport gas distributor 706 includes an ion filter and/or a photon filter. Filtering ions and/or photons may reduce substrate damage, undesirable re-excitation of molecules, and/or selective breakdown or decomposition of silicon-containing precursors within the reaction chamber 704. Multiport gas distributor 706 may have a plurality of gas ports 734 to diffuse the flow of gases into the reaction chamber 704. In some implementations, the plurality of gas ports 734 may be mutually spaced apart. In some implementations, the plurality of gas ports 734 may be arranged as an array of regularly spaced apart channels or through-holes extending through a plate separating the remote plasma source 702 and the reaction chamber 704. The plurality of gas ports 734 may smoothly disperse and diffuse exiting radicals from the remote plasma source 702 into the reaction chamber 704.
  • Typical remote plasma sources are far removed from reaction vessels. Consequently, radical extinction and recombination, e.g., via wall collision events, may reduce active species substantially. In contrast, in some implementations, dimensions for the plurality of gas ports 734 may be configured in view of the mean free path or gas flow residence time under typical processing conditions to aid the free passage of radicals into the reaction chamber 704. In some implementations, openings for the plurality of gas ports 734 may occupy between about 5% and about 20% of an exposed surface area of the multiport gas distributor 706. In some implementations, the plurality of gas ports 734 may each have an axial length to diameter ratio of between about 3:1 and 10:1 or between about 6:1 and about 8:1. Such aspect ratios may reduce wall-collision frequency for radical species passing through the plurality of gas ports 734 while providing sufficient time for a majority of excited state radical species to relax to ground state radical species. In some implementations, dimensions of the plurality of gas ports 734 may be configured so that the residence time of gases passing through the multiport gas distributor 706 is greater than the typical energetic relaxation time of an excited state radical species. Excited state radical species for hydrogen source gas may be denoted by .H* in FIG. 7 and ground state radical species for hydrogen source gas may be denoted by .H in FIG. 7.
  • In some implementations, excited state radical species exiting the plurality of gas ports 734 may flow into a relaxation zone 738 contained within an interior of the reaction chamber 704. The relaxation zone 738 is positioned upstream of the chemical vapor deposition zone 708 but downstream of the multiport gas distributor 706. Substantially all or at least 90% of the excited state radical species exiting the multiport gas distributor 706 will transition into relaxed state radical species in the relaxation zone 738. Put another way, almost all of the excited state radical species (e.g., excited hydrogen radicals) entering the relaxation zone 738 become de-excited or transition into a relaxed state radical species (e.g., ground state hydrogen radicals) before exiting the relaxation zone 738. In some implementations, process conditions or a geometry of the relaxation zone 738 may be configured so that the residence time of radical species flowing through the relaxation zone 738, e.g., a time determined by mean free path and mean molecular velocity, results in relaxed state radical species flowing out of the relaxation zone 738.
  • With the delivery of radical species to the relaxation zone 738 from the multiport gas distributor 706, one or more silicon-containing precursors and/or one or more co-reactants may be introduced into the chemical vapor deposition zone 708. The one or more silicon-containing precursors may be introduced via a gas distributor or gas outlet 742, where the gas outlet 742 may be fluidly coupled with a precursor supply source 740. The relaxation zone 738 may be contained within a space between the multiport gas distributor 706 and the gas outlet 742. The gas outlet 742 may include mutually spaced apart openings so that the flow of the one or more silicon-containing precursors may be introduced in a direction parallel with gas mixture flowing from the relaxation zone 738. The gas outlet 742 may be located downstream from the multiport gas distributor 706 and the relaxation zone 738. The gas outlet 742 may be located upstream from the chemical vapor deposition zone 708 and the substrate 712. The chemical vapor deposition zone 708 is located within the interior of the reaction chamber 704 and between the gas outlet 742 and the substrate 712.
  • Substantially all of the flow of the one or more silicon-containing precursors may be prevented from mixing with excited state radical species adjacent to the multiport gas distributor 706. Relaxed or ground state radical species mix in a region adjacent to the substrate 712 with the one or more silicon-containing precursors. The chemical vapor deposition zone 708 includes the region adjacent to the substrate 712 where the relaxed or ground state radical species mix with the one or more silicon-containing precursors. The relaxed or ground state radical species mix with the one or more silicon-containing precursors in the gas phase during CVD formation of an SiCxOyNz film. However, the relaxed or ground state radical species do not mix with any silicon-containing precursors in the gas phase during densification and shrinkage of the SiCxOyNz film.
  • In some implementations, a co-reactant may be introduced from the gas outlet 742 and flowed along with the one or more silicon-containing precursors. The co-reactant may be introduced downstream from the remote plasma source 702. The co-reactant may be supplied from the precursor supply source 740 or other source (not shown) fluidly coupled to the gas outlet 742. In some implementations, a co-reactant may be introduced from the multiport gas distributor 706 and flowed along with the radical species generated in the remote plasma source 702 and into the reaction chamber 704. This may include radicals and/or ions of a co-reactant gas provided in the remote plasma source 702. The co-reactant may be supplied from the additional gas supply 728.
  • The gas outlet 742 may be separated from the multiport gas distributor 706 by a sufficient distance to prevent back diffusion or back streaming of the one or more silicon-containing precursors. In some implementations, the gas outlet 742 may be separated from the plurality of gas ports 734 by a distance between about 0.5 inches and about 5 inches, or between about 1.5 inches and about 4.5 inches, or between about 1.5 inches and about 3 inches.
  • Process gases may be removed from the reaction chamber 704 via an outlet 748 configured that is fluidly coupled to a pump (not shown). Thus, excess silicon-containing precursors, co-reactants, radical species, and diluent and displacement or purge gases may be removed from the reaction chamber 704. In some implementations, a system controller 750 is in operative communication with the plasma processing apparatus 700. In some implementations, the system controller 750 includes a processor system 752 (e.g., microprocessor) configured to execute instructions held in a data system 754 (e.g., memory). In some implementations, the system controller 750 may be in communication with the plasma generator controller 722 to control plasma parameters and/or conditions. In some implementations, the system controller 750 may be in communication with the pedestal 714 to control pedestal elevation and temperature. In some implementations, the system controller 750 may control other processing conditions, such as RF power settings, frequency settings, duty cycles, pulse times, pressure within the reaction chamber 704, pressure within the remote plasma source 702, gas flow rates from the source gas supply 726 and the additional gas supply 728, gas flow rates from the precursor supply source 740 and other sources, temperature of the pedestal 714, and temperature of the reaction chamber 704, among others.
  • Aspects of the controller 750 of FIG. 7 described below also apply to the controller 640 of FIG. 6. The controller 750 may contain instructions for controlling process conditions for the operation of the plasma processing apparatus 700. The controller 750 will typically include one or more memory devices and one or more processors. The processor may include a CPU or computer, analog and/or digital input/output connections, stepper motor controller boards, etc. Instructions for implementing appropriate control operations are executed on the processor. These instructions may be stored on the memory devices associated with the controller 750 or they may be provided over a network.
  • In certain embodiments, the controller 750 controls all or most activities of the plasma processing apparatus 700 described herein. For example, the controller 750 may control all or most activities of the plasma processing apparatus 700 associated with depositing an SiCxOyNz film and, optionally, other operations in a fabrication flow that includes the SiCxOyNz film. The controller 750 may execute system control software including sets of instructions for controlling the treatment frequency, treatment time, treatment power, and remote plasma gas composition of remote plasma conditions for gapfill operations. The controller 750 may also execute system control software including sets of instructions for controlling timing, time interval between deposition and plasma treatment operations, gas composition, gas flow rates, chamber pressure, chamber temperature, substrate position, and/or other parameters. Other computer programs, scripts, or routines stored on memory devices associated with the controller 750 may be employed in some embodiments. To provide relatively mild reactive conditions at the environment adjacent to the substrate 712, parameters such as the RF power levels, gas flow rates to the plasma region 724, gas flow rates to the chemical vapor deposition zone 708, and timing of the plasma ignition can be adjusted and maintained by controller 750. Additionally, adjusting the substrate position may further reduce the presence of high-energy radical species at the environment adjacent to the substrate 712. In a multi-station reactor, the controller 750 may comprise different or identical instructions for different apparatus stations, thus allowing the apparatus stations to operate either independently or synchronously.
  • In some embodiments, the controller 750 may include instructions for performing operations such depositing a first thickness of a SiCxOyNz film in one or more features of the substrate 712, exposing the SiCxOyNz film to a remote hydrogen plasma under conditions that increase a size of an opening near a top surface of each of the one or more features, and depositing a second thickness of the SiCxOyNz film is deposited in the one or more features of the substrate 712. In depositing the first thickness and the second thickness of the SiCxOyNz film, the controller 750 may include instructions for flowing one or more silicon-containing precursors into the reaction chamber 704 and introducing one or more hydrogen radicals generated from the remote plasma source 702 and towards the substrate 712 in the reaction chamber 704, where the one or more hydrogen radicals react with the one or more silicon-containing precursors to deposit the SiCxOyNz film. In some embodiments, the controller 750 may further include instructions for controlling an atomic concentration of the SiCxOyNz film so that the conditions of the remote hydrogen plasma increase the size of the opening near the top surface of each of the one or more features. In some embodiments, the controller 750 may further include instructions for repeating operations of exposing the SiCxOyNz film to the remote hydrogen plasma and depositing a new thickness of the SiCxOyNz film in the one or more features of the substrate 712 until the one or more features are filled or at least substantially filled. In some embodiments, the conditions of the remote hydrogen plasma include a treatment frequency, treatment time, treatment power, and/or remote plasma gas composition being controlled so that the size of the opening near the top surface of each of the one or more features is increased more than a size of an opening near a bottom surface of each of the one or more features. In some embodiments, the treatment power of the remote hydrogen plasma includes a concentration between about 10% and about 50% by volume of hydrogen, or a concentration between about 10% and about 30% by volume of hydrogen. In some embodiments, the first thickness and the second thickness of the SiCxOyNz film is each between about 0.5 Å and about 10 Å, or between about 0.5 Å and about 4.5 Å.
  • In some embodiments, the apparatus 700 may include a user interface associated with controller 750. The user interface may include a display screen, graphical software displays of the apparatus 700 and/or process conditions, and user input devices such as pointing devices, keyboards, touch screens, microphones, etc.
  • The computer program code for controlling the above operations can be written in any conventional computer readable programming language: for example, assembly language, C, C++, Pascal, Fortran, or others. Compiled object code or script is executed by the processor to perform the tasks identified in the program.
  • Signals for monitoring the process may be provided by analog and/or digital input connections of the system controller. The signals for controlling the process are output on the analog and digital output connections of the processing system.
  • In general, the methods described herein can be performed on systems including semiconductor processing equipment such as a processing tool or tools, chamber or chambers, a platform or platforms for processing, and/or specific processing components (a wafer pedestal, a gas flow system, etc.). These systems may be integrated with electronics for controlling their operation before, during, and after processing of a semiconductor wafer or substrate. In general, the electronics are referred to as the controller, which may control various components or subparts of the system or systems. The controller, depending on the processing requirements and/or the type of system, may be programmed to control any of the processes disclosed herein, including the delivery of processing gases, temperature settings (e.g., heating and/or cooling), pressure settings, vacuum settings, power settings, RF generator settings, RF matching circuit settings, frequency settings, flow rate settings, fluid delivery settings, positional and operation settings, wafer transfers into and out of a tool and other transfer tools and/or load locks connected to or interfaced with a specific system.
  • Broadly speaking, the controller may be defined as electronics having various integrated circuits, logic, memory, and/or software that receive instructions, issue instructions, control operation, enable cleaning operations, enable endpoint measurements, and the like. The integrated circuits may include chips in the form of firmware that store program instructions, digital signal processors (DSPs), chips defined as application specific integrated circuits (ASICs), and/or one or more microprocessors, or microcontrollers that execute program instructions (e.g., software). Program instructions may be instructions communicated to the controller in the form of various individual settings (or program files), defining operational parameters for carrying out a particular process on or for a semiconductor wafer or to a system. The operational parameters may, in some embodiments, be part of a recipe defined by process engineers to accomplish one or more processing steps during the fabrication of one or more layers, materials (e.g., silicon carbide), surfaces, circuits, and/or dies of a wafer.
  • The controller, in some implementations, may be a part of or coupled to a computer that is integrated with, coupled to the system, otherwise networked to the system, or a combination thereof. For example, the controller may be in the “cloud” or all or a part of a fab host computer system, which can allow for remote access of the wafer processing. The computer may enable remote access to the system to monitor current progress of fabrication operations, examine a history of past fabrication operations, examine trends or performance metrics from a plurality of fabrication operations, to change parameters of current processing, to set processing steps to follow a current processing, or to start a new process. In some examples, a remote computer (e.g. a server) can provide process recipes to a system over a network, which may include a local network or the Internet. The remote computer may include a user interface that enables entry or programming of parameters and/or settings, which are then communicated to the system from the remote computer. In some examples, the controller receives instructions in the form of data, which specify parameters for each of the processing steps to be performed during one or more operations. It should be understood that the parameters may be specific to the type of process to be performed and the type of tool that the controller is configured to interface with or control. Thus as described above, the controller may be distributed, such as by comprising one or more discrete controllers that are networked together and working towards a common purpose, such as the processes and controls described herein. An example of a distributed controller for such purposes would be one or more integrated circuits on a chamber in communication with one or more integrated circuits located remotely (such as at the platform level or as part of a remote computer) that combine to control a process on the chamber.
  • In addition to the doped or undoped silicon carbide deposition and treatment described herein, example systems may include a plasma etch chamber or module, a deposition chamber or module, a spin-rinse chamber or module, a metal plating chamber or module, a clean chamber or module, a bevel edge etch chamber or module, a physical vapor deposition (PVD) chamber or module, a chemical vapor deposition (CVD) chamber or module, an atomic layer deposition (ALD) chamber or module, an atomic layer etch (ALE) chamber or module, an ion implantation chamber or module, a track chamber or module, and any other semiconductor processing systems that may be associated or used in the fabrication and/or manufacturing of semiconductor wafers.
  • As noted above, depending on the process step or steps to be performed by the tool, the controller might communicate with one or more of other tool circuits or modules, other tool components, cluster tools, other tool interfaces, adjacent tools, neighboring tools, tools located throughout a factory, a main computer, another controller, or tools used in material transport that bring containers of wafers to and from tool locations and/or load ports in a semiconductor manufacturing factory.
  • The apparatus/process described hereinabove may be used in conjunction with lithographic patterning tools or processes, for example, for the fabrication or manufacture of semiconductor devices, displays, LEDs, photovoltaic panels and the like. Typically, though not necessarily, such tools/processes will be used or conducted together in a common fabrication facility. Lithographic patterning of a film typically includes some or all of the following operations, each operation enabled with a number of possible tools: (1) application of photoresist on a workpiece, i.e., substrate, using a spin-on or spray-on tool; (2) curing of photoresist using a hot plate or furnace or UV curing tool; (3) exposing the photoresist to visible or UV or x-ray light with a tool such as a wafer stepper; (4) developing the resist so as to selectively remove resist and thereby pattern it using a tool such as a wet bench; (5) transferring the resist pattern into an underlying film or workpiece by using a dry or plasma-assisted etching tool; and (6) removing the resist using a tool such as an RF or microwave plasma resist stripper.
  • FIG. 8 shows a TEM image of an SiCxOyNz film deposited in a plurality of features of a substrate according to some implementations. The SiCxOyNz film serves as gapfill material in the plurality of features. The SiCxOyNz film may include silicon oxycarbide. The SiCxOyNz film may be deposited by alternating remote plasma CVD and remote hydrogen plasma exposure operations. The treatment frequency is greater than about 10 Å per deposition-treatment cycle, the treatment power of the remote hydrogen plasma has a concentration of between 1-5% hydrogen by volume with a balance of helium, and the treatment time of the remote hydrogen plasma exposure is at least 10 seconds. Voids are formed in each of the plurality of features in FIG. 8.
  • FIG. 9 shows a TEM image of a SiCxOyNz film deposited in a plurality of features of a substrate according to some implementations. The SiCxOyNz film serves as gapfill material in the plurality of features. The SiCxOyNz film may include silicon oxycarbide. The SiCxOyNz film may be deposited by alternating remote plasma CVD and remote hydrogen plasma exposure operations. Various time intervals may be introduced between remote plasma CVD and remote hydrogen plasma exposure operations to modulate gapfill performance. However, the remote hydrogen plasma exposure conditions are controlled to limit the sizes of the voids formed in FIG. 9. The treatment frequency is equal to or less than 5 Å per deposition-treatment cycle, the treatment power of the remote hydrogen plasma is between about 2 Kilowatts and about 6 Kilowatts, and the remote plasma gas composition has a concentration of between about 10% and about 50% by volume of hydrogen with a balance of helium, and the treatment time of the remote hydrogen plasma exposure is between about 0.5 seconds and about 120 seconds. Voids are formed in each of the plurality of features in FIG. 9, but the voids are significantly smaller compared to FIG. 8.
  • In the foregoing description, numerous specific details are set forth to provide a thorough understanding of the presented embodiments. The disclosed embodiments may be practiced without some or all of these specific details. In other instances, well-known process operations have not been described in detail to not unnecessarily obscure the disclosed embodiments. While the disclosed embodiments are described in conjunction with the specific embodiments, it will be understood that it is not intended to limit the disclosed embodiments.
  • Although the foregoing embodiments have been described in some detail for purposes of clarity of understanding, it will be apparent that certain changes and modifications may be practiced within the scope of the appended claims. It should be noted that there are many alternative ways of implementing the processes, systems, and apparatus of the present embodiments. Accordingly, the present embodiments are to be considered as illustrative and not restrictive, and the embodiments are not to be limited to the details given herein.

Claims (29)

What is claimed is:
1. An apparatus (600) for depositing a silicon carbide gapfill material in one or more features of a substrate (630), the apparatus (600) comprising:
a reaction chamber (610) including a pedestal (635) for supporting the substrate (630);
a vessel (650) for providing a silicon-containing precursor through a first gas inlet (655) into the reaction chamber (610) towards the substrate (630);
a remote plasma source (660) configured to generate radical species and deliver the radical species through a second gas inlet (665) into the reaction chamber (610) towards the substrate (630) so that the radical species reacts with the silicon-containing precursor to deposit a first thickness of the silicon carbide gapfill material in the one or more features of the substrate (630) or treats the first thickness of the silicon carbide gapfill material to increase a size of an opening near a top surface of each of the one or more features of the substrate (630); and
a controller (640) configured to control at least one of a flow rate of the silicon-containing precursor, a treatment frequency, a treatment time, a treatment power, or a gas composition of the radical species.
2. The apparatus of claim 1, wherein the controller (640) is configured to cause the radical species to treat the first thickness of the silicon carbide gapfill material to densify and shrink the first thickness of the silicon carbide gapfill material.
3. The apparatus of claim 1, wherein the pedestal (635) comprises one or more heating/cooling elements to control a temperature of the substrate (630).
4. The apparatus of claim 1, wherein the first gas inlet (655) for providing the silicon-containing precursor is separate from and located downstream from the second gas inlet (665) for delivering the radical species.
5. The apparatus of claim 1, wherein the remote plasma source (660) is a capacitively coupled plasma source.
6. The apparatus of claim 1, wherein the remote plasma source (660) is an inductively coupled plasma source.
7. The apparatus of claim 1, wherein the controller (640) is configured to operate the remote plasma source (660) at an RF frequency equal to or greater than about 13.56 MHz.
8. The apparatus of claim 1, further comprising:
a showerhead (620) configured to distribute the silicon-containing precursor into the reaction chamber (610) via the first gas inlet (655), wherein the substrate (630) is located beneath the showerhead (620).
9. The apparatus of claim 1, wherein the second gas inlet (665) is positioned above the substrate (630), wherein a distance between the remote plasma source (660) and the reaction chamber (610) is configured to provide reaction conditions in an environment adjacent to the substrate (630) that is free or substantially free of ionized species.
10. The apparatus of claim 9, wherein the distance between the remote plasma source (660) and the reaction chamber (610) is based on an aggressiveness of a plasma generated in the remote plasma source (660) and a density of gas in the plasma in the remote plasma source (660).
11. The apparatus of claim 1, wherein the second gas inlet (665) introduces a co-reactant into the reaction chamber (610) so that the radical species reacts with the silicon-containing precursor and the co-reactant to deposit the first thickness of the silicon carbide gapfill material.
12. The apparatus of claim 11, wherein the co-reactant is partially converted to plasma and flows along a flow path of the radical species.
13. The apparatus of claim 1, wherein the first gas inlet (655) introduces a co-reactant into the reaction chamber (610) so that the radical species reacts with the silicon-containing precursor and the co-reactant to deposit the first thickness of the silicon carbide gapfill material.
14. The apparatus of claim 1, wherein the controller (640) is configured with instructions to perform the following operations:
deposit the first thickness of the silicon carbide gapfill material in the one or more features of the substrate (630);
treat the first thickness of the silicon carbide gapfill material to increase the size of the opening near the top surface of each of the one or more features of the substrate (630); and
deposit a second thickness of the silicon carbide gapfill material in the one or more features of the substrate (630).
15. An apparatus (700) for depositing a silicon carbide gapfill material in one or more features of a substrate (712), the apparatus (700) comprising:
a reaction chamber (704) including a pedestal (714) for supporting a substrate (712);
a precursor supply source (740) for providing one or more silicon-containing precursors through a gas outlet (742) into the reaction chamber (704);
a remote plasma source (702) for generating and sustaining a plasma in a plasma region (724), wherein the remote plasma source (702) is separated from the reaction chamber (704) by a multiport gas distributor (706), wherein the gas outlet (742) is located downstream from the multiport gas distributor (706), and wherein the multiport gas distributor (706) disperses and diffuses radicals of the plasma from the remote plasma source (702) into the reaction chamber (704) to react with the one or more silicon-containing precursors to deposit a first thickness of a silicon carbide gapfill material in the one or more features of the substrate (712) or to treat the first thickness of the silicon carbide gapfill material in the one or more features of the substrate (712); and
a controller (750) configured to control at least one of a treatment frequency, a treatment time, a treatment power, or a gas composition of the plasma.
16. The apparatus of claim 15, wherein the reaction chamber (704) includes a chemical vapor deposition zone (708) in an environment adjacent to the substrate (712) and a relaxation zone (738) defining a region between the gas outlet (742) and the multiport gas distributor (706), wherein a geometry of the relaxation zone (738) is configured so that the radicals of the plasma transition from excited radicals to ground state radicals.
17. The apparatus of claim 15, wherein the multiport gas distributor (706) comprises a plurality of gas ports (734) each having an axial length to diameter ratio of between about 3:1 and 10:1.
18. The apparatus of claim 15, wherein the multiport gas distributor (706) comprises a plurality of gas ports (734) that are arranged as an array of regularly spaced apart through-holes extending through a plate.
19. The apparatus of claim 18, wherein the plurality of gas ports (734) occupy between about 5% and about 20% of an exposed surface area of the multiport gas distributor (706).
20. The apparatus of claim 15, wherein the multiport gas distributor (706) comprises one or both of an ion filter and a photon filter.
21. The apparatus of claim 15, further comprising:
an additional gas supply (728) fluidly coupled with the remote plasma source (702) for supplying one or more additional gases into the reaction chamber (704).
22. The apparatus of claim 15, wherein the multiport gas distributor (706) disperses and diffuses radicals of the plasma into the reaction chamber (704) to treat the first thickness of the silicon carbide gapfill material to increase a size of an opening near a top surface of each of the one or more features of the substrate (712).
23. The apparatus of claim 15, wherein the radicals of the plasma comprise hydrogen radicals.
24. The apparatus of claim 15, further comprising:
a coil (718) arranged around the remote plasma source (702) and electrically coupled to a plasma controller (722), wherein the plasma controller (722) is configured to generate the plasma via inductively coupled plasma generation.
25. The apparatus of claim 15, wherein the controller (740) is configured with instructions for performing the following operations:
deposit the first thickness of the silicon carbide gapfill material in the one or more features of the substrate (712);
treat the first thickness of the silicon carbide gapfill material to increase a size of an opening near a top surface of each of the one or more features of the substrate (712); and
repeat operations of depositing and treating the silicon carbide gapfill material until the one or more features of the substrate (712) are filled or substantially filled with the silicon carbide gapfill material.
26. An apparatus (700) for depositing a silicon carbide gapfill material in one or more features of a substrate (712), the apparatus (700) comprising:
a reaction chamber (704) comprising supporting means (714) for supporting the substrate (712);
remote plasma generating means (702) for generating remote plasma separate from the reaction chamber (704), wherein the remote plasma comprises reactant radicals;
precursor delivery means (742) for delivering a silicon-containing precursor into the reaction chamber (704);
radicals introducing means (706) for introducing the reactant radicals into the reaction chamber (704) towards the substrate (712) under conditions to react with the silicon-containing precursor to deposit a first thickness of the silicon carbide gapfill material in the one or more features of the substrate (712); and
controlling means (750) for controlling operations in the reaction chamber (704) and the remote plasma generating means (702) to cause: generating remote hydrogen plasma in the remote plasma generating means (702) and exposing the substrate (712) to the remote hydrogen plasma to densify and shrink the first thickness of the silicon carbide gapfill material.
27. The apparatus of claim 26, wherein the controlling means (750) further causes: filling or substantially filling the one or more features of the substrate (712) with the silicon carbide gapfill material.
28. The apparatus of claim 26, wherein the silicon carbide gapfill material comprises a low-k dielectric material, wherein the low-k dielectric material has an effective dielectric constant of about 4.0 or lower.
29. The apparatus of claim 26, wherein the remote plasma generating means (702) is configured to generate a capacitively coupled remote plasma comprising hydrogen radicals, wherein the radicals introducing means (706) is configured to introduce the hydrogen radicals into the reaction chamber (704) towards the substrate (712) under conditions in which the hydrogen radicals are in a ground state to react with the silicon-containing precursor to deposit the first thickness of the silicon carbide gapfill material.
US17/658,937 2018-10-19 2022-04-12 Doped or undoped silicon carbide deposition and remote hydrogen plasma exposure for gapfill Abandoned US20220238334A1 (en)

Priority Applications (1)

Application Number Priority Date Filing Date Title
US17/658,937 US20220238334A1 (en) 2018-10-19 2022-04-12 Doped or undoped silicon carbide deposition and remote hydrogen plasma exposure for gapfill

Applications Claiming Priority (4)

Application Number Priority Date Filing Date Title
US201862748186P 2018-10-19 2018-10-19
PCT/US2019/055671 WO2020081367A1 (en) 2018-10-19 2019-10-10 Doped or undoped silicon carbide deposition and remote hydrogen plasma exposure for gapfill
US202117286407A 2021-04-16 2021-04-16
US17/658,937 US20220238334A1 (en) 2018-10-19 2022-04-12 Doped or undoped silicon carbide deposition and remote hydrogen plasma exposure for gapfill

Related Parent Applications (2)

Application Number Title Priority Date Filing Date
PCT/US2019/055671 Continuation WO2020081367A1 (en) 2018-10-19 2019-10-10 Doped or undoped silicon carbide deposition and remote hydrogen plasma exposure for gapfill
US17/286,407 Continuation US11848199B2 (en) 2018-10-19 2019-10-10 Doped or undoped silicon carbide deposition and remote hydrogen plasma exposure for gapfill

Publications (1)

Publication Number Publication Date
US20220238334A1 true US20220238334A1 (en) 2022-07-28

Family

ID=70284056

Family Applications (4)

Application Number Title Priority Date Filing Date
US17/286,407 Active US11848199B2 (en) 2018-10-19 2019-10-10 Doped or undoped silicon carbide deposition and remote hydrogen plasma exposure for gapfill
US17/658,935 Abandoned US20220238333A1 (en) 2018-10-19 2022-04-12 Doped or undoped silicon carbide deposition and remote hydrogen plasma exposure for gapfill
US17/658,937 Abandoned US20220238334A1 (en) 2018-10-19 2022-04-12 Doped or undoped silicon carbide deposition and remote hydrogen plasma exposure for gapfill
US18/501,395 Pending US20240063015A1 (en) 2018-10-19 2023-11-03 Doped or undoped silicon carbide deposition and remote hydrogen plasma exposure for gapfill

Family Applications Before (2)

Application Number Title Priority Date Filing Date
US17/286,407 Active US11848199B2 (en) 2018-10-19 2019-10-10 Doped or undoped silicon carbide deposition and remote hydrogen plasma exposure for gapfill
US17/658,935 Abandoned US20220238333A1 (en) 2018-10-19 2022-04-12 Doped or undoped silicon carbide deposition and remote hydrogen plasma exposure for gapfill

Family Applications After (1)

Application Number Title Priority Date Filing Date
US18/501,395 Pending US20240063015A1 (en) 2018-10-19 2023-11-03 Doped or undoped silicon carbide deposition and remote hydrogen plasma exposure for gapfill

Country Status (6)

Country Link
US (4) US11848199B2 (en)
JP (1) JP2022505310A (en)
KR (5) KR20220056249A (en)
CN (1) CN113195786A (en)
TW (1) TW202032660A (en)
WO (1) WO2020081367A1 (en)

Cited By (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US11680315B2 (en) 2013-05-31 2023-06-20 Novellus Systems, Inc. Films of desired composition and film properties
US11848199B2 (en) 2018-10-19 2023-12-19 Lam Research Corporation Doped or undoped silicon carbide deposition and remote hydrogen plasma exposure for gapfill
US11894227B2 (en) 2012-06-12 2024-02-06 Novellus Systems, Inc. Conformal deposition of silicon carbide films

Families Citing this family (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR20220082751A (en) * 2020-12-09 2022-06-17 에이에스엠 아이피 홀딩 비.브이. Method of forming a structure including silicon-carbon material, structure formed using the method, and system for forming the structure
US20230050255A1 (en) * 2021-08-13 2023-02-16 Applied Materials, Inc. Seam removal in high aspect ratio gap-fill
US20230360924A1 (en) * 2022-05-05 2023-11-09 Applied Materials, Inc. Low temperature carbon gapfill

Citations (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20120282756A1 (en) * 2011-03-23 2012-11-08 Lingkuan Meng Thin Film Filling Method

Family Cites Families (227)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPS59128281A (en) 1982-12-29 1984-07-24 信越化学工業株式会社 Manufacture of silicon carbide coated matter
DE3811567A1 (en) 1988-04-07 1989-10-19 Wacker Chemie Gmbh METHOD FOR PRODUCING ORGANOPOLYSILANES
KR940003787B1 (en) 1988-09-14 1994-05-03 후지쓰 가부시끼가이샤 Thin film forming method and device
JPH05326452A (en) 1991-06-10 1993-12-10 Kawasaki Steel Corp Equipment and method for plasma treatment
FR2713666B1 (en) 1993-12-15 1996-01-12 Air Liquide Method and device for depositing at low temperature a film containing silicon on a metal substrate.
US5665640A (en) 1994-06-03 1997-09-09 Sony Corporation Method for producing titanium-containing thin films by low temperature plasma-enhanced chemical vapor deposition using a rotating susceptor reactor
US6013155A (en) 1996-06-28 2000-01-11 Lam Research Corporation Gas injection system for plasma processing
JP3164019B2 (en) 1997-05-21 2001-05-08 日本電気株式会社 Silicon oxide film, method for forming the same, and film forming apparatus
US6624064B1 (en) 1997-10-10 2003-09-23 Applied Materials, Inc. Chamber seasoning method to improve adhesion of F-containing dielectric film to metal for VLSI application
US7354873B2 (en) 1998-02-05 2008-04-08 Asm Japan K.K. Method for forming insulation film
JP4151862B2 (en) 1998-02-26 2008-09-17 キヤノンアネルバ株式会社 CVD equipment
US6262445B1 (en) 1998-03-30 2001-07-17 Texas Instruments Incorporated SiC sidewall process
US6395150B1 (en) 1998-04-01 2002-05-28 Novellus Systems, Inc. Very high aspect ratio gapfill using HDP
US6846391B1 (en) 1998-04-01 2005-01-25 Novellus Systems Process for depositing F-doped silica glass in high aspect ratio structures
US20010012667A1 (en) 1999-01-15 2001-08-09 Yi Ma Clustered system and method for formation of integrated circuit devices
US6399177B1 (en) 1999-06-03 2002-06-04 The Penn State Research Foundation Deposited thin film void-column network materials
EP1077479A1 (en) 1999-08-17 2001-02-21 Applied Materials, Inc. Post-deposition treatment to enchance properties of Si-O-C low K film
DE69940114D1 (en) 1999-08-17 2009-01-29 Applied Materials Inc Surface treatment of carbon-doped SiO 2 films to increase the stability during O 2 ashing
CN1278867C (en) 1999-09-29 2006-10-11 精工爱普生株式会社 Printing apparatus and control method thereof
US6287643B1 (en) 1999-09-30 2001-09-11 Novellus Systems, Inc. Apparatus and method for injecting and modifying gas concentration of a meta-stable or atomic species in a downstream plasma reactor
US6399489B1 (en) 1999-11-01 2002-06-04 Applied Materials, Inc. Barrier layer deposition using HDP-CVD
US6863019B2 (en) 2000-06-13 2005-03-08 Applied Materials, Inc. Semiconductor device fabrication chamber cleaning method and apparatus with recirculation of cleaning gas
JP4371543B2 (en) 2000-06-29 2009-11-25 日本電気株式会社 Remote plasma CVD apparatus and film forming method
US6365527B1 (en) 2000-10-06 2002-04-02 United Microelectronics Corp. Method for depositing silicon carbide in semiconductor devices
US6448186B1 (en) 2000-10-06 2002-09-10 Novellus Systems, Inc. Method and apparatus for use of hydrogen and silanes in plasma
US6576345B1 (en) 2000-11-30 2003-06-10 Novellus Systems Inc Dielectric films with low dielectric constants
US6949450B2 (en) 2000-12-06 2005-09-27 Novellus Systems, Inc. Method for integrated in-situ cleaning and subsequent atomic layer deposition within a single processing chamber
US6936533B2 (en) 2000-12-08 2005-08-30 Samsung Electronics, Co., Ltd. Method of fabricating semiconductor devices having low dielectric interlayer insulation layer
KR100705189B1 (en) 2000-12-30 2007-04-06 주식회사 하이닉스반도체 Method for forming thin film of semiconductor device
US6846745B1 (en) 2001-08-03 2005-01-25 Novellus Systems, Inc. High-density plasma process for filling high aspect ratio structures
WO2003015129A2 (en) 2001-08-06 2003-02-20 Advanced Technology Material, Inc. Low-k dielectric thin films and chemical vapor deposition method of making same
KR20060097768A (en) 2001-08-30 2006-09-15 동경 엘렉트론 주식회사 Method and apparatus for forming film
EP1436742A1 (en) 2001-09-18 2004-07-14 Pro-Corp Holdings International Limited Image recognition inventory management system
US6699784B2 (en) 2001-12-14 2004-03-02 Applied Materials Inc. Method for depositing a low k dielectric film (K>3.5) for hard mask application
US6890850B2 (en) 2001-12-14 2005-05-10 Applied Materials, Inc. Method of depositing dielectric materials in damascene applications
US6838393B2 (en) 2001-12-14 2005-01-04 Applied Materials, Inc. Method for producing semiconductor including forming a layer containing at least silicon carbide and forming a second layer containing at least silicon oxygen carbide
US6818570B2 (en) 2002-03-04 2004-11-16 Asm Japan K.K. Method of forming silicon-containing insulation film having low dielectric constant and high mechanical strength
US20030194496A1 (en) 2002-04-11 2003-10-16 Applied Materials, Inc. Methods for depositing dielectric material
TWI289491B (en) 2002-04-16 2007-11-11 Tadatomo Suga Reflow soldering method
JP4683825B2 (en) 2002-04-24 2011-05-18 株式会社半導体エネルギー研究所 Semiconductor device and manufacturing method thereof
US6936551B2 (en) 2002-05-08 2005-08-30 Applied Materials Inc. Methods and apparatus for E-beam treatment used to fabricate integrated circuit devices
US20060014384A1 (en) 2002-06-05 2006-01-19 Jong-Cheol Lee Method of forming a layer and forming a capacitor of a semiconductor device having the same layer
US7547635B2 (en) 2002-06-14 2009-06-16 Lam Research Corporation Process for etching dielectric films with improved resist and/or etch profile characteristics
JP3991315B2 (en) 2002-09-17 2007-10-17 キヤノンアネルバ株式会社 Thin film forming apparatus and method
US7749563B2 (en) 2002-10-07 2010-07-06 Applied Materials, Inc. Two-layer film for next generation damascene barrier application with good oxidation resistance
JP4066332B2 (en) 2002-10-10 2008-03-26 日本エー・エス・エム株式会社 Method for manufacturing silicon carbide film
US6991959B2 (en) 2002-10-10 2006-01-31 Asm Japan K.K. Method of manufacturing silicon carbide film
JP4109531B2 (en) 2002-10-25 2008-07-02 松下電器産業株式会社 Semiconductor device and manufacturing method thereof
US7485570B2 (en) 2002-10-30 2009-02-03 Fujitsu Limited Silicon oxycarbide, growth method of silicon oxycarbide layer, semiconductor device and manufacture method for semiconductor device
DE10250889B4 (en) 2002-10-31 2006-12-07 Advanced Micro Devices, Inc., Sunnyvale An improved SiC barrier layer for a low-k dielectric, metallization layer and method of making the same
US20040084774A1 (en) 2002-11-02 2004-05-06 Bo Li Gas layer formation materials
US20040232552A1 (en) 2002-12-09 2004-11-25 Advanced Micro Devices, Inc. Air gap dual damascene process and structure
US6825130B2 (en) 2002-12-12 2004-11-30 Asm Japan K.K. CVD of porous dielectric materials
US7972663B2 (en) 2002-12-20 2011-07-05 Applied Materials, Inc. Method and apparatus for forming a high quality low temperature silicon nitride layer
US7365029B2 (en) 2002-12-20 2008-04-29 Applied Materials, Inc. Method for silicon nitride chemical vapor deposition
US7172792B2 (en) 2002-12-20 2007-02-06 Applied Materials, Inc. Method for forming a high quality low temperature silicon nitride film
US6790788B2 (en) 2003-01-13 2004-09-14 Applied Materials Inc. Method of improving stability in low k barrier layers
US7238393B2 (en) 2003-02-13 2007-07-03 Asm Japan K.K. Method of forming silicon carbide films
US7084076B2 (en) 2003-02-27 2006-08-01 Samsung Electronics, Co., Ltd. Method for forming silicon dioxide film using siloxane
US20040197474A1 (en) 2003-04-01 2004-10-07 Vrtis Raymond Nicholas Method for enhancing deposition rate of chemical vapor deposition films
JP2004363241A (en) 2003-06-03 2004-12-24 Advanced Lcd Technologies Development Center Co Ltd Method and apparatus for forming crystallized semiconductor layer and method for manufacturing semiconductor device
KR20050002525A (en) 2003-06-30 2005-01-07 주식회사 하이닉스반도체 The method for manufacturing diffusion protecting layer in semiconductor device
JP3966249B2 (en) 2003-07-30 2007-08-29 日産自動車株式会社 Semiconductor device and manufacturing method of semiconductor device
US7018560B2 (en) 2003-08-05 2006-03-28 Rohm And Haas Electronic Materials Cmp Holdings, Inc. Composition for polishing semiconductor layers
US6849561B1 (en) 2003-08-18 2005-02-01 Asm Japan K.K. Method of forming low-k films
US20050100682A1 (en) 2003-11-06 2005-05-12 Tokyo Electron Limited Method for depositing materials on a substrate
US7163896B1 (en) 2003-12-10 2007-01-16 Novellus Systems, Inc. Biased H2 etch process in deposition-etch-deposition gap fill
US20050230350A1 (en) 2004-02-26 2005-10-20 Applied Materials, Inc. In-situ dry clean chamber for front end of line fabrication
US7030041B2 (en) 2004-03-15 2006-04-18 Applied Materials Inc. Adhesion improvement for low k dielectrics
US7524735B1 (en) 2004-03-25 2009-04-28 Novellus Systems, Inc Flowable film dielectric gap fill process
US7582555B1 (en) 2005-12-29 2009-09-01 Novellus Systems, Inc. CVD flowable gap fill
US7695590B2 (en) * 2004-03-26 2010-04-13 Applied Materials, Inc. Chemical vapor deposition plasma reactor having plural ion shower grids
US7102232B2 (en) 2004-04-19 2006-09-05 International Business Machines Corporation Structure to improve adhesion between top CVD low-k dielectric and dielectric capping layer
US7067409B2 (en) 2004-05-10 2006-06-27 Taiwan Semiconductor Manufacturing Company, Ltd. Plasma treatment at film layer to reduce sheet resistance and to improve via contact resistance
US7622400B1 (en) 2004-05-18 2009-11-24 Novellus Systems, Inc. Method for improving mechanical properties of low dielectric constant materials
JP2006013190A (en) 2004-06-28 2006-01-12 Rohm Co Ltd Method of manufacturing semiconductor device
US7129187B2 (en) 2004-07-14 2006-10-31 Tokyo Electron Limited Low-temperature plasma-enhanced chemical vapor deposition of silicon-nitrogen-containing films
CN100558940C (en) 2004-08-18 2009-11-11 陶氏康宁公司 Substrate of coating and preparation method thereof
US7422776B2 (en) 2004-08-24 2008-09-09 Applied Materials, Inc. Low temperature process to produce low-K dielectrics with low stress by plasma-enhanced chemical vapor deposition (PECVD)
US7166544B2 (en) 2004-09-01 2007-01-23 Applied Materials, Inc. Method to deposit functionally graded dielectric films via chemical vapor deposition using viscous precursors
GB0423685D0 (en) 2004-10-26 2004-11-24 Dow Corning Ireland Ltd Improved method for coating a substrate
US7335980B2 (en) 2004-11-04 2008-02-26 International Business Machines Corporation Hardmask for reliability of silicon based dielectrics
US7695765B1 (en) 2004-11-12 2010-04-13 Novellus Systems, Inc. Methods for producing low-stress carbon-doped oxide films with improved integration properties
US7662355B2 (en) 2004-11-29 2010-02-16 National University Corporation Tokyo University Of Agriculture And Technology Silicon nanosized linear body and a method for producing a silicon nanosized linear body
US7259111B2 (en) 2005-01-19 2007-08-21 Applied Materials, Inc. Interface engineering to improve adhesion between low k stacks
US7189658B2 (en) 2005-05-04 2007-03-13 Applied Materials, Inc. Strengthening the interface between dielectric layers and barrier layers with an oxide layer of varying composition profile
US7972910B2 (en) 2005-06-03 2011-07-05 Semiconductor Energy Laboratory Co., Ltd. Manufacturing method of integrated circuit device including thin film transistor
JP2006351694A (en) 2005-06-14 2006-12-28 Fujitsu Ltd Semiconductor device and its manufacturing method
JP2007053133A (en) 2005-08-15 2007-03-01 Toshiba Corp Semiconductor device and manufacturing method thereof
US8021992B2 (en) 2005-09-01 2011-09-20 Taiwan Semiconductor Manufacturing Co., Ltd. High aspect ratio gap fill application using high density plasma chemical vapor deposition
US7718518B2 (en) 2005-12-16 2010-05-18 Asm International N.V. Low temperature doped silicon layer formation
US20070173071A1 (en) 2006-01-20 2007-07-26 International Business Machines Corporation SiCOH dielectric
US7695567B2 (en) 2006-02-10 2010-04-13 Applied Materials, Inc. Water vapor passivation of a wall facing a plasma
EP1989733A1 (en) 2006-02-28 2008-11-12 STMicroelectronics (Crolles 2) SAS Metal interconnects in a dielectric material
WO2007116492A1 (en) 2006-03-31 2007-10-18 Fujitsu Microelectronics Limited Method for manufacturing semiconductor device
TWI366876B (en) 2006-05-30 2012-06-21 Applied Materials Inc A novel deposition-plasma cure cycle process to enhance film quality of silicon dioxide
US7825038B2 (en) 2006-05-30 2010-11-02 Applied Materials, Inc. Chemical vapor deposition of high quality flow-like silicon dioxide using a silicon containing precursor and atomic oxygen
US7514375B1 (en) 2006-08-08 2009-04-07 Novellus Systems, Inc. Pulsed bias having high pulse frequency for filling gaps with dielectric material
US20080064173A1 (en) 2006-09-08 2008-03-13 United Microelectronics Corp. Semiconductor device, cmos device and fabricating methods of the same
US8053372B1 (en) 2006-09-12 2011-11-08 Novellus Systems, Inc. Method of reducing plasma stabilization time in a cyclic deposition process
US10037905B2 (en) 2009-11-12 2018-07-31 Novellus Systems, Inc. UV and reducing treatment for K recovery and surface clean in semiconductor processing
US8465991B2 (en) 2006-10-30 2013-06-18 Novellus Systems, Inc. Carbon containing low-k dielectric constant recovery using UV treatment
US20080193673A1 (en) 2006-12-05 2008-08-14 Applied Materials, Inc. Method of processing a workpiece using a mid-chamber gas distribution plate, tuned plasma flow control grid and electrode
DE102006058771B4 (en) 2006-12-12 2018-03-01 Schott Ag Container with improved emptiness and method for its production
US20080156264A1 (en) 2006-12-27 2008-07-03 Novellus Systems, Inc. Plasma Generator Apparatus
US7915166B1 (en) 2007-02-22 2011-03-29 Novellus Systems, Inc. Diffusion barrier and etch stop films
JP5140290B2 (en) 2007-03-02 2013-02-06 富士フイルム株式会社 Insulation film
CN101017834A (en) 2007-03-02 2007-08-15 上海集成电路研发中心有限公司 SOI integrated circuit structure and its making method
US7615482B2 (en) 2007-03-23 2009-11-10 International Business Machines Corporation Structure and method for porous SiCOH dielectric layers and adhesion promoting or etch stop layers having increased interfacial and mechanical strength
US20090264277A1 (en) 2007-04-17 2009-10-22 Dr. Rishi Raj Picoscale catalysts for hydrogen catalysis
US7955955B2 (en) 2007-05-10 2011-06-07 International Business Machines Corporation Using crack arrestor for inhibiting damage from dicing and chip packaging interaction failures in back end of line structures
JP5022116B2 (en) 2007-06-18 2012-09-12 三菱重工業株式会社 Semiconductor device manufacturing method and manufacturing apparatus
US8021514B2 (en) 2007-07-11 2011-09-20 Applied Materials, Inc. Remote plasma source for pre-treatment of substrates prior to deposition
KR20100042644A (en) 2007-07-13 2010-04-26 어플라이드 머티어리얼스, 인코포레이티드 Boron derived materials deposition method
US20090061649A1 (en) 2007-08-28 2009-03-05 International Business Machines Corporation LOW k POROUS SiCOH DIELECTRIC AND INTEGRATION WITH POST FILM FORMATION TREATMENT
JP2009075285A (en) 2007-09-20 2009-04-09 Fujifilm Corp Stripper for semiconductor device and stripping method
US7964442B2 (en) 2007-10-09 2011-06-21 Applied Materials, Inc. Methods to obtain low k dielectric barrier with superior etch resistivity
JP5006938B2 (en) 2007-11-02 2012-08-22 キヤノンアネルバ株式会社 Surface treatment apparatus and substrate treatment method thereof
US9217200B2 (en) 2007-12-21 2015-12-22 Asm International N.V. Modification of nanoimprint lithography templates by atomic layer deposition
US7648899B1 (en) 2008-02-28 2010-01-19 Novellus Systems, Inc. Interfacial layers for electromigration resistance improvement in damascene interconnects
US9591738B2 (en) 2008-04-03 2017-03-07 Novellus Systems, Inc. Plasma generator systems and methods of forming plasma
KR20090106112A (en) 2008-04-04 2009-10-08 울산대학교 산학협력단 Depostion of polycrystalline AlN films on 3C-SiC buffer layers for MEMS or NEMS applications
US20090258487A1 (en) 2008-04-14 2009-10-15 Keng-Chu Lin Method for Improving the Reliability of Low-k Dielectric Materials
KR101563763B1 (en) 2008-05-07 2015-10-27 더 트러스티즈 오브 프린스턴 유니버시티 Hybrid layers for use in coatings on electronic devices or other articles
KR101629193B1 (en) 2008-06-26 2016-06-10 가부시키가이샤 한도오따이 에네루기 켄큐쇼 Method for manufacturing soi substrate
US20100025370A1 (en) 2008-08-04 2010-02-04 Applied Materials, Inc. Reactive gas distributor, reactive gas treatment system, and reactive gas treatment method
US8916022B1 (en) 2008-09-12 2014-12-23 Novellus Systems, Inc. Plasma generator systems and methods of forming plasma
US8168268B2 (en) 2008-12-12 2012-05-01 Ovishinsky Innovation, LLC Thin film deposition via a spatially-coordinated and time-synchronized process
US20100081293A1 (en) 2008-10-01 2010-04-01 Applied Materials, Inc. Methods for forming silicon nitride based film or silicon carbon based film
US7910491B2 (en) 2008-10-16 2011-03-22 Applied Materials, Inc. Gapfill improvement with low etch rate dielectric liners
US8809195B2 (en) 2008-10-20 2014-08-19 Asm America, Inc. Etching high-k materials
US8637396B2 (en) 2008-12-01 2014-01-28 Air Products And Chemicals, Inc. Dielectric barrier deposition using oxygen containing precursor
WO2010132589A2 (en) 2009-05-13 2010-11-18 Cv Holdings, Llc Outgassing method for inspecting a coated surface
US20100224322A1 (en) 2009-03-03 2010-09-09 Applied Materials, Inc. Endpoint detection for a reactor chamber using a remote plasma chamber
US8268722B2 (en) 2009-06-03 2012-09-18 Novellus Systems, Inc. Interfacial capping layers for interconnects
US8084339B2 (en) 2009-06-12 2011-12-27 Novellus Systems, Inc. Remote plasma processing of interface surfaces
US20100317198A1 (en) 2009-06-12 2010-12-16 Novellus Systems, Inc. Remote plasma processing of interface surfaces
US8980382B2 (en) 2009-12-02 2015-03-17 Applied Materials, Inc. Oxygen-doping for non-carbon radical-component CVD films
CN102576667A (en) 2009-07-22 2012-07-11 应用材料公司 Hollow cathode showerhead
US8071451B2 (en) 2009-07-29 2011-12-06 Axcelis Technologies, Inc. Method of doping semiconductors
US7989365B2 (en) 2009-08-18 2011-08-02 Applied Materials, Inc. Remote plasma source seasoning
US8202783B2 (en) 2009-09-29 2012-06-19 International Business Machines Corporation Patternable low-k dielectric interconnect structure with a graded cap layer and method of fabrication
JP5656010B2 (en) 2009-12-04 2015-01-21 ノベラス・システムズ・インコーポレーテッドNovellus Systems Incorporated Method for forming hard mask film and apparatus for forming hard mask film
US8247332B2 (en) 2009-12-04 2012-08-21 Novellus Systems, Inc. Hardmask materials
TWI579916B (en) 2009-12-09 2017-04-21 諾菲勒斯系統公司 Novel gap fill integration with flowable oxide and cap oxide
JP5394270B2 (en) 2010-01-25 2014-01-22 株式会社東芝 Nonvolatile semiconductor memory device and manufacturing method thereof
US8703625B2 (en) 2010-02-04 2014-04-22 Air Products And Chemicals, Inc. Methods to prepare silicon-containing films
US8399350B2 (en) 2010-02-05 2013-03-19 International Business Machines Corporation Formation of air gap with protection of metal lines
KR101123829B1 (en) 2010-02-12 2012-03-20 국제엘렉트릭코리아 주식회사 Substrate treating apparatus
US8349746B2 (en) 2010-02-23 2013-01-08 Applied Materials, Inc. Microelectronic structure including a low k dielectric and a method of controlling carbon distribution in the structure
JP5476161B2 (en) 2010-03-02 2014-04-23 ルネサスエレクトロニクス株式会社 Manufacturing method of semiconductor device
WO2011109148A2 (en) 2010-03-05 2011-09-09 Applied Materials, Inc. Conformal layers by radical-component cvd
CN102892922A (en) 2010-03-17 2013-01-23 应用材料公司 Method and apparatus for remote plasma source assisted silicon-containing film deposition
US8741394B2 (en) 2010-03-25 2014-06-03 Novellus Systems, Inc. In-situ deposition of film stacks
US20120142172A1 (en) 2010-03-25 2012-06-07 Keith Fox Pecvd deposition of smooth polysilicon films
US8288292B2 (en) 2010-03-30 2012-10-16 Novellus Systems, Inc. Depositing conformal boron nitride film by CVD without plasma
US8728956B2 (en) * 2010-04-15 2014-05-20 Novellus Systems, Inc. Plasma activated conformal film deposition
US9611544B2 (en) 2010-04-15 2017-04-04 Novellus Systems, Inc. Plasma activated conformal dielectric film deposition
US8524612B2 (en) 2010-09-23 2013-09-03 Novellus Systems, Inc. Plasma-activated deposition of conformal films
TW201216331A (en) 2010-10-05 2012-04-16 Applied Materials Inc Ultra high selectivity doped amorphous carbon strippable hardmask development and integration
KR20130135261A (en) 2010-11-03 2013-12-10 어플라이드 머티어리얼스, 인코포레이티드 Apparatus and methods for deposition of silicon carbide and silicon carbonitride films
KR101787041B1 (en) 2010-11-17 2017-10-18 삼성전자주식회사 Methods for forming semiconductor devices having etch stopping layers, and methods for fabricating semiconductor devices
CN102468434A (en) 2010-11-17 2012-05-23 中芯国际集成电路制造(北京)有限公司 Manufacturing method of phase change memory
US20120149213A1 (en) 2010-12-09 2012-06-14 Lakshminarayana Nittala Bottom up fill in high aspect ratio trenches
US8329599B2 (en) 2011-02-18 2012-12-11 Asm Japan K.K. Method of depositing dielectric film by ALD using precursor containing silicon, hydrocarbon, and halogen
US8771807B2 (en) 2011-05-24 2014-07-08 Air Products And Chemicals, Inc. Organoaminosilane precursors and methods for making and using same
US8637412B2 (en) 2011-08-19 2014-01-28 International Business Machines Corporation Process to form an adhesion layer and multiphase ultra-low k dielectric material using PECVD
KR101334640B1 (en) 2011-08-22 2013-11-29 서울시립대학교 산학협력단 Composition for high strength siliconoxycarbide bonded silicon carbide ceramics and the producing method of the silicon carbide ceramics
JP2013055136A (en) 2011-09-01 2013-03-21 Toshiba Corp Nonvolatile semiconductor memory device and method of manufacturing the same
US20130217239A1 (en) 2011-09-09 2013-08-22 Applied Materials, Inc. Flowable silicon-and-carbon-containing layers for semiconductor processing
JP2013074093A (en) 2011-09-28 2013-04-22 Renesas Electronics Corp Reflow pretreatment device and reflow pretreatment method
US8551891B2 (en) 2011-10-04 2013-10-08 Applied Materials, Inc. Remote plasma burn-in
WO2013073216A1 (en) 2011-11-14 2013-05-23 住友電気工業株式会社 Silicon carbide substrate, semiconductor device and methods for producing same
JPWO2013103037A1 (en) 2012-01-07 2015-05-11 日本電気株式会社 Optical device, optical element, and image display device
US8586487B2 (en) 2012-01-18 2013-11-19 Applied Materials, Inc. Low temperature plasma enhanced chemical vapor deposition of conformal silicon carbon nitride and silicon nitride films
US20130242493A1 (en) 2012-03-13 2013-09-19 Qualcomm Mems Technologies, Inc. Low cost interposer fabricated with additive processes
US20130298942A1 (en) 2012-05-14 2013-11-14 Applied Materials, Inc. Etch remnant removal
US9978585B2 (en) 2012-06-01 2018-05-22 Versum Materials Us, Llc Organoaminodisilane precursors and methods for depositing films comprising same
US10211310B2 (en) 2012-06-12 2019-02-19 Novellus Systems, Inc. Remote plasma based deposition of SiOC class of films
US10832904B2 (en) * 2012-06-12 2020-11-10 Lam Research Corporation Remote plasma based deposition of oxygen doped silicon carbide films
US20180347035A1 (en) 2012-06-12 2018-12-06 Lam Research Corporation Conformal deposition of silicon carbide films using heterogeneous precursor interaction
US20180330945A1 (en) 2012-06-12 2018-11-15 Lam Research Corporation Remote plasma based deposition of silicon carbide films using silicon-containing and carbon-containing precursors
US9234276B2 (en) 2013-05-31 2016-01-12 Novellus Systems, Inc. Method to obtain SiC class of films of desired composition and film properties
US10325773B2 (en) 2012-06-12 2019-06-18 Novellus Systems, Inc. Conformal deposition of silicon carbide films
JP6172660B2 (en) 2012-08-23 2017-08-02 東京エレクトロン株式会社 Film forming apparatus and method for forming low dielectric constant film
WO2014070600A1 (en) 2012-10-29 2014-05-08 Matheson Tri-Gas, Inc. Methods for selective and conformal epitaxy of highly doped si-containing materials for three dimensional structures
SG2013083241A (en) * 2012-11-08 2014-06-27 Novellus Systems Inc Conformal film deposition for gapfill
JP6047795B2 (en) 2012-11-12 2016-12-21 日東電工株式会社 Antenna module
JP2016507001A (en) 2012-12-21 2016-03-07 プラサド ナーハー ガジル Low temperature deposition method for ceramic thin films
US8766404B1 (en) 2013-01-10 2014-07-01 Intermolecular, Inc. Device design for partially oriented rutile dielectrics
US8928149B2 (en) 2013-03-12 2015-01-06 Macronix International Co., Ltd. Interlayer conductor and method for forming
WO2014143337A1 (en) 2013-03-14 2014-09-18 Applied Materials, Inc. Adhesion layer to minimize dilelectric constant increase with good adhesion strength in a pecvd process
US20140302690A1 (en) 2013-04-04 2014-10-09 Applied Materials, Inc. Chemical linkers to impart improved mechanical strength to flowable films
US10297442B2 (en) 2013-05-31 2019-05-21 Lam Research Corporation Remote plasma based deposition of graded or multi-layered silicon carbide film
US9382268B1 (en) 2013-07-19 2016-07-05 American Air Liquide, Inc. Sulfur containing organosilane precursors for ALD/CVD silicon-containing film applications
US8927442B1 (en) 2013-07-25 2015-01-06 International Business Machines Corporation SiCOH hardmask with graded transition layers
US9543140B2 (en) 2013-10-16 2017-01-10 Asm Ip Holding B.V. Deposition of boron and carbon containing materials
US9145607B2 (en) * 2013-10-22 2015-09-29 Lam Research Corporation Tandem source activation for cyclical deposition of films
US9371579B2 (en) 2013-10-24 2016-06-21 Lam Research Corporation Ground state hydrogen radical sources for chemical vapor deposition of silicon-carbon-containing films
JP6267953B2 (en) 2013-12-19 2018-01-24 東京エレクトロン株式会社 Manufacturing method of semiconductor device
US9362186B2 (en) 2014-07-18 2016-06-07 Applied Materials, Inc. Polishing with eddy current feed meaurement prior to deposition of conductive layer
US9412581B2 (en) 2014-07-16 2016-08-09 Applied Materials, Inc. Low-K dielectric gapfill by flowable deposition
US9564312B2 (en) 2014-11-24 2017-02-07 Lam Research Corporation Selective inhibition in atomic layer deposition of silicon-containing films
US9520295B2 (en) 2015-02-03 2016-12-13 Lam Research Corporation Metal doping of amorphous carbon and silicon films used as hardmasks in substrate processing systems
TWI693295B (en) 2015-02-06 2020-05-11 美商諾發系統有限公司 Conformal deposition of silicon carbide films
US9391086B1 (en) 2015-02-23 2016-07-12 Kabushiki Kaisha Toshiba Nonvolatile semiconductor memory device and method of manufacturing nonvolatile semiconductor memory device
US20160268286A1 (en) 2015-03-11 2016-09-15 Kabushiki Kaisha Toshiba Method of manufacturing semiconductor device and semiconductor device
US9828672B2 (en) 2015-03-26 2017-11-28 Lam Research Corporation Minimizing radical recombination using ALD silicon oxide surface coating with intermittent restoration plasma
US9777025B2 (en) 2015-03-30 2017-10-03 L'Air Liquide, Société pour l'Etude et l'Exploitation des Procédés Georges Claude Si-containing film forming precursors and methods of using the same
US20160314964A1 (en) 2015-04-21 2016-10-27 Lam Research Corporation Gap fill using carbon-based films
CN111118477A (en) 2015-06-05 2020-05-08 应用材料公司 Graded in-situ charge trapping layer imparting electrostatic clamping and excellent particle performance to boron-doped carbon films
WO2017007986A1 (en) 2015-07-09 2017-01-12 L'air Liquide, Societe Anonyme Pour L'etude Et L'exploitation Des Procedes Georges Claude Alkylamino-substituted halocarbosilane precursors
KR102615728B1 (en) 2015-09-18 2023-12-18 어플라이드 머티어리얼스, 인코포레이티드 Methods for depositing conformal BCN films
US10418243B2 (en) 2015-10-09 2019-09-17 Applied Materials, Inc. Ultra-high modulus and etch selectivity boron-carbon hardmask films
US9786491B2 (en) 2015-11-12 2017-10-10 Asm Ip Holding B.V. Formation of SiOCN thin films
US9786492B2 (en) 2015-11-12 2017-10-10 Asm Ip Holding B.V. Formation of SiOCN thin films
US20170178899A1 (en) 2015-12-18 2017-06-22 Lam Research Corporation Directional deposition on patterned structures
KR102613423B1 (en) 2015-12-21 2023-12-12 버슘머트리얼즈 유에스, 엘엘씨 Compositions and methods using same for deposition of silicon-containing film
US20170372919A1 (en) 2016-06-25 2017-12-28 Applied Materials, Inc. Flowable Amorphous Silicon Films For Gapfill Applications
US20180033614A1 (en) 2016-07-27 2018-02-01 Versum Materials Us, Llc Compositions and Methods Using Same for Carbon Doped Silicon Containing Films
US10468244B2 (en) 2016-08-30 2019-11-05 Versum Materials Us, Llc Precursors and flowable CVD methods for making low-K films to fill surface features
TW201822259A (en) 2016-09-09 2018-06-16 美商諾發系統有限公司 Remote plasma based deposition of oxygen doped silicon carbide films
US10002787B2 (en) 2016-11-23 2018-06-19 Lam Research Corporation Staircase encapsulation in 3D NAND fabrication
US9837270B1 (en) 2016-12-16 2017-12-05 Lam Research Corporation Densification of silicon carbide film using remote plasma treatment
JP6807775B2 (en) * 2017-02-28 2021-01-06 東京エレクトロン株式会社 Film formation method and plasma processing equipment
US10840087B2 (en) 2018-07-20 2020-11-17 Lam Research Corporation Remote plasma based deposition of boron nitride, boron carbide, and boron carbonitride films
KR20220056249A (en) 2018-10-19 2022-05-04 램 리써치 코포레이션 Doped or undoped silicon carbide deposition and remote hydrogen plasma exposure for gapfill

Patent Citations (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20120282756A1 (en) * 2011-03-23 2012-11-08 Lingkuan Meng Thin Film Filling Method

Cited By (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US11894227B2 (en) 2012-06-12 2024-02-06 Novellus Systems, Inc. Conformal deposition of silicon carbide films
US11680315B2 (en) 2013-05-31 2023-06-20 Novellus Systems, Inc. Films of desired composition and film properties
US11680314B2 (en) 2013-05-31 2023-06-20 Novellus Systems, Inc. Films of desired composition and film properties
US11708634B2 (en) 2013-05-31 2023-07-25 Novellus Systems, Inc. Films of desired composition and film properties
US11732350B2 (en) 2013-05-31 2023-08-22 Novellus Systems, Inc. Films of desired composition and film properties
US11848199B2 (en) 2018-10-19 2023-12-19 Lam Research Corporation Doped or undoped silicon carbide deposition and remote hydrogen plasma exposure for gapfill

Also Published As

Publication number Publication date
KR20220056248A (en) 2022-05-04
US20220238333A1 (en) 2022-07-28
JP2022505310A (en) 2022-01-14
US20210391171A1 (en) 2021-12-16
CN113195786A (en) 2021-07-30
KR20230085953A (en) 2023-06-14
TW202032660A (en) 2020-09-01
KR20220056249A (en) 2022-05-04
KR20230085954A (en) 2023-06-14
WO2020081367A1 (en) 2020-04-23
KR20210063434A (en) 2021-06-01
US11848199B2 (en) 2023-12-19
US20240063015A1 (en) 2024-02-22

Similar Documents

Publication Publication Date Title
US20230203646A1 (en) Conformal deposition of silicon carbide films using heterogeneous precursor interaction
US11894227B2 (en) Conformal deposition of silicon carbide films
US20220238334A1 (en) Doped or undoped silicon carbide deposition and remote hydrogen plasma exposure for gapfill
CN111910175B (en) Method for reforming amorphous carbon polymer film
US10832904B2 (en) Remote plasma based deposition of oxygen doped silicon carbide films
US9837270B1 (en) Densification of silicon carbide film using remote plasma treatment
US20180330945A1 (en) Remote plasma based deposition of silicon carbide films using silicon-containing and carbon-containing precursors
US10840087B2 (en) Remote plasma based deposition of boron nitride, boron carbide, and boron carbonitride films
KR102542281B1 (en) Conformal Deposition of Silicon Carbide Films Using Heterogeneous Precursor Interactions
TWI837151B (en) Remote plasma based deposition of silicon carbide films using silicon-containing and carbon-containing precursors
KR102615163B1 (en) Remote plasma-based deposition of silicon carbide films using silicon-containing precursors and carbon-containing precursors
US20240145234A1 (en) Conformal deposition of silicon carbide films

Legal Events

Date Code Title Description
STPP Information on status: patent application and granting procedure in general

Free format text: NON FINAL ACTION MAILED

STPP Information on status: patent application and granting procedure in general

Free format text: RESPONSE TO NON-FINAL OFFICE ACTION ENTERED AND FORWARDED TO EXAMINER

STPP Information on status: patent application and granting procedure in general

Free format text: FINAL REJECTION MAILED

STCB Information on status: application discontinuation

Free format text: ABANDONED -- FAILURE TO RESPOND TO AN OFFICE ACTION