TWI582100B - 有機胺基矽烷前驅物及使用有機胺基矽烷前驅物的膜沉積方法 - Google Patents

有機胺基矽烷前驅物及使用有機胺基矽烷前驅物的膜沉積方法 Download PDF

Info

Publication number
TWI582100B
TWI582100B TW105112666A TW105112666A TWI582100B TW I582100 B TWI582100 B TW I582100B TW 105112666 A TW105112666 A TW 105112666A TW 105112666 A TW105112666 A TW 105112666A TW I582100 B TWI582100 B TW I582100B
Authority
TW
Taiwan
Prior art keywords
linear
branched
group
ruthenium
decane
Prior art date
Application number
TW105112666A
Other languages
English (en)
Other versions
TW201629074A (zh
Inventor
馬克 李納德 歐尼爾
滿超 蕭
新建 雷
理查 何
哈里賓 錢德拉
馬修R 麥當勞
王美良
Original Assignee
氣體產品及化學品股份公司
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 氣體產品及化學品股份公司 filed Critical 氣體產品及化學品股份公司
Publication of TW201629074A publication Critical patent/TW201629074A/zh
Application granted granted Critical
Publication of TWI582100B publication Critical patent/TWI582100B/zh

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02205Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition
    • H01L21/02208Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si
    • H01L21/02219Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si the compound comprising silicon and nitrogen
    • CCHEMISTRY; METALLURGY
    • C07ORGANIC CHEMISTRY
    • C07FACYCLIC, CARBOCYCLIC OR HETEROCYCLIC COMPOUNDS CONTAINING ELEMENTS OTHER THAN CARBON, HYDROGEN, HALOGEN, OXYGEN, NITROGEN, SULFUR, SELENIUM OR TELLURIUM
    • C07F7/00Compounds containing elements of Groups 4 or 14 of the Periodic Table
    • C07F7/02Silicon compounds
    • C07F7/08Compounds having one or more C—Si linkages
    • C07F7/10Compounds having one or more C—Si linkages containing nitrogen having a Si-N linkage
    • CCHEMISTRY; METALLURGY
    • C07ORGANIC CHEMISTRY
    • C07FACYCLIC, CARBOCYCLIC OR HETEROCYCLIC COMPOUNDS CONTAINING ELEMENTS OTHER THAN CARBON, HYDROGEN, HALOGEN, OXYGEN, NITROGEN, SULFUR, SELENIUM OR TELLURIUM
    • C07F9/00Compounds containing elements of Groups 5 or 15 of the Periodic Table
    • C07F9/66Arsenic compounds
    • C07F9/70Organo-arsenic compounds
    • C07F9/74Aromatic compounds
    • C07F9/78Aromatic compounds containing amino groups
    • CCHEMISTRY; METALLURGY
    • C09DYES; PAINTS; POLISHES; NATURAL RESINS; ADHESIVES; COMPOSITIONS NOT OTHERWISE PROVIDED FOR; APPLICATIONS OF MATERIALS NOT OTHERWISE PROVIDED FOR
    • C09DCOATING COMPOSITIONS, e.g. PAINTS, VARNISHES OR LACQUERS; FILLING PASTES; CHEMICAL PAINT OR INK REMOVERS; INKS; CORRECTING FLUIDS; WOODSTAINS; PASTES OR SOLIDS FOR COLOURING OR PRINTING; USE OF MATERIALS THEREFOR
    • C09D5/00Coating compositions, e.g. paints, varnishes or lacquers, characterised by their physical nature or the effects produced; Filling pastes
    • C09D5/24Electrically-conducting paints
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/06Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of metallic material
    • C23C16/18Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of metallic material from metallo-organic compounds
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/24Deposition of silicon only
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45553Atomic layer deposition [ALD] characterized by the use of precursors specially adapted for ALD
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/50Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges
    • C23C16/513Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges using plasma jets
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/02126Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material containing Si, O, and at least one of H, N, C, F, or other non-metal elements, e.g. SiOC, SiOC:H or SiONC
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/02126Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material containing Si, O, and at least one of H, N, C, F, or other non-metal elements, e.g. SiOC, SiOC:H or SiONC
    • H01L21/0214Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material containing Si, O, and at least one of H, N, C, F, or other non-metal elements, e.g. SiOC, SiOC:H or SiONC the material being a silicon oxynitride, e.g. SiON or SiON:H
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/02164Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material being a silicon oxide, e.g. SiO2
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/02167Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material being a silicon carbide not containing oxygen, e.g. SiC, SiC:H or silicon carbonitrides
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/0217Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material being a silicon nitride not containing oxygen, e.g. SixNy or SixByNz
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02205Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition
    • H01L21/02208Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si
    • H01L21/02211Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si the compound being a silane, e.g. disilane, methylsilane or chlorosilane
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/02274Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition in the presence of a plasma [PECVD]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/0228Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition deposition by cyclic CVD, e.g. ALD, ALE, pulsed CVD
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02518Deposited layers
    • H01L21/02521Materials
    • H01L21/02524Group 14 semiconducting materials
    • H01L21/02532Silicon, silicon germanium, germanium
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02518Deposited layers
    • H01L21/02587Structure
    • H01L21/0259Microstructure
    • H01L21/02592Microstructure amorphous
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02518Deposited layers
    • H01L21/02587Structure
    • H01L21/0259Microstructure
    • H01L21/02598Microstructure monocrystalline
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02612Formation types
    • H01L21/02617Deposition types
    • H01L21/0262Reduction or decomposition of gaseous compounds, e.g. CVD

Landscapes

  • Engineering & Computer Science (AREA)
  • Chemical & Material Sciences (AREA)
  • Physics & Mathematics (AREA)
  • Computer Hardware Design (AREA)
  • Power Engineering (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Organic Chemistry (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Materials Engineering (AREA)
  • Metallurgy (AREA)
  • Mechanical Engineering (AREA)
  • General Chemical & Material Sciences (AREA)
  • Plasma & Fusion (AREA)
  • Crystallography & Structural Chemistry (AREA)
  • Inorganic Chemistry (AREA)
  • Life Sciences & Earth Sciences (AREA)
  • Wood Science & Technology (AREA)
  • Chemical Vapour Deposition (AREA)
  • Formation Of Insulating Films (AREA)
  • Electrodes Of Semiconductors (AREA)
  • Low-Molecular Organic Synthesis Reactions Using Catalysts (AREA)

Description

有機胺基矽烷前驅物及使用有機胺基矽烷前驅物的膜沉積方法 相關申請案之相互參照
本案請求2013年9月20日申請的美國臨時申請案第61/880,261號之優先權。在此以引用的方式將此臨時申請案之揭示內容的全文併入本文。
本文描述的是能用於沉積含矽膜的前驅物,特別是有機胺基矽烷,及其組合物,其包括但不限於,非晶矽、結晶矽、氮化矽、氧化矽、摻碳的氧化矽、碳氮化矽及氧氮化矽膜。在又另一態樣中,本文所述的是該前驅物在製造積體電路裝置時用於沉積含矽膜之用途。在各個不同態樣中,該等有機胺基矽烷前驅物可用於各種不同的沉積方法,其包括但不限於,原子層沉積(“ALD”)、化學氣相沉積(“CVD”)、電漿強化化學氣相沉積(“PECVD”)、低壓化學氣相沉積(“LPCVD”)及大氣壓力化學氣相沉積。
有數類化合物能當成含矽膜的前驅物使用,例 如,但不限於,氧化矽、摻碳的氧化矽或氮化矽膜。適於當前驅物使用的這些化合物之實例包括矽烷類、氯矽烷類、聚矽氮烷類、胺基矽烷類及疊氮基矽烷類。惰性載送氣體或稀釋劑例如,但不限於,氦、氫、氮等等,也用以運送該等前驅物至反應艙。
低壓化學氣相沉積(LPCVD)方法是半導體業沉積含矽膜所用的更廣為接受的方法之一。使用氨的低壓化學氣相沉積(LPCVD)可能需要高於750℃的沉積溫度以獲得適度的生長速率及均勻度。較高的沉積溫度通常係用以提供改善的膜性質。生長氮化矽或其他含矽膜更常見的工業方法之一是透過低壓化學氣相沉積於熱壁反應器中於>750℃的溫度下使用該等前驅物甲矽烷、二氯矽烷及/或氨。然而,使用此方法有數個缺點。舉例來說,某些前驅物,例如甲矽烷係自燃性。這可能存在處理和使用的問題。另外,由甲矽烷和二氯矽烷沉積的膜可能含有某些雜質。舉例來說,使用二氯矽烷沉積的膜可能含有某些雜質,例如氯及氯化銨,其係依沉積過程中的副產物之形式生成。使用甲矽烷沉積的膜可能含有氫。
沉積氮化矽膜使用用的前驅物例如BTBAS及氯矽烷類一般於高於550℃的溫度下沉積該等膜。半導體裝置微型化及低熱預算的趨勢需要較低製程溫度及較高沉積速率。該等矽膜沉積用的溫度要降低以防止晶格中的離子擴散,特別是包含金屬化層及在許多III-V族和II-VI族裝置上的那些基材。因此,此技藝中必須要提供用於沉積含矽膜, 例如氧化矽、摻碳的氧化矽、氧氮化矽或氮化矽膜的前驅物,該等前驅物具有充分化學反應性以便能經由CVD、ALD或其他方法於550℃或更低或甚至於室溫下沉積。
美國專利公開第2013/224964號描述一種藉由原子層沉積(ALD)將具有Si-C鍵的介電膜形成於半導體基材上之方法,包括:(i)使前驅物吸附於基材表面上;(ii)使被吸附的前驅物和反應物氣體於該表面上反應;及(iii)重複步驟(i)和(ii)以形成至少具有Si-C鍵的介電膜於該基材上。該前驅物的分子中具有Si-C-Si鍵,且該反應物氣體不含氧而且不含鹵素而且係至少由稀有氣體構成。
日本專利第JP2002158223號描述使用具有下式的Si-型材料形成的絕緣體膜:{R3(R4)N}3Si-{C(R1)R2}n-Si{N(R5)R6}3,其中R1、R2=H、烴基,或經X(鹵原子)-取代的烴基(R1和R2可能相同),n=1至5整數,R3、R4、R4和R6=H,烴基或經X(鹵原子)-取代的烴基(R3、R4、R5和R6可能相同)。該等絕緣體膜可能藉由CVD形成於基材上。
美國專利第7,125,582號描述一種涉及於達於550℃的溫度下合併Si來源前驅物和氮(N)來源前驅物並且形成氮化矽膜的方法及系統。
標題為"Synthesis of Volatile Cyclic Silylamines and the Molecular Structures of Two 1-Aza-2,5-disilacyclopentane Derivatives",Mitzel、N.W.等人,Inorg.Chem.,Vol 36(20)(1997),第4360至4368頁的參 考資料描述一種製造α,ω-雙(溴矽烷基)烷類的合成方法,BrH2Si(CH2)nSiH2Br(而且n=2及3)。在該參考資料中,1,2-雙(溴矽烷基)乙烷與氨反應以得到1,4-雙(1-氮雜-2,5-二矽雜環戊烷-1-yl)-1,4-二矽雜丁烷、微量的1,6-二氮雜-2,5,7,10,11,14-六矽雜二環[4.4.4]十四烷及非揮發性產物。
標題為"Differences in reactivity of 1,4-disilabutane and n-tetrasilane towards secondary amines",Z.Naturforsch.,B:Chem.Sci.FIELD Full Journal Title:Zeitschrift fuer Naturforschung,B:Chemical Sciences 45(12):第1679至1683頁的參考資料描述一種使用1,4-二矽雜丁烷H3SiCH2CH2SiH3(I)及正-四矽烷H3SiSiH2SiH2SiH3製造胺基矽烷類的合成方法。
本文描述的是有機胺基矽烷前驅物、包含該等前驅物之組合物及使用該等前驅物將包含矽的膜,例如,但不限於,非晶矽、結晶矽、氧化矽、摻碳的氧化矽、氮化矽、氧氮化矽、碳化矽、碳氮化矽及其組合,形成於基材的至少一部分上之方法。在一特定具體實施例中,該等有機胺基矽烷前驅物能有效用於氧化矽或摻碳的氧化矽膜之低溫(例如,350℃或更低)的原子層沉積(ALD)或電漿強化原子層沉積(PEALD)。另外,本文描述的是一種包含本文所述之有機胺基矽烷的組合物,其中該有機胺基矽烷實質上不含選自胺類、鹵化物(例如Cl、F、I、Br)、較高分子量物種及微量金屬的至 少一者。在各個不同具體實施例中,該組合物可能另外包含溶劑。另外本文描述的是將包含矽的膜或塗層形成於待加工的物體,例如,舉例來說,半導體晶圓,之方法。在本文所述的方法之一具體實施例中,使用有機胺基矽烷前驅物和含氧來源在處於將氧化矽、摻碳的氧化矽膜產生於該基材上的條件之下的沉積艙中將包含矽和氧的膜沉積於基材上。本文所述的方法之另一具體實施例中,使用有機胺基矽烷前驅物和含氮前驅物在處於將氮化矽膜產生於該基材上的條件之下的沉積艙中將包含矽和氧的膜沉積於基材上。在另一具體實施例中,本文所述的有機胺基矽烷前驅物也能使用供含金屬膜,例如但不限於,金屬氧化物膜或金屬氮化物膜,用的摻雜劑。在本文所述的組合物及方法中,使用具有本文描述的化學式之有機胺基矽烷當成該等含矽前驅物中的至少一者。
在一態樣中,本文所述的有機胺基矽烷前驅物包含由以下式A至E中的一者所示之化合物: 其中R1係選自線性或分支C1至C10烷基、線性或分支C3至C10烯基、線性或分支C3至C10炔基、C3至C10環烷基及C5至C10芳基;其中R2係選自氫、線性或分支C1至C10烷基、線性或分支C3至C10烯基、線性或分支C3至C10炔基、C3至C10環烷基及C5至C10芳基,R3和R4係各自獨立地選自線性或分支C1至C10伸烷基、線性或分支C3至C6伸烯基、線性或分支C3至C6伸炔基、C3至C10伸環烷基、C3至C10伸雜環烷基、C5至C10伸芳基及C5至C10伸雜芳基;式A中的n等於1或2;式A中的m等於0、1、2或3;而且任意地其中式D中的R3與該二矽原子和至少一氮原子一起形成選自四員、五員或六員環的環;而且式E中的p加q等於1或2。
在另一態樣中,提供一種組合物,其包含:(a)至少一有機胺基矽烷前驅物,其包含由以下式A至E中的一者所示之化合物: 其中R1係選自線性或分支C1至C10烷基、線性或分支C3至C10烯基、線性或分支C3至C10炔基、C3至C10環烷基及C5至C10芳基;其中R2係選自氫、線性或分支C1至C10烷基、線性或分支C3至C10烯基、線性或分支C3至C10炔基、C3至C10環烷基及C5至C10芳基,R3和R4係各自獨立地選自線性或分支C1至C10伸烷基、線性或分支C3至C6伸烯基、線性或分支C3至C6伸炔基、C3至C10伸環烷基、C3至C10伸雜環烷基、C5至C10伸芳基及C5至C10伸雜芳基;式A中的n等於1或2;式A中的m等於0、1、2或3;式E中的p加q 等於1或2;而且任意地其中式D中的R3與該二矽原子和至少一氮原子一起形成選自四員、五員或六員環的環;及(b)溶劑。在本文所述的組合物的某些具體實施例中,示範的溶劑能包括,但不限於,醚、三級胺、烷基烴、芳香族烴、三級胺基醚及其組合。在某些具體實施例中,該有機胺基矽烷的沸點與該溶劑的沸點之間的差異係40℃或更低.
在另一態樣中,提供一種將含矽膜形成於至少一基材表面上之方法:將該基材的至少一表面提供於反應艙中;而且藉由選自化學氣相沉積製程和原子層沉積製程的沉積製程使用至少一有機胺基矽烷前驅物將該含矽膜形成於該至少一表面上,該前驅物包含由以下式A至E中的一者所示之化合物: 其中R1係選自線性或分支C1至C10烷基、線性或分支C3至C10烯基、線性或分支C3至C10炔基、C3至C10環烷基及C5至C10芳基;其中R2係選自氫、線性或分支C1至C10烷基、線性或分支C3至C10烯基、線性或分支C3至C10炔基、C3至C10環烷基及C5至C10芳基,R3和R4係各自獨立地選自線性或分支C1至C10伸烷基、線性或分支C3至C6伸烯基、線性或分支C3至C6伸炔基、C3至C10伸環烷基、C3至C10伸雜環烷基、C5至C10伸芳基及C5至C10伸雜芳基;式A中的n等於1或2;式A中的m等於0、1、2或3;式E中的p加q等於1或2;而且任意地其中式D中的R3與該二矽原子和至少一氮原子一起形成選自四員、五員或六員環的環。在某些具體實施例中,R1和R2相同。在其他具體實施例中,R1和R2不同。在各個不同具體實施例中,R1和R2可能連在一起形成一環。在另外的具體實施例中,R1和R2沒連在一起形成一環。
在另一態樣中,提供一種經由原子層沉積製程或類似ALD的製程形成氧化矽、摻碳的氧化矽膜膜之方法,該方法包含以下步驟:a. 將基材提供於反應器中;b. 將至少一有機胺基矽烷前驅物引進該該反應器,該前驅物包含由以下式A至E中的一者所示之化合物: 其中R1係選自線性或分支C1至C10烷基、線性或分支C3至C10烯基、線性或分支C3至C10炔基、C3至C10環烷基及C5至C10芳基;其中R2係選自氫、線性或分支C1至C10烷基、線性或分支C3至C10烯基、線性或分支C3至C10炔基、C3至C10環烷基及C5至C10芳基,R3和R4係各自獨立地選自線性或分支C1至C10伸烷基、線性或分支C3至C6伸烯基、線性或分支C3至C6伸炔基、C3至C10伸環烷基、C3至C10伸雜環烷基、C5至C10伸芳基及C5至C10伸雜芳基;式A中的n等於1或2;式A中的m等於0、1、2或3;式E中的p加q等於1或2;而且任意地其中式D中的R3與該二矽原子和至少一氮原子一起形成選自四員、五員或六員環的環; c. 以洗淨氣體洗淨該反應器;d. 將含氧來源提供於該反應器中;及e. 以洗淨氣體洗淨該反應器;其中重複步驟b至e直到獲得預期的膜厚度為止。
在另一態樣中,提供一種使用CVD製程將氧化矽膜和摻碳的氧化矽膜形成於至少一基材表面上之方法,其包含:a. 將基材提供於反應器中;b. 將至少一有機胺基矽烷前驅物引進該該反應器,該前驅物包含由以下式A至E中的一者所示之化合物: 其中R1係選自線性或分支C1至C10烷基、線性或分支C3至 C10烯基、線性或分支C3至C10炔基、C3至C10環烷基及C5至C10芳基;其中R2係選自氫、線性或分支C1至C10烷基、線性或分支C3至C10烯基、線性或分支C3至C10炔基、C3至C10環烷基及C5至C10芳基,R3和R4係各自獨立地選自線性或分支C1至C10伸烷基、線性或分支C3至C6伸烯基、線性或分支C3至C6伸炔基、C3至C10伸環烷基、C3至C10伸雜環烷基、C5至C10伸芳基及C5至C10伸雜芳基;式A中的n等於1或2;式A中的m等於0、1、2或3;式E中的p加q等於1或2;而且任意地其中式D中的R3與該二矽原子和至少一氮原子一起形成選自四員、五員或六員環的環;及c. 提供含氧來源以將該膜沉積於該至少一表面。在該方法的某些具體實施例中,R1和R2相同。在其他具體實施例中,R1和R2不同。在各個不同具體實施例中,R1和R2可能連在一起形成一環。在又另外的具體實施例中,R1和R2沒連在一起形成一環。
在另一態樣中,提供一種經由原子層沉積製程形成氮化矽或碳氮化矽膜之方法,該方法包含以下步驟:a. 將基材提供於反應器中;b. 將至少一有機胺基矽烷前驅物引進該該反應器,該前驅物包含由以下式A至E中的一者所示之化合物: 其中R1係選自線性或分支C1至C10烷基、線性或分支C3至C10烯基、線性或分支C3至C10炔基、C3至C10環烷基及C5至C10芳基;其中R2係選自氫、線性或分支C1至C10烷基、線性或分支C3至C10烯基、線性或分支C3至C10炔基、C3至C10環烷基及C5至C10芳基,R3和R4係各自獨立地選自線性或分支C1至C10伸烷基、線性或分支C3至C6伸烯基、線性或分支C3至C6伸炔基、C3至C10伸環烷基、C3至C10伸雜環烷基、C5至C10伸芳基及C5至C10伸雜芳基;式A中的n等於1或2;式A中的m等於0、1、2或3;式E中的p加q等於1或2;而且任意地其中式D中的R3與該二矽原子和至 少一氮原子一起形成選自四員、五員或六員環的環;c. 以洗淨氣體洗淨該反應器;d. 將含氮來源提供於該反應器中;e. 以洗淨氣體洗淨該反應器;而且其中重複步驟b至e直到獲得預期的膜厚度為止。在某些具體實施例中,式A至E中的R1和R2相同。在其他具體實施例中,R1和R2不同。在各個不同具體實施例中,R1和R2可能連在一起形成一環。在又另外的具體實施例中,R1和R2沒連在一起形成一環。
在另一態樣中,提供提供一種使用CVD製程將氮化矽膜和碳氮化矽膜形成於至少一基材表面上之方法,其包含:a. 將基材提供於反應器中;b. 將至少一有機胺基矽烷前驅物引進該該反應器,該前驅物包含由以下式A至E中的一者所示之化合物: 其中R1係選自線性或分支C1至C10烷基、線性或分支C3至C10烯基、線性或分支C3至C10炔基、C3至C10環烷基及C5至C10芳基;其中R2係選自氫、線性或分支C1至C10烷基、線性或分支C3至C10烯基、線性或分支C3至C10炔基、C3至C10環烷基及C5至C10芳基,R3和R4係各自獨立地選自線性或分支C1至C10伸烷基、線性或分支C3至C6伸烯基、線性或分支C3至C6伸炔基、C3至C10伸環烷基、C3至C10伸雜環烷基、C5至C10伸芳基及C5至C10伸雜芳基;式A中的n等於1或2;式A中的m等於0、1、2或3;式E中的p加q等於1或2;而且任意地其中式D中的R3與該二矽原子和至少一氮原子一起形成選自四員、五員或六員環的環; c. 提供含氮來源,其中該至少一有機胺基矽烷前驅物和該含氮來源反應以將該膜沉積於該至少一表面上。在某些具體實施例中,R1和R2相同。在其他具體實施例中,R1和R2不同。在各個不同具體實施例中,R1和R2可能連在一起形成一環。在又另外的具體實施例中,R1和R2沒連在一起形成一環。
在本文所述的方法之另一具體實施例中,該製程沉積的是非晶性或結晶性矽膜。在此具體實施例中,該方法包含:將一或更多基材置於被加熱至介於約周遭溫度至約700℃的一或更多溫度之反應器中;引進至少一有機胺基矽烷前驅物,該前驅物包含由以下式A至E中的一者所示之化合物: 其中R1係選自線性或分支C1至C10烷基、線性或分支C3至C10烯基、線性或分支C3至C10炔基、C3至C10環烷基及C5至C10芳基;其中R2係選自氫、線性或分支C1至C10烷基、線性或分支C3至C10烯基、線性或分支C3至C10炔基、C3至C10環烷基及C5至C10芳基,R3和R4係各自獨立地選自線性或分支C1至C10伸烷基、線性或分支C3至C6伸烯基、線性或分支C3至C6伸炔基、C3至C10伸環烷基、C3至C10伸雜環烷基、C5至C10伸芳基及C5至C10伸雜芳基;式A中的n等於1或2;式A中的m等於0、1、2或3;式E中的p加q等於1或2;而且任意地其中式D中的R3與該二矽原子和至少一氮原子一起形成選自四員、五員或六員環的環;而且 將還原劑提供於該反應器中以與該至少一有機胺基矽烷前驅物至少部分反應並且將含矽膜沉積於該一或更多基材上。該還原劑係選自由氫、氫電漿或氯化氫所組成的群組。在該CVD方法的某些具體實施例中,該反應器在該引進步驟時係保持於介於10毫托耳至760托耳的壓力。以上的步驟界定本文所述的方法的一個循環,而且該步驟的循環可能重複到獲得預期的膜厚度為止。在某些具體實施例中,R1和R2相同。在其他具體實施例中,R1和R2不同。在各個不同具體實施例中,R1和R2可能連在一起形成一環。在又另外的具體實施例中,R1和R2沒連在一起形成一環。
在另一態樣中,提供一種經由原子層沉積或循環式化學氣相沉積製程沉積非晶性或結晶性矽膜之方法,該方 法包含以下的步驟:a. 將基材提供於反應器中;b. 將至少一有機胺基矽烷前驅物引進該該反應器,該前驅物包含由以下式A至E中的一者所示之化合物: 其中R1係選自線性或分支C1至C10烷基、線性或分支C3至C10烯基、線性或分支C3至C10炔基、C3至C10環烷基及C5至C10芳基;其中R2係選自氫、線性或分支C1至C10烷基、線性或分支C3至C10烯基、線性或分支C3至C10炔基、C3至C10環烷基及C5至C10芳基,R3和R4係各自獨立地選自線性或分支C1至C10伸烷基、線性或分支C3至C6伸烯基、線性或分支C3至C6伸炔基、C3至C10伸環烷基、C3至C10伸雜環 烷基、C5至C10伸芳基及C5至C10伸雜芳基;式A中的n等於1或2;式A中的m等於0、1、2或3;式E中的p加q等於1或2;而且任意地其中式D中的R3與該二矽原子和至少一氮原子一起形成選自四員、五員或六員環的環,其中步驟b係重複到獲得預期的膜厚度為止。在某些具體實施例中,該膜的厚度可能是1Å或更大,或1至10,000Å,或1至1000Å,或1至100Å。
在另一態樣中,本文描述的是一種用於沉積包含一或更多有機胺基矽烷前驅物的含矽膜之容器,該前驅物具有式A、B、C、D或E中的任一者或式A、B、C、D或E所示的一或更多前驅物的組合。在一特定具體實施例中,該容器包含裝配適當閥和配件的至少一可加壓容器(較佳為不銹鋼製)以使一或更多前驅物能運送至供CVD或ALD製程用的反應器。
在又另一態樣中,提供一種製備有機胺基矽烷之方法,該有機胺基矽烷包含由以下式A至E中的一者所示之化合物: 其中R1係選自線性或分支C1至C10烷基、線性或分支C3至C10烯基、線性或分支C3至C10炔基、C3至C10環烷基及C5至C10芳基;其中R2係選自氫、線性或分支C1至C10烷基、線性或分支C3至C10烯基、線性或分支C3至C10炔基、C3至C10環烷基及C5至C10芳基,R3和R4係各自獨立地選自線性或分支C1至C10伸烷基、線性或分支C3至C6伸烯基、線性或分支C3至C6伸炔基、C3至C10伸環烷基、C3至C10伸雜環烷基、C5至C10伸芳基及C5至C10伸雜芳基;其中式A中的n等於1或2;式A中的m等於0、1、2或3;在式E中p加q等於1或2而且任意地其中式D中的R3與該二矽原子和至 少一氮原子一起形成選自四員、五員或六員環的環,該方法包含以下的步驟:使具有選自R1R2NH和R1NH2的化學式之胺,其中該胺中的R1係選自線性或分支C1至C10烷基、線性或分支C3至C10烯基、線性或分支C3至C10炔基、C3至C10環烷基及C5至C10芳基;其中該胺中的R2係選自氫、線性或分支C1至C10烷基、線性或分支C3至C10烯基、線性或分支C3至C10炔基、C3至C10環烷基及C5至C10芳基,與包含選自以下的至少一化合物之矽來源在觸媒存在的情形下在足以使至少一部分矽來源和至少一部分胺反應並且提供該有機胺基矽烷的反應條件作用之下反應: 其中該矽來源中的R3和R4係獨立地選自線性或分支C1至C10伸烷基、線性或分支C3至C6伸烯基、線性或分支C3至C6伸炔基、C3至C10伸環烷基、C3至C10伸雜環烷基、C5至C10伸芳基及C5至C10伸雜芳基。
圖1顯示本文所述的有機胺基矽烷,1-二異丙基胺基-1,4-二矽雜丁烷,與參考論文所提供的其他有機胺基矽烷例如雙(二乙基胺基)矽烷(BDEAS)、雙(第三丁基胺基)矽烷(BTBAS)、雙(乙基甲基胺基)矽烷(BEMAS)、叁(二甲基胺基) 矽烷(TRDMAS)及二第二丁基胺基矽烷(DSBAS)之沉積速率相比的相對沉積速率。
本文描述的有機胺基矽烷係當前驅物使用以形成化學計量及非化學計量的含矽膜例如,但不限於,非晶性矽、富矽的碳氮化矽(silicon-rich carbonitride)、結晶性矽、氧化矽、氧碳化矽、氮化矽、氧氮化矽及氧碳氮化矽。這些前驅物也能當成,舉例來說,含金屬膜的摻雜劑使用。用於半導體製程的有機胺基矽烷前驅物通常為高純度揮發性液態前驅物化學藥品,其係汽化並且以氣體形式運送至沉積艙或反應器以藉由關於半導體裝置的CVD或ALD製程沉積含矽膜。用於沉積的前驅物材料選擇取決於所欲產生的含矽材料或膜。舉例來說,前驅物材料可就其化學元素含量、該等化學元素的化學計量比及/或在CVD作用之下所生成的含矽膜或塗層。該前驅物材料也可就多個不同其他特性例如成本、相對低毒性、處理特性、使液相保持於室溫下的能力、揮發性、分子量及/或其他考量因素做選擇。在某些具體實施例中,本文所述的前驅物能藉由任何數目的裝置運送至該反應器系統,較佳地使用裝配適當閥及配件的可加壓不銹鋼容器,以便能將液相前驅物運送至沉積艙或反應器。
本文所述的有機胺基矽烷前驅物顯現使其非常適合當成微電子裝置製程中的CVD或ALD前驅物的反應性和安定性之均衡。關於反應性,某些前驅物可能具有太高而 無法被汽化並且運送至該反應器以便以膜形式沉積於基材上的沸點。具有相對較高沸點的前驅物要求該運送容器及管道必須於該前驅物的沸點或以上在指定真空度之下加熱以防止凝結或粒子形成於該容器、管道或二者中。關於安定性,當其他前驅物降解時其可能生成甲矽烷(SiH4)或二矽烷(Si2H6)。甲矽烷於室溫下具有自燃性或其能自發性地燃燒而出現安全和處理上的問題。再者,甲矽烷或二矽烷及其他副產物的形成使該前驅物的純度水準降低而且就可靠的半導體製造而言小到像1至2%般的化學純度變化可能就被視為不能接受。在某些具體實施例中,本文所述的具有式A至E的有機胺基矽烷前驅物在儲存經過6個月或更久,或1年或更久以後包含2重量%或更小,或1重量%或更小,或0.5重量%或更小的副產物,那表示具有貯藏安定性。除了前述優點以外,在某些具體實施例中,例如利用ALD、類似ALD、PEALD或CCVD沉積方法沉積氧化矽或氮化矽或矽膜的具體實施例,本文所述的有機胺基矽烷前驅物可能能於較低溫度下沉積高密度材料,例如,500℃或更低或400℃或更低,300℃或更低,200℃或更低,100℃或更低或50℃或更低。在一特定具體實施例中,該有機胺基矽烷前驅物可能用以藉著ALD或PEALD於低到像50℃或更低般的溫度下或於周遭或室溫(例如,25℃)下沉積含矽膜。
在一具體實施例中,本文所述的是一種用於形成含矽膜的組合物,其包含:本文所述的具有式A至E的有機胺基矽烷及溶劑。不欲受任何理論所束縛,咸相信本文所述 的組合物比起純有機胺基矽烷可提供一或更多優點。這些優點包括:該有機胺基矽烷於半導體製程中較好的應用、經歷長時期儲存較好的安定性、藉由閃蒸較乾淨的汽化及/或總體更安定的直接液體注射(DLI)化學氣相沉積製程。該組合物中的有機胺基矽烷重量百分比能介於1至99%,剩下部分為溶劑,其中該溶劑不會與該有機胺基矽烷反應而且具有類似於該有機胺基矽烷的沸點。關於後者,該組合物中的有機胺基矽烷與溶劑的沸點之間的差異係40℃或更小,更佳地20℃或更小或10℃或更小。示範溶劑包括,但不限於,己烷類、辛烷、甲苯、乙基環己烷、癸烷、十二烷、雙(2-二甲基胺基乙基)醚。
在一態樣中,提供至少一有機胺基矽烷,其包含由以下式A至E中的一者所示之化合物: 其中R1係選自線性或分支C1至C10烷基、線性或分支C3至C10烯基、線性或分支C3至C10炔基、C3至C10環烷基及C5至C10芳基;其中R2係選自氫、線性或分支C1至C10烷基、線性或分支C3至C10烯基、線性或分支C3至C10炔基、C3至C10環烷基及C5至C10芳基,R3和R4係各自獨立地選自線性或分支C1至C10伸烷基、線性或分支C3至C6伸烯基、線性或分支C3至C6伸炔基、C3至C10伸環烷基、C3至C10伸雜環烷基、C5至C10伸芳基及C5至C10伸雜芳基;式A中的n等於1或2;式A中的m等於0、1、2或3;式E中的p加q等於1或2;而且任意地其中式D中的R3與該二矽原子和至少一氮原子一起形成選自四員、五員或六員環的環。
在該等式子中及整個說明中,該措辭“烷基”表示具有1至10或1至6個碳原子的線性或分支官能基。示範烷基包括,但不限於,甲基(Me)、乙基(Et)、丙基(Prn)、異丙基(Pri)、丁基(Bun)、異丁基(Bui)、第二丁基(Bus)、第三丁基(But)、戊基、異戊基、第三戊基(Amt)、己基、異己基及新己基。在某些具體實施例中,該烷基可能有一或更多接附於彼的官能基例如,但不限於,烷氧基、二烷基胺基或其組合。在其他具體實施例中,該烷基沒有一或更多接附於彼的官能基。具有式A且具有烷基當R1和R2(若存在的話)和伸烷基例如亞甲基-CH2-或伸乙基-CH2CH2-當R3的有機胺基矽烷包括,但不限於:
在該等式子中及整個說明中,該措辭“環狀烷基”表示具有3至10或4至10個碳原子或5至10個碳原子的環狀官能基。示範環狀烷基包括,但不限於,環丁基、環戊基、環己基及環辛基。具有式A且具有環烷基當R1和R2(若存在的話)和伸烷基例如亞甲基-CH2-或伸乙基-CH2CH2-當R3的示範有機胺基矽烷包括,但不限於:
在該等式子中及整個說明中,該措辭“芳基”表示具有5至12個碳原子或6至10個碳原子的芳香族環狀官能基。示範芳基包括,但不限於,苯基(Ph)、苯甲基、氯苯甲基、甲苯基及鄰-二甲苯基。具有式A且具有芳基當R1和R2(若存在的話)和伸烷基例如亞甲基-CH2-或伸乙基-CH2CH2-當R3的示範有機胺基矽烷包括:
在某些具體實施例中,式A至E中的烷基、烯基、炔基及/或芳基中的一或更多者可能被取代或具有一或更多原子或原子團被取代以代替,舉例來說,氫原子。示範取代基包括,但不限於,氧、硫、鹵素原子(例如,F、Cl、I或Br)、氮及磷。在其他具體實施例中,式A至E中的烷基、烯基、炔基及/或芳基中的一或更多者可能未經取代。
在該等式子中及整個說明中,該環狀烷基有被取代或係雜環族烷基。該措辭“雜環族烷基”表示具有3至10或4至10個碳原子或5至10個碳原子以及至少一氧原子或氮原子或二者的環狀官能基。示範環狀烷基包括,但不限於,環丁基、環戊基、環己基及環辛基。具有式A而且具有雜環族烷基當R1和R2(若存在的話)及伸烷基亞甲基-CH2-當R3的示範有機胺基矽烷包括,但不限於:
在該等式子中及整個說明中,該芳基有被取代或係雜芳基。該措辭“雜芳基”表示具有3至10或4至10個碳原子或5至10個碳原子以及至少一氧原子或氮原子或二者的芳基官能基。在該等式子中及整個說明中,該措辭“烯基”表示具有一或更多碳-碳雙鍵並且具有3至10或3至6或3至4個碳原子的基團。
在該等式子中及整個說明中,該措辭“炔基”表示具有一或更多碳-碳叁鍵並且具有3至10或3至6或3至4個碳原子的基團。
在該等式子中及整個說明中,該措辭“伸烷基”表示具有1至10或4至10個碳原子或5至10個碳原子而且連至二矽原子的烴基。示範伸烷基包括,但不限於,亞甲基(-CH2-)、伸乙基(-CH2CH2-)、伸丙基(-CH2CH2CH2-)及伸異丙基(-CH(Me)CH2-)。
在該等式子中及整個說明中,該措辭“伸芳基”表示具有5至12個碳原子或6至10個碳原子的芳香族環狀官能基,較佳為該二矽原子係鍵結於伸芳基的1,2-部位或1,4-部位。
在該等式子中及整個說明中,該措辭“伸雜芳基”表示具有5至12個碳原子或6至10個碳原子的芳香族環狀官能基,較佳為該二矽原子係鍵結於伸雜芳基的1,2-部位。
在某些具體實施例中,式D中的R3可能連接形成一環結構。示範有機胺基矽烷包括,但不限於:
在又另一具體實施例中,式E中的R3和R4各自為亞甲基-CH2-或,也可能,各伸乙基-CH2CH2-。示範有機胺基矽烷包括,但不限於:
用以形成該等含矽膜或塗層的方法係沉積製程。用於本文所揭示的方法之適當沉積製程的實例包括,但不限於,循環式CVD(CCVD)、MOCVD(Metal Organic CVD)、熱化學氣相沉積、電漿強化氣相沉積(“PECVD”)、高密度 PECVD、光子輔助CVD、電漿-光子輔助CVD(“PPECVD”)、低溫化學氣相沉積、化學輔助氣相沉積、熱絲極化學氣相沉積、液態聚合物前驅物的CVD、由超臨界流體沉積及低能CVD(LECVD)。在某些具體實施例中,該等含金屬膜係藉由原子層沉積(ALD)、電漿強化ALD(PEALD)或電漿強化循環式CVD(PECCVD)製程沉積。如本文所用的,該措辭“化學氣相沉積製程”表示基材暴露於一或更多揮發性前驅物,該前驅物於該基材表面上反應及/或分解以產生預期沉積作用的任何製程。如本文所用的,該措辭“原子層沉積製程”表示將材料的膜沉積於多變組成的基材上之自限性(例如,各反應周期中沉積的膜材料量恆定)的連續表面化學。儘管本文所用的前驅物、試劑及來源有時候可能被描述成“氣態”,但是咸了解該等前驅物可能是液態或固態,該等前驅物經由直接汽化、起泡或昇華利用或沒用惰性氣體轉移至該反應器中。在一些案例中,該等經汽化的前驅物能通過電漿產生器。在一具體實施例中,該含矽膜係利用ALD製程來沉積。在另一具體實施例中,該含矽膜係利用CCVD製程來沉積。在另一具體實施例中,該含矽膜係利用熱CVD製程來沉積。本文所用的措辭“反應器”包括,但不限於,反應艙或沉積艙。
在某些具體實施例中,本文所揭露的方法藉由使用在引進該反應器以前及/或期間分開該等前驅物的ALD或CCVD方法避免該等前驅物的預反應。關此,利用沉積技術例如ALD或CCVD方法來沉積該含矽膜。在一具體實施例中,該膜係經由ALD製程藉由使該基材表面輪流暴露於該含矽前 驅物、含氧來源、含氮來源或其他前驅物或試劑中的其一或更多者而沉積。膜成長藉由表面反應的自限性控制、各前驅物或試劑的脈衝時間長度及沉積溫度來進行。然而,一旦該基材的表面達到飽和,便停止該膜生長。
在某些具體實施例中,本文所述的方法另外包含具有以上式A至E的有機胺基矽烷前驅物以外之一或更多其他含矽前驅物。其他含矽前驅物的實例包括,但不限於,單胺基矽烷(例如,二異丙基胺基矽烷、二第二丁基胺基矽烷、苯基甲基胺基矽烷);有機矽化合物例如三甲矽烷基胺(TSA);矽氧烷類(例如六甲基二矽氧烷(HMDSO)和二甲基矽氧烷(DMSO));有機矽烷類(例如,甲基矽烷、二甲基矽烷、二乙基矽烷、乙烯基三甲基矽烷、三甲基矽烷、四甲基矽烷、乙基矽烷、二甲矽烷基甲烷、2,4-二矽雜戊烷、1,4-二矽雜丁烷、2,5-二矽雜己烷、2,2-二甲矽烷基丙烷、1,3,5-三矽雜環己烷及這些化合物的氟化衍生物);含苯基的有機矽化合物(例如,二甲基苯基矽烷和二苯基甲基矽烷);含氧的有機矽化合物,例如,二甲基二甲氧基矽烷;1,3,5,7-四甲基環四矽氧烷;1,1,3,3-四甲基二矽氧烷;1,3,5,7-四矽雜-4-側氧基-庚烷;2,4,6,8-四矽雜-3,7-二側氧基-壬烷;2,2-二甲基-2,4,6,8-四矽雜-3,7-二側氧基-壬烷;八甲基環四矽氧烷;[1,3,5,7,9]-五甲基環五矽氧烷;1,3,5,7-四矽雜-2,6-二側氧基-環辛烷;六甲基環三矽氧烷;1,3-二甲基二矽氧烷;1,3,5,7,9-五甲基環五矽氧烷;六甲氧基二矽氧烷及這些化合物的氟化衍生物。
依據該沉積方法,在某些具體實施例中,該一或 更多含矽前驅物可以預定莫耳體積或約0.1至約1000微莫耳引進該反應器。在各個不同具體實施例中,該含矽及/或有機胺基矽烷前驅物可經歷預定時期引進該反應器。在某些具體實施例中,該時期介於約0.001至約500秒。
在某些具體實施例中,利用本文所述的方法沉積旳含矽膜係於氧存在的情形下使用包含氧的氧來源、試劑或前驅物形成。含氧來源可依照至少一氧來源的形式引進該反應器及/或可能附帶地存在於該沉積製程所用的其他前驅物中。適合的含氧來源氣體可包括,舉例來說,水(H2O)(例如,去離子水、純水及/或蒸餾水)、氧(O2)、氧電漿、水電漿、臭氧(O3)、NO、N2O、NO2、一氧化碳(CO)、二氧化碳(CO2)、二氧化碳電漿及其組合。在某些具體實施例中,該含氧來源包含於介於約1至約2000標準立方釐米(sccm)或約1至約1000sccm的流速下引進該反應器的含氧來源氣體。該含氧來源能引進經歷介於約0.1至約100秒的時間。在一特定具體實施例中,該含氧來源包含具有10℃或更高溫度的水。在藉由ALD或循環式CVD製程沉積該膜的多數具體實施例中,該前驅物脈衝可具有大於0.01秒的脈衝時期,而且該含氧來源可具有小於0.01秒的脈衝時期,而該水脈衝時期可具有小於0.01秒的脈衝時期。在又另一具體實施例中,介於該等脈衝之間的洗淨時期可能小到0秒或連續地脈衝而於其間沒有洗淨。該含氧來源或試劑係依照對該矽前驅物小於1:1的比率的莫耳量提供,以致於至少一些碳留在原沉積的含矽膜(as deposited silicon-containing film)中。
在某些具體實施例中,該等含矽膜包含矽和氮。在這些具體實施例中,利用本文所述的方法沉積的含矽膜係於含氮來源存在之下形成。含氮來源可依照至少一含氮來源的形式引進該反應器及/或可能附帶地存在於該沉積製程所用的其他前驅物中。適合的含氮來源氣體可能包括,舉例來說,氨、肼、單烷基肼、二烷基肼、氮、氮/氫、氨電漿、氮電漿、氮/氫電漿、氮/氦電漿、氮/氫電漿及其混合物。在某些具體實施例中,該含氮來源包含於介於約1至約2000標準立方釐米(sccm)或約1至約1000sccm的流速下引進該反應器的氨電漿或氫/氮電漿或氮/侅電漿來源氣體。該含氮來源能引進經歷介於約0.1至約100秒的時間。在藉由ALD或循環式CVD製程沉積該膜的多數具體實施例中,該前驅物脈衝可具有大於0.01秒的脈衝時期,而且該含氮來源可具有小於0.01秒的脈衝時期,而該水脈衝時期可具有小於0.01秒的脈衝時期。在又另一具體實施例中,介於該等脈衝之間的洗淨時期可能小到0秒或連續地脈衝而於其間沒有洗淨。
本文所揭露的沉積方法可能涉及一或更多洗淨氣體。該洗淨氣體,其係用以洗掉沒消耗的反應物及/或反應副產物,係不會與該等前驅物反應的惰性氣體。示範洗淨氣體包括,但不限於,氬(Ar)、氪(Kr)、氙(Xe)、氮(N2)、氦(He)、氖、氫(H2)及其混合物。在某些具體實施例中,洗淨氣體例如Ar係於介於約10至約2000sccm的流速下供入該反應器經歷約0.1至1000秒,藉以洗淨該未反應的材料和可能留在該反應器中的任何副產物。
供應該等前驅物、含氧來源、該含氮來源、及/或其他前驅物、來源氣體、及/或試劑的分別步驟可藉由變化供應彼等的時期來進行以改變所得含矽膜的化學計量組成。
把能量施加於該前驅物、含氮來源、還原劑、其他前驅物或其組合中的至少其一以引發反應並且將該含矽膜或塗層形成於該基材上。此能量可藉由,但不限於,熱、電漿、脈衝電漿、螺旋電漿、高密度電漿、誘導耦合電漿、X-射線、電子束、光子、遠距電漿方法及其組合,來提供。在某些具體實施例中,二次射頻頻率來源可用以變更該基材表面處的電漿特徵。在該沉積涉及電漿的具體實施例中,該電漿產生的製程可能包含該電漿直接在該反應器中產生的直接電漿產生製程,或者電漿在該反應器外部產生並且供應至該反應器內的遠距電漿產生方法。
該等有機胺基矽烷前驅物及/或其他含矽前驅物可依各式各樣的方式輸送給該反應艙,例如CVD或ALD反應器。在一具體實施例中,可利用液體輸送系統。在一可供選用的具體實施例中,可運用合併液體輸送和閃蒸的處理單元,例如,舉例來說,明尼蘇達州,肖爾維市的MSP股份有限公司製造的渦輪汽化器,以使低揮發性材料能依體積輸送,導致可再現的輸送和沉積而不會使該前驅物熱分解。在液體輸送配方中,本文所述的前驅物可以純液體形式輸送,或者,可依溶劑配方或其組合物方式運用。因此,在某些具體實施例中,該等前驅物配方可包括可能想要的適合特性和在特定最終用途應用中有優點的溶劑組分以於基材上形成 膜。
關於在包含溶劑及具有本文所述的式A至E的有機胺基矽烷前驅物之組合物中使用具有式A至E的前驅物的那些具體實施例中,所挑選的溶劑或其混合物不會與該有機胺基矽烷起反應。在該組合物中以重量百分比計的溶劑量介於0.5重量%至99.5%或10重量%至75%。在各個不同具體實施例中,該溶劑具有類似於式A至E的有機胺基矽烷的沸點之沸點(b.p.)或介於該溶劑的沸點與該氮雜-多矽烷的沸點之間的差異係40℃或更低,30℃或更低,或20℃或更低,或10℃。或者,該等沸點之間的差異介於以下端點之任一或更多者:0、10、20、30或40℃。沸點差異的適合範圍的實例包括,但不限於,0至40℃、20°至30℃或10°至30℃。該等組合物中的適合溶劑的實例包括,但不限於,醚(例如1,4-二噁烷、二丁基醚)、三級胺(例如吡啶、1-甲基六氫吡啶、1-乙基六氫吡啶、N,N'-二甲基六氫吡嗪、N,N,N',N'-四甲基伸乙二胺)、腈(例如苯甲腈)、烷基烴(例如辛烷、壬烷、十二烷、乙基環己烷)、芳香族烴(例如甲苯、均三甲苯)、三級胺基醚(例如雙(2-二甲基胺基乙基)醚)或其混合物。
在另一具體實施例中,本文描述的是用於沉積含矽膜的容器,該含矽膜包含一或更多具有式A至E的有機胺基矽烷前驅物。在一特定具體實施例中,該容器包含至少一裝配適當閥和配件的可加壓容器(較佳由不銹鋼製成),以使一或更多前驅物能輸送至該反應器供CVD或ALD製程用。在各個不同具體實施例中,該具有式A至E的有機胺基矽烷前 驅物係供入包含不銹鋼的可加壓容器中,而且該前驅物的純度係98重量%或更高或99.5%或更高,其適用於大多數半導體應用。在某些具體實施例中,這樣的容器也可具有用於混合該等前驅物與必要的話還有一或更多其他前驅物的裝置。在各個不同具體實施例中,該(等)容器昀內容物能與另一前驅物預先混合。或者,該有機胺基矽烷前驅物及/或其他前驅物能保持於獨立容器或具有分離裝置的單一容器中,該分離裝置係用於使該有機胺基矽烷前驅物與其他前驅物在儲存的期間保持分開。
在又另一具體實施例中,提供一種用於製備有機胺基矽烷例如本文所述的具有式A至E的有機胺基矽烷之方法,其中該方法包含以下步驟:使具有R1R2NH或R1NH2的化學式之胺,其中R1係選自線性或分支C1至C10烷基、線性或分支C3至C10烯基、線性或分支C3至C10炔基、C3至C10環烷基及C5至C10芳基;其中R2係選自氫、線性或分支C1至C10烷基、線性或分支C3至C10烯基、線性或分支C3至C10炔基、C3至C10環烷基及C5至C10芳基,與屬於選自具有以下結構的化合物中之至少其一的矽來源,在觸媒存在的情形下在足以使該矽來源和胺在無論有無有機溶劑的情形反應並且提供有機胺基矽烷前驅物的反應條件作用之下反應: 其中R3和R4係獨立地選自線性或分支C1至C10伸烷基、線 性或分支C3至C6伸烯基、線性或分支C3至C6伸炔基、C3至C10伸環烷基、C3至C10伸雜環烷基、C5至C10伸芳基及C5至C10伸雜芳基,該有機胺基矽烷前驅物包含由以下式A至E中的一者所示之化合物: 式A中的n等於1或2;式A中的m等於0、1、2或3;在式E中p加q等於1或2而且任意地其中式D中的R3與該二矽原子和至少一氮原子一起形成選自四員、五員或六員環的環。示範觸媒包括,但不限於,叁(五氟苯基)硼烷、BR3(其中R係選自線性、分支或環狀C1至C10烷基、C5至C10芳基或C1至C10烷氧基)、1,3-二異丙基-4,5-二甲基咪唑-2-亞基、2,2'-聯吡啶、啡啉、Mg[N(SiMe3)2]2、[叁(4,4-二甲基-2-噁唑啉基)苯基硼酸]MgMe、[叁(4,4-二甲基-2-噁唑啉基)苯基硼 酸]MgH、三甲基鋁、三乙基鋁、氯化鋁、Ca[N(SiMe3)2]2、二苯甲基鈣、{CH-[CMeNC6H3-2,6-iPr2]2}CaH、十二羧基三釕、{CH-[CMeNC6H3-2,6-iPr2]2}Ca[N(SiMe3)2]、雙(環戊二烯基)二烷基鈦(IV)、二硫化雙(環戊二烯基)鈦(IV)、二氯化雙(環戊二烯基)鈦(IV)、二氫化雙(環戊二烯基)鈦(IV)、TiMe2(dmpe)2[dmpe=1,2-雙(二甲基膦基)乙烷]、(C5H5)2Ti(OAr)2[Ar=(2,6-(iPr)2C6H3)]、(C5H5)2Ti(SiHRR')PMe3[其中R、R'係各自獨立地選自氫原子(H)、甲基(Me)及苯基(Ph)]、雙(苯)鉻(0)、六羰基鉻、十羰基二錳、[Mn(CO)4Br]2、五羰基鐵、(C5H5)Fe(CO)2Me、八羰基二鈷、乙酸鎳(II)、氯化鎳(II)、[(dippe)Ni(μ-H)]2[dippe=1,2-雙(二異丙基膦基)乙烷]、(R-茚基)Ni(PR'3)Me[其中R係選自1-i-Pr、1-SiMe3及1,3-(SiMe3)2;其中R'係選自甲基(Me)及苯基(Ph)]、[{Ni(η-CH2:CHSiMe2)2O}2{μ-(η-CH2:CHSiMe2)2O}]、乙醯基丙酮酸鎳(II)、(環辛二烯)2鎳、氟化銅(II)、氯化銅(I)、氯化銅(II)、溴化銅(I)、溴化銅(II)、碘化銅(I)、乙酸銅(I)、Cu(PPh3)3Cl、氯化鋅、[叁(4,4-二甲基-2-噁唑啉基)苯基硼酸]ZnH、Sr[N(SiMe3)2]2、雙(環戊二烯基)二烷基鋯(IV)、二氟化雙(環戊二烯基)鋯(IV)、二氯化雙(環戊二烯基)鋯(IV)、二氫化雙(環戊二烯基)鋯(IV)、[(Et3P)Ru(2,6-二均三甲苯基吩噻酸)][B[3,5-(CF3)2C6H3]4]、(C5Me5)Ru(R3P)x(NCMe)3-x]+(其中R係選自線性、分支或環狀C1至C10烷基及C5至C10芳基;x=0、1、2、3)、羰基氫化叁(三苯基膦)銠(I)、二-μ-氯-四羰基二銠(I)、氯化叁(三苯基膦)銠(I)(威爾金森觸媒(Wilkinson's Catalyst))、六羰基六銠、羰基氫化叁(三苯基膦)銠(I)、羰基氯化雙(三苯基膦)銠(I)、[RhCl(環辛二烯)]2、叁(二亞苯甲基丙酮)二鈀(0)、肆(三苯基膦)鈀(0)、乙酸鈀(II)、氯化鈀(II)、碘化鈀(II)、碳酸鈰、(C5H5)2SmH、(C5Me5)2SmH、(NHC)Yb(N(SiMe3)2)2[NHC=1,3-雙(2,4,6-三甲基苯基)咪唑-2-亞基)]、六羰基鎢、十羰基二釕、十二羰基三鋨、十二羰基四銥、(乙醯基丙酮酸)二羰基銥(I)、(POCOP)IrHCl[(POCOP)=2,6-(R2PO)2C6H3(R係選自異丙基(iPr)、正丁基(nBu)及甲基(Me)]、Ir(Me)2(C5Me5)L[其中L係選自PMe3及PPh3]、[Ir(環辛二烯)OMe]2、鉑(0)-1,3-二乙烯基-1,1,3,3-四甲基二矽氧烷(卡斯特觸媒(Karstedt's Catalyst))、H2PtCl6nH2O(氯鉑酸)、雙(第三丁基膦)鉑(0)、PtO2及Pt(環辛二烯)2
在本文所述的方法之一具體實施例中,循環式沉積製程例如CCVD、ALD或PEALD均可運用,其中運用的是至少一選自本文所述的式之有機胺基矽烷前驅物的含矽前驅物及任意地含氮來源例如,舉例來說,氨、肼、單烷基肼、二烷基肼、氮、氮/氫、氨電漿、氮電漿、氮/氬電漿、氮/氦電漿、氮/氫電漿、有機胺類(例如甲基胺、乙基胺、異丙基胺、第三丁基胺)及/或衍生自有機胺的電漿。
在某些具體實施例中,從該前驅物藥罐連至該反應艙的氣體管道係依據製程要求加熱至一或更多溫度而且具有本文所述的式A至E之有機胺基矽烷前驅物的容器係維持於能供起泡的一或更多溫度。在其他具體實施例中,把包含至少一具有本文所述的式子的含矽前驅物之溶液注入保持於 一或更多供直接液體注射用的溫度下之汽化器。
氬及/或其他氣體流可當成載送氣體運用以協助在該前驅物脈衝的期間將該至少一有機胺基矽烷前驅物的蒸氣輸送至該反應艙。在某些具體實施例中,該反應艙程序壓力係約10托耳或更低,較佳為約1托耳。
在典型的ALD或CCVD方法中,在最初暴露於該含矽前驅物的反應艙之加熱器段上加熱基材例如,但不限於,氧化矽、摻碳的氧化矽、撓性基材或金屬氮化物基材以便使該有機胺基矽烷能以化學方式吸附於該基材表面上。洗淨氣體例如氮、氬或其他惰性氣體從該加工艙洗掉沒被吸附的過量有機胺基矽烷。經過充分洗淨以後,含氧來源可引進反應艙以與被吸附的表面反應,接著另一氣體洗淨以從該艙移除反應副產物。此加工周期能重複進行以達成希望的膜厚度。在其他具體實施例中,在真空之下抽排能用以從該加工艙移除沒被吸附的過量有機胺基矽烷,等到在抽排作用之下充分抽空以後,含氧來源可引進反應艙以與被吸附的表面反應,接著另一氣體洗淨以從該艙移除反應副產物。在又另一具體實施例中,該有機胺基矽烷及該含氧來源能一起流入反應艙以在該基材表面上反應以沉積氧化矽、摻碳的氧化矽。在循環式CVD的某具體實施例中,沒使用洗淨步驟。
在各個不同具體實施例中,咸了解本文所述的方法的步驟可依照多變的順序進行,可依序地或同時地進行(例如,於另一步驟的至少一部分的期間),及依其任何組合進行。供應該等前驅物和該等含氮來源氣體的分別步驟可藉由變化 供應彼等的時期來進行以改變所得含矽膜的化學計量組成。
在本文所揭示的方法之另一具體實施例中,同時含矽和氮的膜係利用ALD、PEALD、CCVD或PECCVD沉積方法形成,其包含以下步驟:a. 將基材提供於ALD反應器中;b. 將至少一有機胺基矽烷前驅物引進該該ALD反應器,該前驅物包含由以下式A至E中的一者所示之化合物: 其中R1係選自線性或分支C1至C10烷基、線性或分支C3至C10烯基、線性或分支C3至C10炔基、C3至C10環烷基及C5至C10芳基;其中R2係選自氫、線性或分支C1至C10烷基、線性或分支C3至C10烯基、線性或分支C3至C10炔基、C3至 C10環烷基及C5至C10芳基,R3和R4係各自獨立地選自線性或分支C1至C10伸烷基、線性或分支C3至C6伸烯基、線性或分支C3至C6伸炔基、C3至C10伸環烷基、C3至C10伸雜環烷基、C5至C10伸芳基及C5至C10伸雜芳基;式A中的n等於1或2;式A中的m等於0、1、2或3;式E中的p加q等於1或2;而且任意地其中式D中的R3與該二矽原子和至少一氮原子一起形成選自四員、五員或六員環的環;c. 使該至少一有機胺基矽烷前驅物化學吸附於基材上;d. 使用洗淨氣體洗掉未反應之至少一有機胺基矽烷前驅物;e. 將含氮來源提供給在受熱基材上的有機胺基矽烷前驅物以與被吸附的至少一有機胺基矽烷前驅物反應;而且f. 任意洗掉或抽排任何未反應的含氮來源。
在另一態樣中,提供一種藉著PEALD或PECCVD沉積製程形成選自氧化矽和摻碳的氧化矽膜的膜之方法,該方法包含以下步驟:a. 將基材提供於反應器中;b. 將氧與至少一有機胺基矽烷前驅物一同引進該該反應器,該前驅物包含由以下式A至E中的一者所示之化合物: 其中R1係選自線性或分支C1至C10烷基、線性或分支C3至C10烯基、線性或分支C3至C10炔基、C3至C10環烷基及C5至C10芳基;其中R2係選自氫、線性或分支C1至C10烷基、線性或分支C3至C10烯基、線性或分支C3至C10炔基、C3至C10環烷基及C5至C10芳基,R3和R4係各自獨立地選自線性或分支C1至C10伸烷基、線性或分支C3至C6伸烯基、線性或分支C3至C6伸炔基、C3至C10伸環烷基、C3至C10伸雜環烷基、C5至C10伸芳基及C5至C10伸雜芳基;式A中的n等於1或2;式A中的m等於0、1、2或3;式E中的p加q等於1或2;而且任意地其中式D中的R3與該二矽原子和至 少一氮原子一起形成選自四員、五員或六員環的環;c. 利用洗淨氣體與氧一同洗淨該反應器;d. 施加射頻電漿;e. 以洗淨氣體洗淨該反應器或抽排該反應器以移除未反應的有機胺基矽烷及任何反應副產物;及其中重複進行步驟b至e直到獲得預期的膜厚度為止。
在本文所揭示的方法之另一具體實施例中,該等含矽膜係利用ALD沉積方法形成,其包含以下步驟:a. 將基材提供於反應器中;b. 將至少一有機胺基矽烷前驅物引進該該反應器,該前驅物包含由以下式A至E中的一者所示之化合物: 其中R1係選自線性或分支C1至C10烷基、線性或分支C3至C10烯基、線性或分支C3至C10炔基、C3至C10環烷基及C5至C10芳基;其中R2係選自氫、線性或分支C1至C10烷基、線性或分支C3至C10烯基、線性或分支C3至C10炔基、C3至C10環烷基及C5至C10芳基,R3和R4係各自獨立地選自線性或分支C1至C10伸烷基、線性或分支C3至C6伸烯基、線性或分支C3至C6伸炔基、C3至C10伸環烷基、C3至C10伸雜環烷基、C5至C10伸芳基及C5至C10伸雜芳基;式A中的n等於1或2;式A中的m等於0、1、2或3;式E中的p加q等於1或2;而且任意地其中式D中的R3與該二矽原子和至少一氮原子一起形成選自四員、五員或六員環的環;c. 使該至少一有機胺基矽烷前驅物化學吸附於基材上;d. 利用洗淨氣體洗掉未反應的至少一有機胺基矽烷前驅物;e. 提供含氧來源給受熱基材上的有機胺基矽烷前驅物以與該被吸附的至少一有機胺基矽烷前驅物反應;及f. 任意地洗掉或抽排任何未反應的含氧來源。
在另一態樣中,提供一種藉著PEALD或PECCVD沉積製程形成氮化矽或碳氮化矽膜之方法,該方法包含以下步驟:a. 將基材提供於反應器;b. 將含氮來源與至少一有機胺基矽烷前驅物引進該反應器,該前驅物包含由以下式A至E中的一者所示之化合物:其中R1係選自線性或分支C1至C10烷基、線性或分支C3至 C10烯基、線性或分支C3至C10炔基、C3至C10環烷基及C5至C10芳基;其中R2係選自氫、線性或分支C1至C10烷基、線性或分支C3至C10烯基、線性或分支C3至C10炔基、C3至C10環烷基及C5至C10芳基,R3和R4係各自獨立地選自線性或分支C1至C10伸烷基、線性或分支C3至C6伸烯基、線性或分支C3至C6伸炔基、C3至C10伸環烷基、C3至C10伸雜環烷基、C5至C10伸芳基及C5至C10伸雜芳基;式A中的n等於1或2;式A中的m等於0、1、2或3;式E中的p加q等於1或2;而且任意地其中式D中的R3與該二矽原子和至少一氮原子一起形成選自四員、五員或六員環的環;c. 以洗淨氣體與該含氮來源一同洗淨該反應器;d. 施加射頻電漿;及e. 以洗淨氣體洗淨該反應器或抽排該反應器以移除未反應的有機胺基矽烷及任何反應副產物;及其中重複進行步驟b至e直到獲得預期的膜厚度為止。
以上的步驟定義本文所述的方法的一個循環;而且該循環可重複進行直到獲得預期的含矽膜厚度為止。在各個不同具體實施例中,咸了解本文所述的方法的步驟可以多變的順序進行,可依序地或同時地(例如,於另一步驟的至少一部分期間)及其任何組合進行。供應該等前驅物和含氧來源的分別步驟可藉由變化供應彼等的時期來進行以改變所產生的含矽膜的化學計量組成,但是總是使用少於可利用的矽的化學計量的氧。
關於多組分含矽膜,其他前驅物例如含矽前驅 物、含氮前驅物、還原劑或其他試劑可輪流引進該反應艙。
在本文所述的方法的另一具體實施例中,該含矽膜係利用熱CVD方法來沉積。在此具體實施例中,該方法包含:a. 把一或更多基材置於一反應器中,該反應器被加熱至介於周遭溫度至約700℃的一或更多溫度;b. 引進至少一有機胺基矽烷前驅物,該前驅物包含由以下式A至E中的一者所示之化合物: 其中R1係選自線性或分支C1至C10烷基、線性或分支C3至C10烯基、線性或分支C3至C10炔基、C3至C10環烷基及C5至C10芳基;其中R2係選自氫、線性或分支C1至C10烷基、 線性或分支C3至C10烯基、線性或分支C3至C10炔基、C3至C10環烷基及C5至C10芳基,R3和R4係各自獨立地選自線性或分支C1至C10伸烷基、線性或分支C3至C6伸烯基、線性或分支C3至C6伸炔基、C3至C10伸環烷基、C3至C10伸雜環烷基、C5至C10伸芳基及C5至C10伸雜芳基;式A中的n等於1或2;式A中的m等於0、1、2或3;式E中的p加q等於1或2;而且任意地其中式D中的R3與該二矽原子和至少一氮原子一起形成選自四員、五員或六員環的環;而且c. 把含氧來源供入該反應器以與該至少一有機胺基矽烷前驅物至少部分反應並且將含矽膜沉積於該一或更多基材上。在該CVD方法的某些具體實施例中,該反應器係於該引進步驟期間保持於介於10毫托耳至760托耳的壓力。以上的步驟定義了本文所述方法的一個循環;而且該循環能重複進行到獲得預期的膜厚度為止。在各個不同具體實施例中,咸了解本文所述的方法的步驟可依照多變的順序進行,可依序地或同時地進行(例如,於另一步驟的至少一部分的期間),及依其任何組合進行。供應該等前驅物和該等含氧來源的分別步驟可藉由變化供應彼等的時期來進行以改變所得含矽膜的化學計量組成,但是始終使用相對於可取得的矽低於化學計量的氧。
在本文所述的另一具體實施例中,利用本文所述的式A至E前驅物來沉積非晶性或結晶性矽膜。在此具體實施例中,該方法包含:a. 將一或更多基材置於被加熱至介於周遭溫度至約700℃ 的一或更多溫度的反應器中;b. 引進至少一有機胺基矽烷前驅物,該前驅物包含由以下式A至E中的一者所示之化合物: 其中R1係選自線性或分支C1至C10烷基、線性或分支C3至C10烯基、線性或分支C3至C10炔基、C3至C10環烷基及C5至C10芳基;其中R2係選自氫、線性或分支C1至C10烷基、線性或分支C3至C10烯基、線性或分支C3至C10炔基、C3至C10環烷基及C5至C10芳基,R3和R4係各自獨立地選自線性或分支C1至C10伸烷基、線性或分支C3至C6伸烯基、線性或分支C3至C6伸炔基、C3至C10伸環烷基、C3至C10伸雜環烷基、C5至C10伸芳基及C5至C10伸雜芳基;式A中的n等 於1或2;式A中的m等於0、1、2或3;式E中的p加q等於1或2;而且任意地其中式D中的R3與該二矽原子和至少一氮原子一起形成選自四員、五員或六員環的環;而且c. 將還原劑來源供入該反應器以與該至少一有機胺基矽烷前驅物至少部分反應並且將含矽膜沉積於該一或更多基材上。該還原劑係選自由以下所組成的群組:氫、氫電漿、氯化氫。在該CVD方法的某些具體實施例中,該反應器係於該引進步驟期間保持於介於10毫托耳至760托耳的壓力。以上的步驟定義了本文所述方法的一個循環;而且該循環能重複進行到獲得預期的含矽膜厚度為止。
關於多組分含矽膜,其他前驅物例如含矽前驅物、含氮前驅物、含氧來源、還原劑及/或其他試劑可輪流引進該反應艙。
在本文所述的方法的另一具體實施例中,該含矽膜係利用熱CVD方法來沉積。在此具體實施例中,該方法包含:a.將一或更多基材置於被加熱至介於周遭溫度至約700℃的一或更多溫度的反應器中;b. 引進至少一有機胺基矽烷前驅物,該前驅物包含由以下式A至E中的一者所示之化合物: 其中R1係選自線性或分支C1至C10烷基、線性或分支C3至C10烯基、線性或分支C3至C10炔基、C3至C10環烷基及C5至C10芳基;其中R2係選自氫、線性或分支C1至C10烷基、線性或分支C3至C10烯基、線性或分支C3至C10炔基、C3至C10環烷基及C5至C10芳基,R3和R4係各自獨立地選自線性或分支C1至C10伸烷基、線性或分支C3至C6伸烯基、線性或分支C3至C6伸炔基、C3至C10伸環烷基、C3至C10伸雜環烷基、C5至C10伸芳基及C5至C10伸雜芳基;式A中的n等於1或2;式A中的m等於0、1、2或3;式E中的p加q等於1或2;而且任意地其中式D中的R3與該二矽原子和至 少一氮原子一起形成選自四員、五員或六員環的環;而且c. 把含氮來源供入該反應器以與該至少一有機胺基矽烷前驅物至少部分反應並且將含矽膜沉積於該一或更多基材上。在該CVD方法的某些具體實施例中,該反應器係於該引進步驟期間保持於介於10毫托耳至760托耳的壓力。
在本文所述的另一具體實施例中,利用該等有機胺基矽烷前驅物來沉積屬於非晶性膜、結晶性矽膜或其混合物的含矽膜。在這些具體實施例中,該等含矽膜係利用選自ALD或循環式CVD的沉積方法形成,該方法包含以下步驟:將基材置於被加熱至介於周遭溫度至約700℃的一或更多溫度並且保持於1托耳或更低的壓力之反應器中;引進至少一有機胺基矽烷前驅物,該前驅物包含由以下式A至E中的一者所示之化合物: 其中R1係選自線性或分支C1至C10烷基、線性或分支C3至C10烯基、線性或分支C3至C10炔基、C3至C10環烷基及C5至C10芳基;其中R2係選自氫、線性或分支C1至C10烷基、線性或分支C3至C10烯基、線性或分支C3至C10炔基、C3至C10環烷基及C5至C10芳基,R3和R4係各自獨立地選自線性或分支C1至C10伸烷基、線性或分支C3至C6伸烯基、線性或分支C3至C6伸炔基、C3至C10伸環烷基、C3至C10伸雜環烷基、C5至C10伸芳基及C5至C10伸雜芳基;式A中的n等於1或2;式A中的m等於0、1、2或3;式E中的p加q等於1或2;而且任意地其中式D中的R3與該二矽原子和至少一氮原子一起形成選自四員、五員或六員環的環;將還原劑供入該反應器以與該至少一有機胺基矽烷前驅物至少部分反應並且將含矽膜沉積於該一或更多基材上,其中該還原劑係選自由以下所組成的群組中之至少一者:氫、氫電漿或氯化氫。以上的步驟定義了本文所述方法的一個循環;而且該循環能重複進行到獲得預期的含矽膜厚度為止。該膜的預期厚度能介於1Å至10,000Å。
在另一態樣中,選自電漿強化原子層(PEALD)製程和電漿強化循環式化學氣相沉積(PECCVD)製程的沉積製程將含矽膜形成於至少一基材表面上之方法,該方法包含:a. 將基材提供於ALD反應器中;b. 將至少一有機胺基矽烷前驅物提供於該ALD反應器中,該前驅物包含由以下式A至E中的一者所示之化合物: 其中R1係選自線性或分支C1至C10烷基、線性或分支C3至C10烯基、線性或分支C3至C10炔基、C3至C10環烷基及C5至C10芳基;其中R2係選自氫、線性或分支C1至C10烷基、線性或分支C3至C10烯基、線性或分支C3至C10炔基、C3至C10環烷基及C5至C10芳基,R3和R4係各自獨立地選自線性或分支C1至C10伸烷基、線性或分支C3至C6伸烯基、線性或分支C3至C6伸炔基、C3至C10伸環烷基、C3至C10伸雜環烷基、C5至C10伸芳基及C5至C10伸雜芳基;式A中的n等於1或2;式A中的m等於0、1、2或3;式E中的p加q等於1或2;而且任意地其中式D中的R3與該二矽原子和至少一氮原子一起形成選自四員、五員或六員環的環;c. 以惰性氣體洗淨該ALD反應器; d. 將電漿來源提供於該ALD反應器;e. 以惰性氣體洗淨該ALD反應器;而且其中重複步驟b至e直到獲得預期厚度的含矽膜為止。該電漿來源係選自由以下所組成的群組:氫電漿、氬電漿、氦電漿、氖電漿、氙電漿及其混合物。該含矽膜係選自由以下所組成的群組。碳氮化矽、碳化矽、氮化矽、碳氮化矽及羧氮化矽。
在又另一態樣中,提供一種經由原子層沉積或循環式化學氣相沉積製程或化學氣相沉積於比習用矽前驅物更低的溫度下沉積非晶性或結晶性矽膜之方法,該方法包含以下步驟:a. 將基材提供於反應器中;b. 將至少一有機胺基矽烷前驅物引進該ALD反應器中,該前驅物包含由以下式A至E中的一者所示之化合物: 其中R1係選自線性或分支C1至C10烷基、線性或分支C3至C10烯基、線性或分支C3至C10炔基、C3至C10環烷基及C5至C10芳基;其中R2係選自氫、線性或分支C1至C10烷基、線性或分支C3至C10烯基、線性或分支C3至C10炔基、C3至C10環烷基及C5至C10芳基,R3和R4係各自獨立地選自線性或分支C1至C10伸烷基、線性或分支C3至C6伸烯基、線性或分支C3至C6伸炔基、C3至C10伸環烷基、C3至C10伸雜環烷基、C5至C10伸芳基及C5至C10伸雜芳基;式A中的n等於1或2;式A中的m等於0、1、2或3;式E中的p加q等於1或2;而且任意地其中式D中的R3與該二矽原子和至少一氮原子一起形成選自四員、五員或六員環的環;c. 以洗淨氣體洗淨該反應器,其中重複進行步驟b至c直到獲得預期的矽膜厚度為止。
咸相信式A至E前驅物會在加熱後產生H2Si:雙基(di-radical)或H3Si基,其會促進含Si-Si鍵的寡聚物形成或在基材表面上的拋錨作用。那些寡聚物或拋錨的SiH2或SiH3會進一步形成非晶性矽膜。在各個不同具體實施例中,那些寡聚物擔任後繼矽或氧化矽膜沉積的晶種層。
在某些具體實施例中,本文所述的具有式A至E的有機胺基矽烷前驅物也能當含金屬膜,例如但不限於,金屬氧化物膜或金屬氮化物膜,的摻雜劑使用。在這些具體實施例中,該含金屬膜係利用ALD或CVD製程例如本文所述的那些製程使用金屬烷氧化物、金屬醯胺化物或揮發性有機金屬前驅物來沉積。可配合本文所揭示的方法一起使用的適 當金屬烷氧化物前驅物的實例包括,但不限於,第3至6族金屬烷氧化物、兼具經烷氧基和烷基取代的環戊二烯基配位子的第3至6族金屬錯合物、兼具經烷氧基和烷基取代的吡咯基配位子的第3至6族金屬錯合物、兼具經烷氧基和二酮酸根配位子的第3至6族金屬錯合物;兼具經烷氧基和酮酯配位子的第3至6族金屬錯合物。可配合本文所揭示的方法一起使用的適當金屬醯胺前驅物的實例包括,但不限於,肆(二甲基胺基)鋯(TDMAZ)、肆(二乙基胺基)鋯(TDEAZ)、肆(乙基甲基胺基)鋯(TEMAZ)、肆(二甲基胺基)鉿(TDMAH)、肆(二乙基胺基)鉿(TDEAH)及肆(乙基甲基胺基)鉿(TEMAH)、肆(二甲基胺基)鈦(TDMAT)、肆(二乙基胺基)鈦(TDEAT)、肆(乙基甲基胺基)鈦(TEMAT)、第三丁基亞胺基三(二乙基胺基)鉭(TBTDET)、第三丁基亞胺基三(二甲基胺基)鉭(TBTDMT)、第三丁基亞胺基三(乙基甲基胺基)鉭(TBTEMT)、乙基亞胺基三(二乙基胺基)鉭(EITDET)、乙基亞胺基三(二甲基胺基)鉭(EITDMT)、乙基亞胺基三(乙基甲基胺基)鉭(EITEMT)、第三戊基亞胺基三(二甲基胺基)鉭(TAIMAT)、第三戊基亞胺基三(二乙基胺基)鉭、伍(二甲基胺基)鉭、第三戊基亞胺基三(乙基甲基胺基)鉭、雙(第三丁基亞胺基)雙(二甲基胺基)鎢(BTBMW)、雙(第三丁基亞胺基)雙(二乙基胺基)鎢、雙(第三丁基亞胺基)雙(乙基甲基胺基)鎢及其組合。可配合本文所揭示的方法一起使用的適當有機金屬前驅物的實例包括,但不限於,第3族金屬環戊二烯基或烷基環戊二烯基。示範第3至6族金屬在此包括,但不限於,Y、La、Ce、Pr、Nd、Sm、 Eu、Gd、Tb、Dy、Er、Yb、Lu、Ti、Hf、Zr、V、Nb、Ta、Cr、Mo及W。
在某些具體實施例中,所產生的含矽膜或塗層能暴露於沉積後處理例如,但不限於,電漿處理、化學處理、紫外光曝光、電子束曝光及/或其他處理以引發該膜的一或更多性質。
在某些具體實施例中,本文所述的含矽膜具有6或更低的介電常數。在各個不同具體實施例中,該等膜具有約5或更低,或約4或更低,或約3.5或更低的介電常數。然而,能想像具有其他介電常數(例如,更高或更低)的膜能依據該膜的預期最終用途形成。利用本文所述的有機胺基矽烷前驅物和方法所形成的含矽膜之實例具有此配方SixOyCzNvHw,其中Si介於約10%至約40%;O介於約0%至約65%;C介於約0%至約75%或約0%至約50%;N介於約0%至約75%或約0%至50%;而且H介於約0%至約50原子百分比重量%,其中舉例來說藉由XPS或其他裝置測定時,x+y+z+v+w=100個原子重量百分比。
如先前提及的,本文所述的方法可用以將含矽膜沉積於基材的至少一部位上。適合基材的實例包括但不限於,矽、SiO2、Si3N4、OSG、FSG、碳化矽、氫化碳化矽、氮化矽、氫化氮化矽、碳氮化矽、氫化碳氮化矽、硼氮化物、抗反射塗層、光阻劑、撓性基材、有機聚合物、多孔性有機和無機材料、金屬類例如銅和鋁,及擴散阻障層例如但不限於TiN、Ti(C)N、TaN、Ta(C)N、Ta、W或WN。該等膜與多 變的後續處理步驟例如,舉例來說,化學機械平坦化(CMP)和各向異性蝕刻製程均相容。
所沉積的膜具有多種應用,其包括,但不限於,電腦晶片、光學裝置、磁性資料儲存、於支撐材料或基材上的塗層、微電機系統(MEMS)、奈米電機系統、薄膜電晶體(TFT)、發光二極體(LED)、有機發光二極體(OLED)、IGZO及液晶顯示器(LCD)。
以下的實例舉例說明用於製備有機胺基矽烷前驅物及沉積本文所述的含矽膜之方法而且不欲依任何方式限制彼。
實施例
在以下實施例中,除非另行指明,否則均由沉積於中等電阻率(8-12Ωcm)單晶矽晶圓基材上的樣品膜獲得性質。
實施例1:1-二異丙基胺基-1,4-二矽雜丁烷的合成
在配備機械攪拌器、冷凝器及加液漏斗的三頸圓底燒瓶中,以冷浴將1當量1,4-二矽雜丁烷於己烷中的溶液冷卻至-20C。配合攪拌,透過該加液漏斗逐滴添加0.5當量的二異丙基醯胺鋰於四氫呋喃(THF)中的溶液。等到添加完成之後,令該反應混合物暖化至室溫。使該反應混合物於室溫下攪拌過夜,接著過濾。把反應生成的白色沉澱物,氫化鋰,當成副產物過濾掉。藉由蒸餾來移除濾液中的溶劑及過量的 1,4-二矽雜丁烷。藉由真空蒸餾獲得產物,1-二異丙基胺基-1,4-二矽雜丁烷。氣體層析法(GC)顯示其係>98%純度的1-二異丙基胺基-1,4-二矽雜丁烷。GC-MS顯示以下的峰:189(M+)、188(M-1)、174(M-15)、159、144、130、102。
實施例2:1-第三丁基-1-氮雜-2-5-二矽雜環戊烷的合成
在配備機械攪拌器、冷凝器及加液漏斗的三頸圓底燒瓶中,以冷浴將1當量1,4-二矽雜丁烷於己烷中的溶液冷卻至-20C。配合攪拌,透過該加液漏斗逐滴添加0.5當量的第三丁基醯胺鋰於THF中的溶液。等到添加完成之後,令該反應混合物暖化至室溫。使該反應混合物於室溫下攪拌過夜,接著過濾。把反應生成的白色沉澱物,氫化鋰,當成副產物過濾掉。藉由蒸餾來移除濾液中的溶劑及過量的1,4-二矽雜丁烷。藉由真空蒸餾獲得產物,1-第三丁基-1-氮雜-2-5-二矽雜環戊烷。氣體層析法(GC)顯示其純度>98%。GC-MS顯示以下的峰:159(M+)、158(M-1)、144(M-15)、128、114、100。
實施例3:1,4-雙(二異丙基胺基)-1,4-二矽雜丁烷的合成
在配備機械攪拌器、冷凝器及加液漏斗的三頸圓底燒瓶中,以冷浴將0.5當量1,4-二矽雜丁烷於己烷中的溶液冷卻至-20C。配合攪拌,透過該加液漏斗逐滴添加1當量的二異丙基醯胺鋰於THF中的溶液。等到添加完成之後,令該反應混合物暖化至室溫。使該反應混合物於室溫下攪拌過 夜,接著過濾。把反應生成的白色沉澱物,氫化鋰,當成副產物過濾掉。藉由蒸餾來移除濾液中的溶劑。藉由真空蒸餾獲得產物,1,4-雙(二異丙基胺基)-1,4-二矽雜丁烷。沸點124℃/1托耳。GC-MS顯示以下的峰:288(M+)、287(M-1)、243、229、207、188、144、130。1H NMR:4.59(s、4H)、3.03(m、4H)、1.08(d、24H)、0.73(t、4H)。13C NMR:47.76、24.42、7.76。
實施例4:1-二乙基胺基-1,4-二矽雜丁烷和1,4-雙(二乙基胺基)-1,4-二矽雜丁烷的合成
在閃爍瓶(scintillation vial)中,合併2當量1,4-二矽雜丁烷和1當量二乙基胺。對此,按照於THF中的溶液之形式添加1莫耳%的十二羰基三釕觸媒,並且將混合物攪拌過夜。在溶液中觀察到的二主要產物係1-二乙基胺基-1,4-二矽雜丁烷和1,4-雙(二乙基胺基)-1,4-二矽雜丁烷。GC-MS顯示以下峰:(a)1-二乙基胺基-1,4-二矽雜丁烷:161(M+)、146(M-15)、130、116、102、89、72;(b)1,4-雙(二乙基胺基)-1,4-二矽雜丁烷:232(M+)、217(M-15)、203、187、173、160、146、130、116。
其他式A至E的有機胺基矽烷前驅物係藉著實施例1至4的類似方式製造而且藉由質譜儀(MS)描述其特徵。將各有機胺基矽烷前驅物之分子量(MW)、結構及對應的主要MS片斷峰列於表1以確認其身份。
表1. 具有式A、B、C、D及E的有機胺基矽烷。
實施例5:利用1-二異丙基胺基-1-4-二矽雜丁烷及臭氧進行含矽膜的原子層沉積
於二溫度條件:55℃及100℃,下藉由實驗室級ALD處理設備進行以下沉積。藉由蒸氣吸引(vapor draw)將矽前驅物運送至該艙。相應地在進入該沉積區以前將所有氣體(例如,洗淨和反應物氣體或前驅物和氧來源)預熱。配合高速致動以ALD膜片閥控制氣體和前驅物流速。沉積時用的基材係12吋長的矽長條。將熱電耦裝設於樣品夾持具以確認沉積期間的基材溫度。利用臭氧(6至19重量%)當氧來源氣體進行沉積。
典型的ALD循環包含以下步驟:a. 將基材提供於ALD反應器中;b. 將至少一有機胺基矽烷前驅物提供於該ALD反應器中經過6秒;c. 以惰性氣體洗淨該ALD反應器經過6秒;d. 將臭氧提供於該ALD反應器經過4秒; e. 以惰性氣體洗淨該ALD反應器經過6秒;重複進行步驟b至e直到獲得預期的膜厚度為止。藉由將該膜的反射數據擬合於預定物理模型(例如,Lorentz Oscillator模型)使用FilmTek 2000SE橢圓儀來測量膜的厚度及折射率。使用49%氫氟(HF)酸於去離子水中的1%溶液來測量濕式蝕刻速率。使用熱氧化物晶圓當各批次的參考物以確認溶液濃度。對1% HF於水中的溶液之典型熱氧化物晶圓濕式蝕刻速率為0.5Å/s。使用蝕刻前後的膜厚度計算濕式蝕刻速率。使用以下方程式使用6點測量來計算厚度不均勻度:不均勻度%=((最大值-最小值)/(2*平均值))。藉由X-射線光電子光譜學(XPS)來描述膜元素組成及密度。藉由產生的膜厚度除以總循環數求得生長速率(GPC)。
a 示範膜5在該有機胺基矽烷前驅物給藥之後使用60秒的抽空時間。
圖1提供關於該等1-二異丙基胺基-1,4,-二矽雜丁烷膜(於100℃下的示範膜1、3、5的平均值)和示範膜4以及藉著熱ALD製程使用以下有機胺基矽烷所沉積的膜之每循環的生長速率對溫度之關係:雙(二乙基胺基)矽烷(BDEAS:I.Suzuki,K.Yanagita,and C.Dussarrat,ECS Trans.3(15),119(2007)and M.W.O’Neill,H.R.Bowen,A.Derecskei-Kovacs,K.S.Cuthill,B.Han and M.Xiao,Electrochemistry Society Interface Winter 2011,33(2011))、雙(第三丁基胺基)矽烷(BTBAS:M.W.O’Neill,H.R.Bowen,A.Derecskei-Kovacs,K.S.Cuthill,B.Han and M.Xiao,Electrochemistry Society Interface Winter 2011,33(2011))、雙(乙基甲基胺基)矽烷(BEMAS:S.J.Won,H-S.Jung,S.Suh,Y.J.Choi,N.-I.Lee,C.S.Hwang,H.J.Kim,J.Vac.Sci.Technol.A 30(1),01A126(2012))、叁(二甲基胺基)矽烷(TRDMAS:L.Han,and Z.Chen,Z.ECS Journal of Solid State Science and Technology 2(11): N228-N236(2013))、二第二丁基胺基矽烷(DSBAS:A.Mallikarjunan,A.Derecskei-kovacs,H.Chandra,B.Han,M.Xiao,X.Lei,M.L.O.Neill,H.Liang,H.Bo,Z.Qingfan,H.Cheng,13th International Conference on Atomic Layer Deposition(2013))。如圖1所示,使用本文所述的有機胺基矽烷沉積的含矽膜相對於其他參考用的有機胺基矽烷前驅物顯現較高生長速率。另外,沉積溫度可能展開至低於100℃的一或更多溫度,例如於55℃的溫度下沉積的示範膜4。該膜中的碳濃度根據臭氧濃度介於0.3重量%至9.6重量%,其暗示可以調整產生的含矽膜的物性。
實施例6:使用1-二異丙基胺基-1-4-二矽雜丁烷和氮/氬電漿的含矽膜之電漿強化原子層沉積
使用1-二異丙基胺基-1-4-二矽雜丁烷和氮/氬電漿來進行含矽膜的沉積。將該矽晶圓分別加熱至100℃或300℃。使用300mm製造設備,ASM Stellar 3000,應用以下加工條件重複進行1000次來進行沉積製程:
a. 將基材提供於ALD反應器中;
b. 引進有機胺基矽烷前驅物:1-二異丙基胺基-1,4-二矽雜丁烷
運送條件:Ar載送氣體200sccm,使前驅物容器維持於室溫
艙壓:2托耳
前驅物脈衝:1秒
c. 惰性氣體洗淨
氬流量:300sccm
艙壓:2托耳
洗淨時間:2秒
d. 氮/氬電漿
氬流量:500sccm
氮流量:200sccm
艙壓:2托耳
電漿功率:500W
電漿時間:5秒
e. 洗淨電漿
氬流量:300sccm
艙壓:2托耳
洗淨時間:0.5秒
以下將沉積速率、折射率、密度以及產生的膜在稀HF中的濕式蝕刻速率列於表4。參照表4中的數據,咸相信當樣品送去做XPS分析時,氧來自於沉積以後的空氣暴露。
實施例7:使用1-二異丙基胺基-1-4-二矽雜丁烷和氬電漿的含矽膜之電漿強化原子層沉積
使用1-二異丙基胺基-1-4-二矽雜丁烷和氬電漿來進行含矽膜的沉積。將該矽晶圓分別加熱至100℃或300℃。使用300mm製造設備,ASM Stellar 3000,應用以下加工條件重複進行1000次來進行沉積製程:
a. 將基材提供於ALD反應器中;
b. 引進有機胺基矽烷前驅物:1-二異丙基胺基-1,4-二矽雜丁烷
運送條件:Ar載送氣體200sccm,使前驅物容器維持於室溫
艙壓:2托耳
前驅物脈衝:1秒
c. 惰性氣體洗淨
氬流量:300sccm
艙壓:2托耳
洗淨時間:2秒
d. 氬電漿
氬流量:500sccm
艙壓:2托耳
電漿功率:500W
電漿時間:5秒
e. 洗淨電漿
氬流量:300sccm
艙壓:2托耳
洗淨時間:2秒
以下將沉積速率、折射率、膜組成、密度以及產生的膜在稀HF中的濕式蝕刻速率列於表5。參照表5中的數據,咸相信當樣品送去做XPS分析時,氧來自於沉積以後的空氣暴露。
在稀HF中的濕式蝕刻速率小於0.05Å/s,其比在相同條件之下的典型熱氧化物膜的濕式蝕刻速率(0.5Å/s)更小許多,證明本文所述的有機胺基矽烷影響由彼沉積的含矽膜所得的性質。

Claims (4)

  1. 一種有機胺基矽烷,其包含由以下式A所示之化合物: 其中R1係選自甲基、乙基、異丙基、正丙基、第三丁基及第二丁基所組成的群組;R2為氫;R3係選自亞甲基及伸乙基所組成的群組;n為1;及m為0。
  2. 一種有機胺基矽烷,其包含由以下式B所示之化合物: 其中R1係選自甲基、乙基、異丙基、正丙基、第三丁基及第二丁基所組成的群組;及R3係選自亞甲基及伸乙基所組成的群組。
  3. 如申請專利範圍第1項之有機胺基矽烷,其為1-異丙基胺基-1,4-二矽雜丁烷。
  4. 如申請專利範圍第2項之有機胺基矽烷,其為5-異丙基-5-氮雜-1,4,6,9-四矽雜壬烷或5-第三丁基-5-氮雜-1,4,6,9-四矽雜壬烷。
TW105112666A 2013-09-20 2014-09-19 有機胺基矽烷前驅物及使用有機胺基矽烷前驅物的膜沉積方法 TWI582100B (zh)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US201361880261P 2013-09-20 2013-09-20
US14/483,751 US10453675B2 (en) 2013-09-20 2014-09-11 Organoaminosilane precursors and methods for depositing films comprising same

Publications (2)

Publication Number Publication Date
TW201629074A TW201629074A (zh) 2016-08-16
TWI582100B true TWI582100B (zh) 2017-05-11

Family

ID=51660307

Family Applications (4)

Application Number Title Priority Date Filing Date
TW106108209A TWI652278B (zh) 2013-09-20 2014-09-19 有機胺基矽烷的製備方法
TW103132507A TWI535729B (zh) 2013-09-20 2014-09-19 有機胺基矽烷前驅物及使用有機胺基矽烷前驅物的膜沉積方法
TW105112666A TWI582100B (zh) 2013-09-20 2014-09-19 有機胺基矽烷前驅物及使用有機胺基矽烷前驅物的膜沉積方法
TW104142370A TWI636988B (zh) 2013-09-20 2014-09-19 有機胺基矽烷化合物於原子層沉積及電漿強化原子層沉積中來形成一含矽膜的用途

Family Applications Before (2)

Application Number Title Priority Date Filing Date
TW106108209A TWI652278B (zh) 2013-09-20 2014-09-19 有機胺基矽烷的製備方法
TW103132507A TWI535729B (zh) 2013-09-20 2014-09-19 有機胺基矽烷前驅物及使用有機胺基矽烷前驅物的膜沉積方法

Family Applications After (1)

Application Number Title Priority Date Filing Date
TW104142370A TWI636988B (zh) 2013-09-20 2014-09-19 有機胺基矽烷化合物於原子層沉積及電漿強化原子層沉積中來形成一含矽膜的用途

Country Status (6)

Country Link
US (4) US10453675B2 (zh)
EP (4) EP3095788B1 (zh)
JP (6) JP2015096489A (zh)
KR (3) KR101749705B1 (zh)
CN (1) CN104672265B (zh)
TW (4) TWI652278B (zh)

Families Citing this family (255)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20130023129A1 (en) 2011-07-20 2013-01-24 Asm America, Inc. Pressure transmitter for a semiconductor processing environment
EP2875166B1 (en) 2012-07-20 2018-04-11 L'Air Liquide Société Anonyme pour l'Etude et l'Exploitation des Procédés Georges Claude Organosilane precursors for ald/cvd silicon-containing film applications
US10714315B2 (en) 2012-10-12 2020-07-14 Asm Ip Holdings B.V. Semiconductor reaction chamber showerhead
US20160376700A1 (en) 2013-02-01 2016-12-29 Asm Ip Holding B.V. System for treatment of deposition reactor
TW201509799A (zh) 2013-07-19 2015-03-16 Air Liquide 用於ald/cvd含矽薄膜應用之六配位含矽前驅物
US9382268B1 (en) 2013-07-19 2016-07-05 American Air Liquide, Inc. Sulfur containing organosilane precursors for ALD/CVD silicon-containing film applications
US10453675B2 (en) * 2013-09-20 2019-10-22 Versum Materials Us, Llc Organoaminosilane precursors and methods for depositing films comprising same
US9233990B2 (en) 2014-02-28 2016-01-12 Air Products And Chemicals, Inc. Organoaminosilanes and methods for making same
US20170190720A1 (en) * 2014-07-10 2017-07-06 L'Air Liquide, Société Anonyme pour I'Etude et I'Exploitation des Procédés Georges Claude Alkylamino-substituted carbosilane precursors
CN107002236B (zh) * 2014-09-23 2019-04-05 乔治洛德方法研究和开发液化空气有限公司 用于沉积含Si膜的碳硅烷取代的胺前体以及其方法
US10941490B2 (en) 2014-10-07 2021-03-09 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
US10570513B2 (en) 2014-12-13 2020-02-25 American Air Liquide, Inc. Organosilane precursors for ALD/CVD silicon-containing film applications and methods of using the same
US10276355B2 (en) 2015-03-12 2019-04-30 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
US10566187B2 (en) 2015-03-20 2020-02-18 Lam Research Corporation Ultrathin atomic layer deposition film accuracy thickness control
JP6484478B2 (ja) * 2015-03-25 2019-03-13 株式会社Kokusai Electric 半導体装置の製造方法、基板処理装置およびプログラム
TWI716333B (zh) * 2015-03-30 2021-01-11 法商液態空氣喬治斯克勞帝方法研究開發股份有限公司 碳矽烷與氨、胺類及脒類之觸媒去氫耦合
US10763103B2 (en) * 2015-03-31 2020-09-01 Versum Materials Us, Llc Boron-containing compounds, compositions, and methods for the deposition of a boron containing films
US9815858B2 (en) 2015-06-16 2017-11-14 Gelest Technologies, Inc. Hydridosilapyrroles, hydridosilaazapyrroles, thiasilacyclopentanes, method for preparation thereof, and reaction products therefrom
EP4092154A1 (en) * 2015-06-16 2022-11-23 Versum Materials US, LLC Processes for depositing silicon-containing films using same
US10458018B2 (en) 2015-06-26 2019-10-29 Asm Ip Holding B.V. Structures including metal carbide material, devices including the structures, and methods of forming same
KR102658085B1 (ko) * 2015-07-09 2024-04-16 레르 리키드 쏘시에떼 아노님 뿌르 레드 에렉스뿔라따시옹 데 프로세데 조르즈 클로드 알킬아미노 치환 할로카보실란 전구체
US10211308B2 (en) 2015-10-21 2019-02-19 Asm Ip Holding B.V. NbMC layers
US9786491B2 (en) 2015-11-12 2017-10-10 Asm Ip Holding B.V. Formation of SiOCN thin films
US9786492B2 (en) * 2015-11-12 2017-10-10 Asm Ip Holding B.V. Formation of SiOCN thin films
KR101786230B1 (ko) * 2015-12-01 2017-10-18 주식회사 포스코 내식성 및 에칭성이 우수한 금속 박판 및 그 제조방법
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
US10529554B2 (en) 2016-02-19 2020-01-07 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
TWI753794B (zh) 2016-03-23 2022-01-21 法商液態空氣喬治斯克勞帝方法研究開發股份有限公司 形成含矽膜之組成物及其製法與用途
US10367080B2 (en) 2016-05-02 2019-07-30 Asm Ip Holding B.V. Method of forming a germanium oxynitride film
KR102378021B1 (ko) 2016-05-06 2022-03-23 에이에스엠 아이피 홀딩 비.브이. SiOC 박막의 형성
US9859151B1 (en) 2016-07-08 2018-01-02 Asm Ip Holding B.V. Selective film deposition method to form air gaps
US10612137B2 (en) 2016-07-08 2020-04-07 Asm Ip Holdings B.V. Organic reactants for atomic layer deposition
US20180033614A1 (en) * 2016-07-27 2018-02-01 Versum Materials Us, Llc Compositions and Methods Using Same for Carbon Doped Silicon Containing Films
US9812320B1 (en) 2016-07-28 2017-11-07 Asm Ip Holding B.V. Method and apparatus for filling a gap
KR102532607B1 (ko) 2016-07-28 2023-05-15 에이에스엠 아이피 홀딩 비.브이. 기판 가공 장치 및 그 동작 방법
US9887082B1 (en) 2016-07-28 2018-02-06 Asm Ip Holding B.V. Method and apparatus for filling a gap
CN107794515B (zh) * 2016-09-01 2021-06-22 Asm Ip控股有限公司 通过形成基于烃的超薄膜对层进行保护的方法
US10703915B2 (en) 2016-09-19 2020-07-07 Versum Materials Us, Llc Compositions and methods for the deposition of silicon oxide films
CN109790036B (zh) * 2016-09-28 2022-11-04 美国陶氏有机硅公司 氯二硅氮烷
US10464953B2 (en) * 2016-10-14 2019-11-05 Versum Materials Us, Llc Carbon bridged aminosilane compounds for high growth rate silicon-containing films
JP2020502360A (ja) * 2016-10-25 2020-01-23 ビーエーエスエフ ソシエタス・ヨーロピアBasf Se ケイ素含有薄膜の生成方法
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
US10714350B2 (en) 2016-11-01 2020-07-14 ASM IP Holdings, B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US11735413B2 (en) 2016-11-01 2023-08-22 Versum Materials Us, Llc Precursors and flowable CVD methods for making low-k films to fill surface features
US10249489B2 (en) * 2016-11-02 2019-04-02 Versum Materials Us, Llc Use of silyl bridged alkyl compounds for dense OSG films
KR102546317B1 (ko) 2016-11-15 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기체 공급 유닛 및 이를 포함하는 기판 처리 장치
KR20180068582A (ko) 2016-12-14 2018-06-22 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11447861B2 (en) 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
US11581186B2 (en) 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
US10269558B2 (en) 2016-12-22 2019-04-23 Asm Ip Holding B.V. Method of forming a structure on a substrate
US11390950B2 (en) 2017-01-10 2022-07-19 Asm Ip Holding B.V. Reactor system and method to reduce residue buildup during a film deposition process
US11591692B2 (en) 2017-02-08 2023-02-28 Versum Materials Us, Llc Organoamino-polysiloxanes for deposition of silicon-containing films
US10822458B2 (en) 2017-02-08 2020-11-03 Versum Materials Us, Llc Organoamino-functionalized linear and cyclic oligosiloxanes for deposition of silicon-containing films
US10176984B2 (en) 2017-02-14 2019-01-08 Lam Research Corporation Selective deposition of silicon oxide
US10468261B2 (en) 2017-02-15 2019-11-05 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
US10242866B2 (en) 2017-03-08 2019-03-26 Lam Research Corporation Selective deposition of silicon nitride on silicon oxide using catalytic control
EP3596254A4 (en) * 2017-03-17 2020-12-30 Versum Materials US, LLC SELECTIVE DEPOSITION ON SILICON-CONTAINING SURFACES
US10847529B2 (en) 2017-04-13 2020-11-24 Asm Ip Holding B.V. Substrate processing method and device manufactured by the same
US10559461B2 (en) 2017-04-19 2020-02-11 Lam Research Corporation Selective deposition with atomic layer etch reset
JP7249952B2 (ja) 2017-05-05 2023-03-31 エーエスエム アイピー ホールディング ビー.ブイ. 酸素含有薄膜の制御された形成のためのプラズマ増強堆積プロセス
US10770286B2 (en) 2017-05-08 2020-09-08 Asm Ip Holdings B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
KR20190009245A (ko) 2017-07-18 2019-01-28 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 구조물 형성 방법 및 관련된 반도체 소자 구조물
US11374112B2 (en) 2017-07-19 2022-06-28 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US10590535B2 (en) 2017-07-26 2020-03-17 Asm Ip Holdings B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
TWI784022B (zh) * 2017-07-31 2022-11-21 中國大陸商南大光電半導體材料有限公司 1,1,1-參(二甲胺基)二矽烷及其製備方法
US10770336B2 (en) 2017-08-08 2020-09-08 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US10692741B2 (en) 2017-08-08 2020-06-23 Asm Ip Holdings B.V. Radiation shield
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
KR102491945B1 (ko) 2017-08-30 2023-01-26 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
US11056344B2 (en) 2017-08-30 2021-07-06 Asm Ip Holding B.V. Layer forming method
US11049714B2 (en) * 2017-09-19 2021-06-29 Versum Materials Us, Llc Silyl substituted organoamines as precursors for high growth rate silicon-containing films
US10658205B2 (en) 2017-09-28 2020-05-19 Asm Ip Holdings B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
US10403504B2 (en) 2017-10-05 2019-09-03 Asm Ip Holding B.V. Method for selectively depositing a metallic film on a substrate
US10460930B2 (en) * 2017-11-22 2019-10-29 Lam Research Corporation Selective growth of SiO2 on dielectric surfaces in the presence of copper
JP7206265B2 (ja) 2017-11-27 2023-01-17 エーエスエム アイピー ホールディング ビー.ブイ. クリーン・ミニエンバイロメントを備える装置
CN111316417B (zh) 2017-11-27 2023-12-22 阿斯莫Ip控股公司 与批式炉偕同使用的用于储存晶圆匣的储存装置
TWI761636B (zh) 2017-12-04 2022-04-21 荷蘭商Asm Ip控股公司 電漿增強型原子層沉積製程及沉積碳氧化矽薄膜的方法
US10872771B2 (en) 2018-01-16 2020-12-22 Asm Ip Holding B. V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
CN111630203A (zh) 2018-01-19 2020-09-04 Asm Ip私人控股有限公司 通过等离子体辅助沉积来沉积间隙填充层的方法
TWI799494B (zh) 2018-01-19 2023-04-21 荷蘭商Asm 智慧財產控股公司 沈積方法
US11098069B2 (en) 2018-01-30 2021-08-24 Versum Materials Us, Llc Organoamino-functionalized cyclic oligosiloxanes for deposition of silicon-containing films
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
US10896820B2 (en) 2018-02-14 2021-01-19 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
US11685991B2 (en) 2018-02-14 2023-06-27 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
KR102636427B1 (ko) 2018-02-20 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 장치
US10975470B2 (en) 2018-02-23 2021-04-13 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
KR20200118504A (ko) 2018-03-02 2020-10-15 램 리써치 코포레이션 가수분해를 사용한 선택적인 증착
US11629406B2 (en) 2018-03-09 2023-04-18 Asm Ip Holding B.V. Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate
US11114283B2 (en) 2018-03-16 2021-09-07 Asm Ip Holding B.V. Reactor, system including the reactor, and methods of manufacturing and using same
KR102646467B1 (ko) 2018-03-27 2024-03-11 에이에스엠 아이피 홀딩 비.브이. 기판 상에 전극을 형성하는 방법 및 전극을 포함하는 반도체 소자 구조
US11230766B2 (en) 2018-03-29 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
SG10201903201XA (en) 2018-04-11 2019-11-28 Versum Materials Us Llc Organoamino-functionalized cyclic oligosiloxanes for deposition of silicon-containing films
KR20190128558A (ko) 2018-05-08 2019-11-18 에이에스엠 아이피 홀딩 비.브이. 기판 상에 산화물 막을 주기적 증착 공정에 의해 증착하기 위한 방법 및 관련 소자 구조
KR102596988B1 (ko) 2018-05-28 2023-10-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 그에 의해 제조된 장치
US11270899B2 (en) 2018-06-04 2022-03-08 Asm Ip Holding B.V. Wafer handling chamber with moisture reduction
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
US11286562B2 (en) 2018-06-08 2022-03-29 Asm Ip Holding B.V. Gas-phase chemical reactor and method of using same
US10797133B2 (en) 2018-06-21 2020-10-06 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
KR102568797B1 (ko) 2018-06-21 2023-08-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 시스템
WO2020003000A1 (en) 2018-06-27 2020-01-02 Asm Ip Holding B.V. Cyclic deposition methods for forming metal-containing material and films and structures including the metal-containing material
KR20210024462A (ko) 2018-06-27 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 금속 함유 재료를 형성하기 위한 주기적 증착 방법 및 금속 함유 재료를 포함하는 필름 및 구조체
US10612136B2 (en) 2018-06-29 2020-04-07 ASM IP Holding, B.V. Temperature-controlled flange and reactor system including same
US10388513B1 (en) 2018-07-03 2019-08-20 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10755922B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US10985010B2 (en) * 2018-08-29 2021-04-20 Versum Materials Us, Llc Methods for making silicon and nitrogen containing films
KR20200030162A (ko) 2018-09-11 2020-03-20 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
US11024523B2 (en) 2018-09-11 2021-06-01 Asm Ip Holding B.V. Substrate processing apparatus and method
CN110970344A (zh) 2018-10-01 2020-04-07 Asm Ip控股有限公司 衬底保持设备、包含所述设备的系统及其使用方法
US11232963B2 (en) 2018-10-03 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102592699B1 (ko) 2018-10-08 2023-10-23 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 박막 증착 장치와 기판 처리 장치
KR102546322B1 (ko) 2018-10-19 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
KR102605121B1 (ko) 2018-10-19 2023-11-23 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
US10943791B2 (en) * 2018-10-31 2021-03-09 Taiwan Semiconductor Manufacturing Co., Ltd. Pattern formation method and method for manufacturing a semiconductor device
US11087997B2 (en) 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
KR20200051105A (ko) 2018-11-02 2020-05-13 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 기판 처리 장치
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US10847366B2 (en) 2018-11-16 2020-11-24 Asm Ip Holding B.V. Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process
US10818758B2 (en) 2018-11-16 2020-10-27 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US11217444B2 (en) 2018-11-30 2022-01-04 Asm Ip Holding B.V. Method for forming an ultraviolet radiation responsive metal oxide-containing film
KR102636428B1 (ko) 2018-12-04 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치를 세정하는 방법
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
JP2020096183A (ja) 2018-12-14 2020-06-18 エーエスエム・アイピー・ホールディング・ベー・フェー 窒化ガリウムの選択的堆積を用いてデバイス構造体を形成する方法及びそのためのシステム
TWI819180B (zh) 2019-01-17 2023-10-21 荷蘭商Asm 智慧財產控股公司 藉由循環沈積製程於基板上形成含過渡金屬膜之方法
KR20200091543A (ko) 2019-01-22 2020-07-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
CN111524788B (zh) 2019-02-01 2023-11-24 Asm Ip私人控股有限公司 氧化硅的拓扑选择性膜形成的方法
CN113383108A (zh) * 2019-02-05 2021-09-10 弗萨姆材料美国有限责任公司 碳掺杂氧化硅的沉积
KR102626263B1 (ko) 2019-02-20 2024-01-16 에이에스엠 아이피 홀딩 비.브이. 처리 단계를 포함하는 주기적 증착 방법 및 이를 위한 장치
TW202104632A (zh) 2019-02-20 2021-02-01 荷蘭商Asm Ip私人控股有限公司 用來填充形成於基材表面內之凹部的循環沉積方法及設備
KR20200102357A (ko) 2019-02-20 2020-08-31 에이에스엠 아이피 홀딩 비.브이. 3-d nand 응용의 플러그 충진체 증착용 장치 및 방법
JP2020136678A (ja) 2019-02-20 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材表面内に形成された凹部を充填するための方法および装置
JP2020133004A (ja) 2019-02-22 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材を処理するための基材処理装置および方法
KR20200108243A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOC 층을 포함한 구조체 및 이의 형성 방법
KR20200108248A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOCN 층을 포함한 구조체 및 이의 형성 방법
KR20200108242A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. 실리콘 질화물 층을 선택적으로 증착하는 방법, 및 선택적으로 증착된 실리콘 질화물 층을 포함하는 구조체
KR20200116033A (ko) 2019-03-28 2020-10-08 에이에스엠 아이피 홀딩 비.브이. 도어 개방기 및 이를 구비한 기판 처리 장치
KR20200116855A (ko) 2019-04-01 2020-10-13 에이에스엠 아이피 홀딩 비.브이. 반도체 소자를 제조하는 방법
US20210017198A1 (en) 2019-04-05 2021-01-21 Versum Materials Us, Llc Organoamino-Functionalized Cyclic Oligosiloxanes For Deposition Of Silicon-Containing Films
US11447864B2 (en) 2019-04-19 2022-09-20 Asm Ip Holding B.V. Layer forming method and apparatus
KR20200125453A (ko) 2019-04-24 2020-11-04 에이에스엠 아이피 홀딩 비.브이. 기상 반응기 시스템 및 이를 사용하는 방법
WO2020219349A1 (en) * 2019-04-25 2020-10-29 Versum Materials Us, Llc Organoaminodisilazanes for high temperature atomic layer deposition of silicon oxide thin films
KR20200130121A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 딥 튜브가 있는 화학물질 공급원 용기
KR20200130118A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 비정질 탄소 중합체 막을 개질하는 방법
KR20200130652A (ko) 2019-05-10 2020-11-19 에이에스엠 아이피 홀딩 비.브이. 표면 상에 재료를 증착하는 방법 및 본 방법에 따라 형성된 구조
JP2020188254A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
JP2020188255A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
USD935572S1 (en) 2019-05-24 2021-11-09 Asm Ip Holding B.V. Gas channel plate
KR20200141003A (ko) 2019-06-06 2020-12-17 에이에스엠 아이피 홀딩 비.브이. 가스 감지기를 포함하는 기상 반응기 시스템
KR20200143254A (ko) 2019-06-11 2020-12-23 에이에스엠 아이피 홀딩 비.브이. 개질 가스를 사용하여 전자 구조를 형성하는 방법, 상기 방법을 수행하기 위한 시스템, 및 상기 방법을 사용하여 형성되는 구조
USD944946S1 (en) 2019-06-14 2022-03-01 Asm Ip Holding B.V. Shower plate
USD931978S1 (en) 2019-06-27 2021-09-28 Asm Ip Holding B.V. Showerhead vacuum transport
KR20210005515A (ko) 2019-07-03 2021-01-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치용 온도 제어 조립체 및 이를 사용하는 방법
JP2021015791A (ja) 2019-07-09 2021-02-12 エーエスエム アイピー ホールディング ビー.ブイ. 同軸導波管を用いたプラズマ装置、基板処理方法
CN112216646A (zh) 2019-07-10 2021-01-12 Asm Ip私人控股有限公司 基板支撑组件及包括其的基板处理装置
KR20210010307A (ko) 2019-07-16 2021-01-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210010816A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 라디칼 보조 점화 플라즈마 시스템 및 방법
KR20210010820A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 실리콘 게르마늄 구조를 형성하는 방법
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
CN112242296A (zh) 2019-07-19 2021-01-19 Asm Ip私人控股有限公司 形成拓扑受控的无定形碳聚合物膜的方法
CN112309843A (zh) 2019-07-29 2021-02-02 Asm Ip私人控股有限公司 实现高掺杂剂掺入的选择性沉积方法
CN112309899A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
CN112309900A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
CN112323048B (zh) 2019-08-05 2024-02-09 Asm Ip私人控股有限公司 用于化学源容器的液位传感器
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
JP2021031769A (ja) 2019-08-21 2021-03-01 エーエスエム アイピー ホールディング ビー.ブイ. 成膜原料混合ガス生成装置及び成膜装置
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
USD949319S1 (en) 2019-08-22 2022-04-19 Asm Ip Holding B.V. Exhaust duct
USD930782S1 (en) 2019-08-22 2021-09-14 Asm Ip Holding B.V. Gas distributor
USD940837S1 (en) 2019-08-22 2022-01-11 Asm Ip Holding B.V. Electrode
KR20210024423A (ko) 2019-08-22 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 홀을 구비한 구조체를 형성하기 위한 방법
KR20210024420A (ko) 2019-08-23 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 비스(디에틸아미노)실란을 사용하여 peald에 의해 개선된 품질을 갖는 실리콘 산화물 막을 증착하기 위한 방법
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
KR20210029090A (ko) 2019-09-04 2021-03-15 에이에스엠 아이피 홀딩 비.브이. 희생 캡핑 층을 이용한 선택적 증착 방법
KR20210029663A (ko) 2019-09-05 2021-03-16 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
CN112593212B (zh) 2019-10-02 2023-12-22 Asm Ip私人控股有限公司 通过循环等离子体增强沉积工艺形成拓扑选择性氧化硅膜的方法
TW202129060A (zh) 2019-10-08 2021-08-01 荷蘭商Asm Ip控股公司 基板處理裝置、及基板處理方法
TW202115273A (zh) 2019-10-10 2021-04-16 荷蘭商Asm Ip私人控股有限公司 形成光阻底層之方法及包括光阻底層之結構
KR20210045930A (ko) 2019-10-16 2021-04-27 에이에스엠 아이피 홀딩 비.브이. 실리콘 산화물의 토폴로지-선택적 막의 형성 방법
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
KR20210047808A (ko) 2019-10-21 2021-04-30 에이에스엠 아이피 홀딩 비.브이. 막을 선택적으로 에칭하기 위한 장치 및 방법
KR20210050453A (ko) 2019-10-25 2021-05-07 에이에스엠 아이피 홀딩 비.브이. 기판 표면 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
KR20210054983A (ko) 2019-11-05 2021-05-14 에이에스엠 아이피 홀딩 비.브이. 도핑된 반도체 층을 갖는 구조체 및 이를 형성하기 위한 방법 및 시스템
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
KR20210062561A (ko) 2019-11-20 2021-05-31 에이에스엠 아이피 홀딩 비.브이. 기판의 표면 상에 탄소 함유 물질을 증착하는 방법, 상기 방법을 사용하여 형성된 구조물, 및 상기 구조물을 형성하기 위한 시스템
KR20210065848A (ko) 2019-11-26 2021-06-04 에이에스엠 아이피 홀딩 비.브이. 제1 유전체 표면과 제2 금속성 표면을 포함한 기판 상에 타겟 막을 선택적으로 형성하기 위한 방법
CN112951697A (zh) 2019-11-26 2021-06-11 Asm Ip私人控股有限公司 基板处理设备
CN112885692A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
CN112885693A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
JP2021090042A (ja) 2019-12-02 2021-06-10 エーエスエム アイピー ホールディング ビー.ブイ. 基板処理装置、基板処理方法
KR20210070898A (ko) 2019-12-04 2021-06-15 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210078405A (ko) 2019-12-17 2021-06-28 에이에스엠 아이피 홀딩 비.브이. 바나듐 나이트라이드 층을 형성하는 방법 및 바나듐 나이트라이드 층을 포함하는 구조
KR20210080214A (ko) 2019-12-19 2021-06-30 에이에스엠 아이피 홀딩 비.브이. 기판 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
US11499014B2 (en) 2019-12-31 2022-11-15 L'Air Liquide, Société Anonyme pour l'Etude et l'Exploitation des Procédés Georges Claude Cureable formulations for forming low-k dielectric silicon-containing films using polycarbosilazane
JP2021109175A (ja) 2020-01-06 2021-08-02 エーエスエム・アイピー・ホールディング・ベー・フェー ガス供給アセンブリ、その構成要素、およびこれを含む反応器システム
US11993847B2 (en) 2020-01-08 2024-05-28 Asm Ip Holding B.V. Injector
KR20210095050A (ko) 2020-01-20 2021-07-30 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법 및 박막 표면 개질 방법
TW202130846A (zh) 2020-02-03 2021-08-16 荷蘭商Asm Ip私人控股有限公司 形成包括釩或銦層的結構之方法
TW202146882A (zh) 2020-02-04 2021-12-16 荷蘭商Asm Ip私人控股有限公司 驗證一物品之方法、用於驗證一物品之設備、及用於驗證一反應室之系統
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
US11781243B2 (en) 2020-02-17 2023-10-10 Asm Ip Holding B.V. Method for depositing low temperature phosphorous-doped silicon
US20210265158A1 (en) * 2020-02-25 2021-08-26 Asm Ip Holding B.V. Method of forming low-k material layer, structure including the layer, and system for forming same
TW202203344A (zh) 2020-02-28 2022-01-16 荷蘭商Asm Ip控股公司 專用於零件清潔的系統
KR20210116240A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 조절성 접합부를 갖는 기판 핸들링 장치
US11876356B2 (en) 2020-03-11 2024-01-16 Asm Ip Holding B.V. Lockout tagout assembly and system and method of using same
KR20210117157A (ko) 2020-03-12 2021-09-28 에이에스엠 아이피 홀딩 비.브이. 타겟 토폴로지 프로파일을 갖는 층 구조를 제조하기 위한 방법
KR20210124042A (ko) 2020-04-02 2021-10-14 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법
EP4110968A4 (en) 2020-04-02 2023-09-13 Versum Materials US, LLC ORGANOAMINO-FUNCTIONALIZED CYCLIC OLIGOSILOXANES FOR THE DEPOSITION OF SILICON-CONTAINING FILM
TW202146689A (zh) 2020-04-03 2021-12-16 荷蘭商Asm Ip控股公司 阻障層形成方法及半導體裝置的製造方法
TW202145344A (zh) 2020-04-08 2021-12-01 荷蘭商Asm Ip私人控股有限公司 用於選擇性蝕刻氧化矽膜之設備及方法
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
US11996289B2 (en) 2020-04-16 2024-05-28 Asm Ip Holding B.V. Methods of forming structures including silicon germanium and silicon layers, devices formed using the methods, and systems for performing the methods
TW202140831A (zh) 2020-04-24 2021-11-01 荷蘭商Asm Ip私人控股有限公司 形成含氮化釩層及包含該層的結構之方法
KR20210132600A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐, 질소 및 추가 원소를 포함한 층을 증착하기 위한 방법 및 시스템
TW202146831A (zh) 2020-04-24 2021-12-16 荷蘭商Asm Ip私人控股有限公司 垂直批式熔爐總成、及用於冷卻垂直批式熔爐之方法
KR20210134226A (ko) 2020-04-29 2021-11-09 에이에스엠 아이피 홀딩 비.브이. 고체 소스 전구체 용기
KR20210134869A (ko) 2020-05-01 2021-11-11 에이에스엠 아이피 홀딩 비.브이. Foup 핸들러를 이용한 foup의 빠른 교환
KR20210141379A (ko) 2020-05-13 2021-11-23 에이에스엠 아이피 홀딩 비.브이. 반응기 시스템용 레이저 정렬 고정구
KR20210143653A (ko) 2020-05-19 2021-11-29 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210145078A (ko) 2020-05-21 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 다수의 탄소 층을 포함한 구조체 및 이를 형성하고 사용하는 방법
TW202200837A (zh) 2020-05-22 2022-01-01 荷蘭商Asm Ip私人控股有限公司 用於在基材上形成薄膜之反應系統
TW202201602A (zh) 2020-05-29 2022-01-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202218133A (zh) 2020-06-24 2022-05-01 荷蘭商Asm Ip私人控股有限公司 形成含矽層之方法
TW202217953A (zh) 2020-06-30 2022-05-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
KR20220010438A (ko) 2020-07-17 2022-01-25 에이에스엠 아이피 홀딩 비.브이. 포토리소그래피에 사용하기 위한 구조체 및 방법
TW202204662A (zh) 2020-07-20 2022-02-01 荷蘭商Asm Ip私人控股有限公司 用於沉積鉬層之方法及系統
TW202212623A (zh) 2020-08-26 2022-04-01 荷蘭商Asm Ip私人控股有限公司 形成金屬氧化矽層及金屬氮氧化矽層的方法、半導體結構、及系統
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
TW202229613A (zh) 2020-10-14 2022-08-01 荷蘭商Asm Ip私人控股有限公司 於階梯式結構上沉積材料的方法
KR20220053482A (ko) 2020-10-22 2022-04-29 에이에스엠 아이피 홀딩 비.브이. 바나듐 금속을 증착하는 방법, 구조체, 소자 및 증착 어셈블리
TW202223136A (zh) 2020-10-28 2022-06-16 荷蘭商Asm Ip私人控股有限公司 用於在基板上形成層之方法、及半導體處理系統
TW202235675A (zh) 2020-11-30 2022-09-16 荷蘭商Asm Ip私人控股有限公司 注入器、及基板處理設備
US11946137B2 (en) 2020-12-16 2024-04-02 Asm Ip Holding B.V. Runout and wobble measurement fixtures
TW202231903A (zh) 2020-12-22 2022-08-16 荷蘭商Asm Ip私人控股有限公司 過渡金屬沉積方法、過渡金屬層、用於沉積過渡金屬於基板上的沉積總成
WO2022164698A1 (en) * 2021-01-26 2022-08-04 Entegris, Inc. High throughput deposition process
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
USD1023959S1 (en) 2021-05-11 2024-04-23 Asm Ip Holding B.V. Electrode for substrate processing apparatus
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
KR20240050393A (ko) * 2021-08-25 2024-04-18 엔테그리스, 아이엔씨. 규소 전구체
KR20240046610A (ko) * 2021-08-30 2024-04-09 엔테그리스, 아이엔씨. 규소 전구체 물질, 규소-함유 필름, 및 관련 방법
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate
WO2023220650A1 (en) * 2022-05-13 2023-11-16 Versum Materials Us, Llc Compositions and methods using same for carbon doped silicon containing films

Citations (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2002158223A (ja) * 2000-11-17 2002-05-31 Tri Chemical Laboratory Inc 膜形成材料、膜形成方法、及び素子
US20130206039A1 (en) * 2012-02-10 2013-08-15 Tokyo Ohka Kogyo Co., Ltd. Surface treatment agent and surface treatment method

Family Cites Families (22)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
FR2598520B1 (fr) 1986-01-21 1994-01-28 Seiko Epson Corp Pellicule protectrice minerale
EP1026152B1 (en) * 1997-03-31 2006-07-26 Daikin Industries, Limited Process for producing perfluorovinyl ethersulfonic acid derivatives
IT1301973B1 (it) * 1998-07-31 2000-07-20 Ausimont Spa Idro-fluoroalchilvinileteri e procedimento per il loro ottenimento
JP2003151972A (ja) 2001-11-15 2003-05-23 Tri Chemical Laboratory Inc 酸化膜、酸化膜形成方法、半導体素子
JP2004018454A (ja) * 2002-06-14 2004-01-22 Daikin Ind Ltd 含フッ素フルオロスルフォニルアルキルビニルエーテル製造方法
US7125582B2 (en) 2003-07-30 2006-10-24 Intel Corporation Low-temperature silicon nitride deposition
US7875556B2 (en) 2005-05-16 2011-01-25 Air Products And Chemicals, Inc. Precursors for CVD silicon carbo-nitride and silicon nitride films
US7875312B2 (en) 2006-05-23 2011-01-25 Air Products And Chemicals, Inc. Process for producing silicon oxide films for organoaminosilane precursors
US8071160B2 (en) 2007-10-29 2011-12-06 Integrated Surface Technologies Surface coating process
JPWO2010093041A1 (ja) 2009-02-16 2012-08-16 三菱樹脂株式会社 ガスバリア性積層フィルムの製造方法
CN102247821B (zh) 2010-05-21 2013-06-26 月旭材料科技(上海)有限公司 高pH耐受性色谱填料及制备方法
US8912353B2 (en) * 2010-06-02 2014-12-16 Air Products And Chemicals, Inc. Organoaminosilane precursors and methods for depositing films comprising same
US8771807B2 (en) * 2011-05-24 2014-07-08 Air Products And Chemicals, Inc. Organoaminosilane precursors and methods for making and using same
US8993072B2 (en) 2011-09-27 2015-03-31 Air Products And Chemicals, Inc. Halogenated organoaminosilane precursors and methods for depositing films comprising same
JP5596654B2 (ja) 2011-10-14 2014-09-24 丸善製薬株式会社 リパーゼ阻害剤
US20130224964A1 (en) 2012-02-28 2013-08-29 Asm Ip Holding B.V. Method for Forming Dielectric Film Containing Si-C bonds by Atomic Layer Deposition Using Precursor Containing Si-C-Si bond
US11577179B2 (en) 2013-06-11 2023-02-14 Waters Technologies Corporation Chromatographic columns and separation devices comprising a superficially porous material; and use thereof for supercritical fluid chromatography and other chromatography
US9796739B2 (en) * 2013-06-26 2017-10-24 Versum Materials Us, Llc AZA-polysilane precursors and methods for depositing films comprising same
US10453675B2 (en) * 2013-09-20 2019-10-22 Versum Materials Us, Llc Organoaminosilane precursors and methods for depositing films comprising same
US9233990B2 (en) * 2014-02-28 2016-01-12 Air Products And Chemicals, Inc. Organoaminosilanes and methods for making same
US20170190720A1 (en) 2014-07-10 2017-07-06 L'Air Liquide, Société Anonyme pour I'Etude et I'Exploitation des Procédés Georges Claude Alkylamino-substituted carbosilane precursors
CN107002236B (zh) * 2014-09-23 2019-04-05 乔治洛德方法研究和开发液化空气有限公司 用于沉积含Si膜的碳硅烷取代的胺前体以及其方法

Patent Citations (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2002158223A (ja) * 2000-11-17 2002-05-31 Tri Chemical Laboratory Inc 膜形成材料、膜形成方法、及び素子
US20130206039A1 (en) * 2012-02-10 2013-08-15 Tokyo Ohka Kogyo Co., Ltd. Surface treatment agent and surface treatment method

Non-Patent Citations (2)

* Cited by examiner, † Cited by third party
Title
Mitzel et al., "Synthesis of Volatile Cyclic Silylamines and the Molecular Structures of Two 1-Aza-2,5-disilacyclopentane Derivatives", Inorganic Chemistry, 1997, 36(20), pp 4360-4368. *
Schmidbaur and Schuh, "Differences in Reactivity of 1,4-Disilabutane and n-Tetrasilane towards Secondary Amines", Zeitschrift für Naturforschung B, 1990, Vol.45, No.12, pages 1679-1683. *

Also Published As

Publication number Publication date
US10460929B2 (en) 2019-10-29
EP3594219B1 (en) 2023-01-25
EP3339312A1 (en) 2018-06-27
JP6928035B2 (ja) 2021-09-01
CN104672265A (zh) 2015-06-03
TW201512210A (zh) 2015-04-01
EP3339312B1 (en) 2021-05-12
TWI652278B (zh) 2019-03-01
US20210407793A1 (en) 2021-12-30
US10453675B2 (en) 2019-10-22
KR101749705B1 (ko) 2017-06-23
TW201609767A (zh) 2016-03-16
JP2021185150A (ja) 2021-12-09
JP2023145538A (ja) 2023-10-11
US20200051811A1 (en) 2020-02-13
JP2015096489A (ja) 2015-05-21
TW201629074A (zh) 2016-08-16
US20170207084A1 (en) 2017-07-20
TWI535729B (zh) 2016-06-01
KR20150032816A (ko) 2015-03-30
EP2860182A2 (en) 2015-04-15
EP2860182B1 (en) 2020-01-22
EP3095788A2 (en) 2016-11-23
TWI636988B (zh) 2018-10-01
KR20170073562A (ko) 2017-06-28
US20150087139A1 (en) 2015-03-26
US11139162B2 (en) 2021-10-05
JP6777680B2 (ja) 2020-10-28
JP2018150312A (ja) 2018-09-27
JP2019194226A (ja) 2019-11-07
EP3594219A1 (en) 2020-01-15
EP2860182A3 (en) 2015-08-05
JP2017125017A (ja) 2017-07-20
JP6588480B2 (ja) 2019-10-09
EP3095788A3 (en) 2017-04-05
TW201722968A (zh) 2017-07-01
EP3095788B1 (en) 2019-07-03
CN104672265B (zh) 2020-10-30
KR20160080099A (ko) 2016-07-07

Similar Documents

Publication Publication Date Title
TWI582100B (zh) 有機胺基矽烷前驅物及使用有機胺基矽烷前驅物的膜沉積方法
TWI636987B (zh) 氮雜-多矽烷前驅物及包含氮雜-多矽烷前驅物的膜沉積方法
TWI491760B (zh) 有機胺基矽烷前驅物及其膜的沉積方法
TWI516497B (zh) 烷氧基胺基矽烷化合物及其應用
JP2015181191A (ja) 有機アミノジシラン前駆体、及びそれを含む膜の堆積方法
TW201422630A (zh) 烷氧基甲矽烷基胺化合物及其應用
JP7193478B2 (ja) 高成長速度ケイ素含有膜の前駆体としての官能化シクロシラザン
JP2019533764A (ja) 高成長速度のケイ素含有膜のための炭素架橋アミノシラン化合物
TW201713671A (zh) 有機胺基二矽烷前驅物及其用於沉積膜的方法