US10460929B2 - Organoaminosilane precursors and methods for depositing films comprising same - Google Patents

Organoaminosilane precursors and methods for depositing films comprising same Download PDF

Info

Publication number
US10460929B2
US10460929B2 US15/479,893 US201715479893A US10460929B2 US 10460929 B2 US10460929 B2 US 10460929B2 US 201715479893 A US201715479893 A US 201715479893A US 10460929 B2 US10460929 B2 US 10460929B2
Authority
US
United States
Prior art keywords
group
branched
linear
disilabutane
silicon
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Active
Application number
US15/479,893
Other versions
US20170207084A1 (en
Inventor
Mark Leonard O'Neill
Manchao Xiao
Xinjian Lei
Richard Ho
Haripin Chandra
Matthew R. MacDonald
Meiliang Wang
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Versum Materials US LLC
Original Assignee
Versum Materials US LLC
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Versum Materials US LLC filed Critical Versum Materials US LLC
Priority to US15/479,893 priority Critical patent/US10460929B2/en
Assigned to VERSUM MATERIALS US, LLC. reassignment VERSUM MATERIALS US, LLC. ASSIGNMENT OF ASSIGNORS INTEREST (SEE DOCUMENT FOR DETAILS). Assignors: ONEILL, MARK LEONARD, HO, RICHARD, CHANDRA, Haripin, LEI, XINJIAN, MACDONALD, MATTHEW R, WANG, Meiliang, XIAO, MANCHAO
Publication of US20170207084A1 publication Critical patent/US20170207084A1/en
Application granted granted Critical
Publication of US10460929B2 publication Critical patent/US10460929B2/en
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02205Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition
    • H01L21/02208Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si
    • H01L21/02219Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si the compound comprising silicon and nitrogen
    • CCHEMISTRY; METALLURGY
    • C07ORGANIC CHEMISTRY
    • C07FACYCLIC, CARBOCYCLIC OR HETEROCYCLIC COMPOUNDS CONTAINING ELEMENTS OTHER THAN CARBON, HYDROGEN, HALOGEN, OXYGEN, NITROGEN, SULFUR, SELENIUM OR TELLURIUM
    • C07F7/00Compounds containing elements of Groups 4 or 14 of the Periodic System
    • C07F7/02Silicon compounds
    • C07F7/08Compounds having one or more C—Si linkages
    • C07F7/10Compounds having one or more C—Si linkages containing nitrogen having a Si-N linkage
    • CCHEMISTRY; METALLURGY
    • C07ORGANIC CHEMISTRY
    • C07FACYCLIC, CARBOCYCLIC OR HETEROCYCLIC COMPOUNDS CONTAINING ELEMENTS OTHER THAN CARBON, HYDROGEN, HALOGEN, OXYGEN, NITROGEN, SULFUR, SELENIUM OR TELLURIUM
    • C07F9/00Compounds containing elements of Groups 5 or 15 of the Periodic System
    • C07F9/66Arsenic compounds
    • C07F9/70Organo-arsenic compounds
    • C07F9/74Aromatic compounds
    • C07F9/78Aromatic compounds containing amino groups
    • CCHEMISTRY; METALLURGY
    • C09DYES; PAINTS; POLISHES; NATURAL RESINS; ADHESIVES; COMPOSITIONS NOT OTHERWISE PROVIDED FOR; APPLICATIONS OF MATERIALS NOT OTHERWISE PROVIDED FOR
    • C09DCOATING COMPOSITIONS, e.g. PAINTS, VARNISHES OR LACQUERS; FILLING PASTES; CHEMICAL PAINT OR INK REMOVERS; INKS; CORRECTING FLUIDS; WOODSTAINS; PASTES OR SOLIDS FOR COLOURING OR PRINTING; USE OF MATERIALS THEREFOR
    • C09D5/00Coating compositions, e.g. paints, varnishes or lacquers, characterised by their physical nature or the effects produced; Filling pastes
    • C09D5/24Electrically-conducting paints
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/06Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of metallic material
    • C23C16/18Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of metallic material from metallo-organic compounds
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/24Deposition of silicon only
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45553Atomic layer deposition [ALD] characterized by the use of precursors specially adapted for ALD
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/50Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges
    • C23C16/513Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges using plasma jets
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/02126Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material containing Si, O, and at least one of H, N, C, F, or other non-metal elements, e.g. SiOC, SiOC:H or SiONC
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/02126Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material containing Si, O, and at least one of H, N, C, F, or other non-metal elements, e.g. SiOC, SiOC:H or SiONC
    • H01L21/0214Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material containing Si, O, and at least one of H, N, C, F, or other non-metal elements, e.g. SiOC, SiOC:H or SiONC the material being a silicon oxynitride, e.g. SiON or SiON:H
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/02164Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material being a silicon oxide, e.g. SiO2
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/02167Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material being a silicon carbide not containing oxygen, e.g. SiC, SiC:H or silicon carbonitrides
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/0217Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material being a silicon nitride not containing oxygen, e.g. SixNy or SixByNz
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02205Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition
    • H01L21/02208Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si
    • H01L21/02211Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si the compound being a silane, e.g. disilane, methylsilane or chlorosilane
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/02274Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition in the presence of a plasma [PECVD]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/0228Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition deposition by cyclic CVD, e.g. ALD, ALE, pulsed CVD
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02518Deposited layers
    • H01L21/02521Materials
    • H01L21/02524Group 14 semiconducting materials
    • H01L21/02532Silicon, silicon germanium, germanium
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02518Deposited layers
    • H01L21/02587Structure
    • H01L21/0259Microstructure
    • H01L21/02592Microstructure amorphous
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02518Deposited layers
    • H01L21/02587Structure
    • H01L21/0259Microstructure
    • H01L21/02598Microstructure monocrystalline
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02612Formation types
    • H01L21/02617Deposition types
    • H01L21/0262Reduction or decomposition of gaseous compounds, e.g. CVD

Definitions

  • Precursors, particularly organoaminosilane, and compositions thereof that can be used for the deposition of silicon-containing films including but not limited to, amorphous silicon, crystalline silicon, silicon nitride, silicon oxide, carbon doped silicon oxide, silicon carbo-nitride, and silicon oxynitride films are described herein.
  • amorphous silicon, crystalline silicon, silicon nitride, silicon oxide, carbon doped silicon oxide, silicon carbo-nitride, and silicon oxynitride films are described herein.
  • described herein is the use of the precursors for depositing silicon-containing films in the fabrication of integrated circuit devices.
  • the organoaminosilane precursors may be used for a variety of deposition processes, including but not limited to, atomic layer deposition (“ALD”), chemical vapor deposition (“CVD”), plasma enhanced chemical vapor deposition (“PECVD”), low pressure chemical vapor deposition (“LPCVD”), and atmospheric pressure chemical vapor deposition.
  • ALD atomic layer deposition
  • CVD chemical vapor deposition
  • PECVD plasma enhanced chemical vapor deposition
  • LPCVD low pressure chemical vapor deposition
  • atmospheric pressure chemical vapor deposition atmospheric pressure chemical vapor deposition
  • silicon-containing films such as, but not limited to, silicon oxide, carbon doped silicon oxide or silicon nitride films.
  • these compounds suitable for use as precursors include silanes, chlorosilanes, polysilazanes, aminosilanes, and azidosilanes.
  • Inert carrier gas or diluents such as, but not limited, helium, hydrogen, nitrogen, etc., are also used to deliver the precursors to the reaction chamber.
  • Low pressure chemical vapor deposition (LPCVD) processes are one of the more widely accepted methods used by semiconductor industry for the deposition of silicon-containing films.
  • Low pressure chemical vapor deposition (LPCVD) using ammonia may require deposition temperatures of greater than 750° C. to obtain reasonable growth rates and uniformities. Higher deposition temperatures are typically employed to provide improved film properties.
  • One of the more common industry methods to grow silicon nitride or other silicon-containing films is through low pressure chemical vapor deposition in a hot wall reactor at temperatures >750° C. using the precursors silane, dichlorosilane, and/or ammonia.
  • silane pyrophoric. This may present problems in handling and usage.
  • films deposited from silane and dichlorosilane may contain certain impurities.
  • films deposited using dichlorosilane may contain certain impurities, such as chlorine and ammonium chloride, which are formed as byproducts during the deposition process.
  • films deposited using silane may contain hydrogen.
  • Precursors that are used in depositing silicon nitride films such as BTBAS and chlorosilanes generally deposit the films at temperatures greater than 550° C.
  • the trend of miniaturization of semiconductor devices and low thermal budget requires a lower process temperature and a higher deposition rate.
  • the temperature, at which the silicon films are deposited, should decrease in order to prevent ion diffusion in the lattice, particularly for those substrates comprising metallization layers and on many Group III-V and II-VI devices.
  • silicon-containing films such as silicon oxide, carbon doped silicon oxide, silicon oxynitride, or silicon nitride films that are sufficiently chemically reactive to allow deposition via CVD, ALD or other processes at temperatures of 550° C. or below or even at room temperature.
  • US Publ. No. 2013/224964 describes a method of forming a dielectric film having Si—C bonds on a semiconductor substrate by atomic layer deposition (ALD), includes: (i) adsorbing a precursor on a surface of a substrate; (ii) reacting the adsorbed precursor and a reactant gas on the surface; and (iii) repeating steps (i) and (ii) to form a dielectric film having at least Si—C bonds on the substrate.
  • the precursor has a Si—C—Si bond in its molecule, and the reactant gas is oxygen-free and halogen-free and is constituted by at least a rare gas.
  • the insulator films may be formed on substrates by CVD.
  • U.S. Pat. No. 7,125,582 describes a method and system that involves combining a Si source precursor and a nitrogen (N) source precursor at a temperature up to 550° C. and forming a Si nitride film.
  • 1,2-Bis(bromosilyl)ethane reacts with ammonia to give 1,4-bis(1-aza-2,5-disilacyclopentane-1-yl)-1,4-disilabutane, traces of 1,6-diaza-2,5,7,10,11,14-hexasilabicyclo[4.4.4]tetradecane and nonvolatile products.
  • organoaminosilane precursors such as, but not limited to, amorphous silicon, crystalline silicon, silicon oxide, carbon doped silicon oxide, silicon nitride, silicon oxynitride, silicon carbide, silicon carbonitride, and combinations thereof onto at least a portion of a substrate.
  • the organoaminosilane precursors are effective for a low temperature (e.g., 350° C. or less), atomic layer deposition (ALD) or plasma enhanced atomic layer deposition (PEALD) of silicon oxide or carbon doped silicon oxide films.
  • compositions comprising an organoaminosilane described herein wherein the organoaminosilane is substantially free of at least one selected from the amines, halides (e.g., Cl, F, I, Br), higher molecular weight species, and trace metals.
  • the composition may further comprise a solvent.
  • methods to form films comprising silicon or coatings on an object to be processed such as, for example, a semiconductor wafer.
  • a film comprising silicon and oxygen is deposited onto a substrate using an organoaminosilane precursor and an oxygen-containing source in a deposition chamber under conditions for generating a silicon oxide, carbon doped silicon oxide film on the substrate.
  • a film comprising silicon and nitrogen is deposited onto a substrate using an organoaminosilane precursor and a nitrogen containing precursor in a deposition chamber under conditions for generating a silicon nitride film on the substrate.
  • the organoaminosilane precursors described herein can also be used a dopant for metal containing films, such as but not limited to, metal oxide films or metal nitride films.
  • an organoaminosilane having the formula described herein is employed as at least one of the silicon containing precursors.
  • the organoaminosilane precursor described herein comprises a compound represented by one of following Formulae A through E below:
  • R 1 is selected from a linear or branched C 1 to C 10 alkyl group, a linear or branched C 3 to C 10 alkenyl group, a linear or branched C 3 to C 10 alkynyl group, a C 3 to C 10 cyclic alkyl group, and a C 5 to C 10 aryl group;
  • R 2 is selected from hydrogen, a linear or branched C 1 to C 10 alkyl group, a linear or branched C 3 to C 10 alkenyl group, a linear or branched C 3 to C 10 alkynyl group, a C 3 to C 10 cyclic alkyl group, and a C 5 to C 10 aryl group
  • R 3 and R 4 are each independently selected from a linear or branched C 1 to C 10 alkylene group, a linear or branched C 3 to C 6 alkenylene group, a linear or branched C 3 to C 6 alkynylene group, a C 3 to C 10 cyclic al
  • composition comprising: (a) at least one organoaminosilane precursor a compound represented by one of following Formulae A through E below:
  • R 1 is selected from a linear or branched C 1 to C 10 alkyl group, a linear or branched C 3 to C 10 alkenyl group, a linear or branched C 3 to C 10 alkynyl group, a C 3 to C 10 cyclic alkyl group, and a C 5 to C 10 aryl group;
  • R 2 is selected from hydrogen, a linear or branched C 1 to C 10 alkyl group, a linear or branched C 3 to C 10 alkenyl group, a linear or branched C 3 to C 10 alkynyl group, a C 3 to C 10 cyclic alkyl group, and a C 5 to C 10 aryl group
  • R 3 and R 4 are each independently selected from a linear or branched C 1 to C 10 alkylene group, a linear or branched C 3 to C 6 alkenylene group, a linear or branched C 3 to C 6 alkynylene group, a C 3 to C 10 cyclic al
  • exemplary solvents can include, without limitation, ether, tertiary amine, alkyl hydrocarbon, aromatic hydrocarbon, tertiary aminoether, and combinations thereof.
  • the difference between the boiling point of the organoaminosilane and the boiling point of the solvent is 40° C. or less.
  • a method for forming a silicon-containing film on at least one surface of a substrate comprising:
  • R 1 is selected from a linear or branched C 1 to C 10 alkyl group, a linear or branched C 3 to C 10 alkenyl group, a linear or branched C 3 to C 10 alkynyl group, a C 3 to C 10 cyclic alkyl group, and a C 5 to C 10 aryl group;
  • R 2 is selected from hydrogen, a linear or branched C 1 to C 10 alkyl group, a linear or branched C 3 to C 10 alkenyl group, a linear or branched C 3 to C 10 alkynyl group, a C 3 to C 10 cyclic alkyl group, and a C 5 to C 10 aryl group
  • R 3 and R 4 are each independently selected from a linear or branched C 1 to C 10 alkylene group, a linear or branched C 3 to C 6 alkenylene group, a linear or branched C 3 to C 6 alkynylene group, a C 3 to C 10 cyclic al
  • R 1 and R 2 are the same. In other embodiments, R 1 and R 2 are different. In the foregoing or other embodiments, R 1 and R 2 can be linked together to form a ring. In further embodiments, R 1 and R 2 are not linked together to form a ring.
  • a method of forming a silicon oxide, carbon doped silicon oxide film via an atomic layer deposition process or ALD-like process comprising the steps of:
  • R 1 is selected from a linear or branched C 1 to C 10 alkyl group, a linear or branched C 3 to C 10 alkenyl group, a linear or branched C 3 to C 10 alkynyl group, a C 3 to C 10 cyclic alkyl group, and a C 5 to C 10 aryl group;
  • R 2 is selected from hydrogen, a linear or branched C 1 to C 10 alkyl group, a linear or branched C 3 to C 10 alkenyl group, a linear or branched C 3 to C 10 alkynyl group, a C 3 to C 10 cyclic alkyl group, and a C 5 to C 10 aryl group
  • R 3 and R 4 are each independently selected from a linear or branched C 1 to C 10 alkylene group, a linear or branched C 3 to C 6 alkenylene group, a linear or branched C 3 to C 6 alkynylene group, a C 3 to C 10 cyclic al
  • steps b through e are repeated until a desired thickness of the film is obtained.
  • a method of forming a film selected from a silicon oxide film and a carbon doped silicon oxide film onto at least a surface of a substrate using a CVD process comprising:
  • R 1 is selected from a linear or branched C 1 to C 10 alkyl group, a linear or branched C 3 to C 10 alkenyl group, a linear or branched C 3 to C 10 alkynyl group, a C 3 to C 10 cyclic alkyl group, and a C 5 to C 10 aryl group;
  • R 2 is selected from hydrogen, a linear or branched C 1 to C 10 alkyl group, a linear or branched C 3 to C 10 alkenyl group, a linear or branched C 3 to C 10 alkynyl group, a C 3 to C 10 cyclic alkyl group, and a C 5 to C 10 aryl group
  • R 3 and R 4 are each independently selected from a linear or branched C 1 to C 10 alkylene group, a linear or branched C 3 to C 6 alkenylene group, a linear or branched C 3 to C 6 alkynylene group, a C 3 to C 10 cyclic al
  • R 1 and R 2 are the same. In other embodiments, R 1 and R 2 are different. In the foregoing or other embodiments, R 1 and R 2 can be linked together to form a ring. In the yet further embodiments, R 1 and R 2 are not linked together to form a ring.
  • a method of forming a silicon nitride or silicon carbonitride film via an atomic layer deposition process comprising the steps of:
  • R 1 is selected from a linear or branched C 1 to C 10 alkyl group, a linear or branched C 3 to C 10 alkenyl group, a linear or branched C 3 to C 10 alkynyl group, a C 3 to C 10 cyclic alkyl group, and a C 5 to C 10 aryl group;
  • R 2 is selected from hydrogen, a linear or branched C 1 to C 10 alkyl group, a linear or branched C 3 to C 10 alkenyl group, a linear or branched C 3 to C 10 alkynyl group, a C 3 to C 10 cyclic alkyl group, and a C 5 to C 10 aryl group
  • R 3 and R 4 are each independently selected from a linear or branched C 1 to C 10 alkylene group, a linear or branched C 3 to C 6 alkenylene group, a linear or branched C 3 to C 6 alkynylene group, a C 3 to C 10 cyclic al
  • R 1 and R 2 in Formulae A through E are the same. In other embodiments, R 1 and R 2 are different. In the foregoing or other embodiments, R 1 and R 2 can be linked together to form a ring. In a further embodiment, R 1 and R 2 are not linked together to form a ring.
  • a method of forming a silicon nitride or carbonitride film onto at least a surface of a substrate using a CVD process comprising:
  • R 1 is selected from a linear or branched C 1 to C 10 alkyl group, a linear or branched C 3 to C 10 alkenyl group, a linear or branched C 3 to C 10 alkynyl group, a C 3 to C 10 cyclic alkyl group, and a C 5 to C 10 aryl group;
  • R 2 is selected from hydrogen, a linear or branched C 1 to C 10 alkyl group, a linear or branched C 3 to C 10 alkenyl group, a linear or branched C 3 to C 10 alkynyl group, a C 3 to C 10 cyclic alkyl group, and a C 5 to C 10 aryl group
  • R 3 and R 4 are each independently selected from a linear or branched C 1 to C 10 alkylene group, a linear or branched C 3 to C 6 alkenylene group, a linear or branched C 3 to C 6 alkynylene group, a C 3 to C 10 cyclic al
  • R 1 and R 2 are the same. In other embodiments, R 1 and R 2 are different. In the foregoing or other embodiments, R 1 and R 2 can be linked together to form a ring. In the yet further embodiments, R 1 and R 2 are not linked together to form a ring.
  • the process is depositing an amorphous or a crystalline silicon film.
  • the method comprises:
  • R 1 is selected from a linear or branched C 1 to C 10 alkyl group, a linear or branched C 3 to C 10 alkenyl group, a linear or branched C 3 to C 10 alkynyl group, a C 3 to C 10 cyclic alkyl group, and a C 5 to C 10 aryl group;
  • R 2 is selected from hydrogen, a linear or branched C 1 to C 10 alkyl group, a linear or branched C 3 to C 10 alkenyl group, a linear or branched C 3 to C 10 alkynyl group, a C 3 to C 10 cyclic alkyl group, and a C 5 to C 10 aryl group
  • R 3 and R 4 are each independently selected from a linear or branched C 1 to C 10 alkylene group, a linear or branched C 3 to C 6 alkenylene group, a linear or branched C 3 to C 6 alkynylene group, a C 3 to C 10 cyclic al
  • the reactor is maintained at a pressure ranging from 10 mTorr to 760 Torr during the introducing step.
  • the above steps define one cycle for the method described herein, and the cycle of steps can be repeated until the desired thickness of a film is obtained.
  • R 1 and R 2 are the same. In other embodiments, R 1 and R 2 are different. In the foregoing or other embodiments, R 1 and R 2 can be linked together to form a ring. In the yet further embodiments, R 1 and R 2 are not linked together to form a ring.
  • a method of depositing an amorphous or a crystalline silicon film via an atomic layer deposition or cyclic chemical vapor deposition process comprising the steps of:
  • R 1 is selected from a linear or branched C 1 to C 10 alkyl group, a linear or branched C 3 to C 10 alkenyl group, a linear or branched C 3 to C 10 alkynyl group, a C 3 to C 10 cyclic alkyl group, and a C 5 to C 10 aryl group;
  • R 2 is selected from hydrogen, a linear or branched C 1 to C 10 alkyl group, a linear or branched C 3 to C 10 alkenyl group, a linear or branched C 3 to C 10 alkynyl group, a C 3 to C 10 cyclic alkyl group, and a C 5 to C 10 aryl group
  • R 3 and R 4 are each independently selected from a linear or branched C 1 to C 10 alkylene group, a linear or branched C 3 to C 6 alkenylene group, a linear or branched C 3 to C 6 alkynylene group, a C 3 to C 10 cyclic al
  • a vessel for depositing a silicon-containing film comprising one or more organoaminosilane precursor having any one of Formulae A, B, C, or D or E a combination thereof of one or more precursors represented by Formulae A, B, C, D or E is described herein.
  • the vessel comprises at least one pressurizable vessel (preferably of stainless steel) fitted with the proper valves and fittings to allow the delivery of one or more precursors to the reactor for a CVD or an ALD process.
  • R 1 is selected from a linear or branched C 1 to C 10 alkyl group, a linear or branched C 3 to C 10 alkenyl group, a linear or branched C 3 to C 10 alkynyl group, a C 3 to C 10 cyclic alkyl group, and a C 5 to C 10 aryl group;
  • R 2 is selected from hydrogen, a linear or branched C 1 to C 10 alkyl group, a linear or branched C 3 to C 10 alkenyl group, a linear or branched C 3 to C 10 alkynyl group, a C 3 to C 10 cyclic alkyl group, and a C 5 to C 10 aryl group
  • R 3 and R 4 are each independently selected from a linear or branched C 1 to C 10 alkylene group, a linear or branched C 3 to C 6 alkenylene group, a linear or branched C 3 to C 6 alkynylene group, a C 3 to C 10 cyclic al
  • R 1 in the amine is selected from a linear or branched C 1 to C 10 alkyl group, a linear or branched C 3 to C 10 alkenyl group, a linear or branched C 3 to C 10 alkynyl group, a C 3 to C 10 cyclic alkyl group, and a C 5 to C 10 aryl group;
  • R 2 in the amine is selected from hydrogen, a linear or branched C 1 to C 10 alkyl group, a linear or branched C 3 to C 10 alkenyl group, a linear or branched C 3 to C 10 alkynyl group, a C 3 to C 10 cyclic alkyl group, and a C 5 to C 10 aryl group with a silicon source comprising at least one compound selected from the:
  • R 3 and R 4 in the silicon source are independently selected from a linear or branched C 1 to C 10 alkylene group, a linear or branched C 3 to C 6 alkenylene group, a linear or branched C 3 to C 6 alkynylene group, a C 3 to C 10 cyclic alkylene group, a C 3 to C 10 hetero-cyclic alkylene group, a C 5 to C 10 arylene group, and a C 5 to C 10 hetero-arylene group in the presence of a catalyst under reaction conditions sufficient for at least a portion of the silicon source and at least a portion of the amine to react and provide the organoaminosilane.
  • FIG. 1 shows the relative deposition rates of the organoaminosilane described herein, 1-di-iso-propylamino-1,4-disilabutane, compared to deposition rates of other organoaminosilanes provided in reference articles such as bis(diethylamino)silane (BDEAS), bis(tert-butylamino)silane (BTBAS), bis(ethylmethylamino)silane (BEMAS), tris(dimethylamino)silane(TRDMAS), and di-sec-butylaminosilane (DSBAS).
  • BDEAS bis(diethylamino)silane
  • BBAS bis(tert-butylamino)silane
  • BEMAS bis(ethylmethylamino)silane
  • TRDMAS tris(dimethylamino)silane
  • DSBAS di-sec-butylaminosilane
  • the organoaminosilanes described herein are used as precursors to form stoichiometric and non-stoichiometric silicon containing films such as, but not limited to, amorphous silicon, silicon-rich carbonitride, crystalline silicon, silicon oxide, silicon oxycarbide, silicon nitride, silicon oxynitride, and silicon oxycarbonitride. These precursors can also be used, for example, as dopants for metal containing films.
  • the organoaminosilane precursors used in semi-conductor processes are typically high purity volatile liquid precursor chemical that are vaporized and delivered to a deposition chamber or reactor as a gas to deposit a silicon containing film via CVD or ALD processes for semiconductor devices.
  • precursor materials for deposition depends upon the desired resultant silicon-containing material or film.
  • a precursor material may be chosen for its content of chemical elements, its stoichiometric ratios of the chemical elements, and/or the resultant silicon containing film or coating that are formed under CVD.
  • the precursor material may also be chosen for various other characteristics such as cost, relatively low toxicity, handling characteristics, ability to maintain liquid phase at room temperature, volatility, molecular weight, and/or other considerations.
  • the precursors described herein can be delivered to the reactor system by any number of means, preferably using a pressurizable stainless steel vessel fitted with the proper valves and fittings, to allow the delivery of liquid phase precursor to the deposition chamber or reactor.
  • the organoaminosilane precursors described herein exhibit a balance of reactivity and stability that makes them ideally suitable as CVD or ALD precursors in microelectronic device manufacturing processes.
  • reactivity certain precursors may have boiling points that are too high to be vaporized and delivered to the reactor to be deposited as a film on a substrate.
  • Precursors having higher relative boiling points require that the delivery container and lines need to be heated at or above the boiling point of the precursor under a given vacuum to prevent condensation or particles from forming in the container, lines, or both.
  • other precursors may form silane (SiH 4 ) or disilane (Si 2 H 6 ) as they degrade.
  • the organoaminosilane precursors having Formulae A through E described herein comprise 2% or less by weight, or 1% or less by weight, or 0.5% or less by weight of by-product after being stored for a time period of 6 months or greater, or one year or greater which is indicative of being shelf stable.
  • the organoaminosilane precursor described herein may be able to deposit high density materials at relatively low deposition temperatures, e.g., 500° C. or less, or 400° C. or less, 300° C. or less, 200° C. or less, 100° C. or less, or 50° C. or less.
  • the organoaminosilane precursor can be used to deposit a silicon-containing film via ALD or PEALD at a temperature as low as 50° C. or less or at ambient or room temperature (e.g., 25° C.).
  • composition described herein is a composition for forming a silicon-containing film comprising: an organoaminosilane having any one of Formulae A through E described herein and a solvent(s).
  • composition described herein may provide one or more advantages compared to pure organoaminosilane. These advantages include: better usage of the organoaminosilane in semiconductor processes, better stability over long term storage, cleaner evaporation by flash vaporization, and/or overall more stable direct liquid injection (DLI) chemical vapor deposition process.
  • DLI direct liquid injection
  • the weight percentage of the organoaminosilane in the composition can range from 1 to 99% with the balance being solvent(s) wherein the solvent(s) does not react with the organoaminosilane and has a boiling point similar to the organoaminosilane. With regard to the latter, the difference between the boiling points of the organoaminosilane and solvent(s) in the composition is 40° C. or less, more preferably 20° C. or less, or 10° C. or less.
  • Exemplary solvents include, but not limited to, hexanes, octane, toluene, ethylcyclohexane, decane, dodecane, bis(2-dimethylaminoethyl) ether.
  • At least one organoaminosilane precursor a compound represented by one of following Formulae A through E below:
  • R 1 is selected from a linear or branched C 1 to C 10 alkyl group, a linear or branched C 3 to C 10 alkenyl group, a linear or branched C 3 to C 10 alkynyl group, a C 3 to C 10 cyclic alkyl group, and a C 5 to C 10 aryl group;
  • R 2 is selected from hydrogen, a linear or branched C 1 to C 10 alkyl group, a linear or branched C 3 to C 10 alkenyl group, a linear or branched C 3 to C 10 alkynyl group, a C 3 to C 10 cyclic alkyl group, and a C 5 to C 10 aryl group
  • R 3 and R 4 are each independently selected from a linear or branched C 1 to C 10 alkylene group, a linear or branched C 3 to C 6 alkenylene group, a linear or branched C 3 to C 6 alkynylene group, a C 3 to C 10 cyclic al
  • alkyl denotes a linear, or branched functional group having from 1 to 10 or 1 to 6 carbon atoms.
  • exemplary alkyl groups include, but are not limited to, methyl (Me), ethyl (Et), propyl (Pr n ), isopropyl (Pr i ), butyl (Bu n ), isobutyl (Bu i ), sec-butyl (Bu s ), tert-butyl (Bu t ), pentyl, iso-pentyl, tert-pentyl (Am t ), hexyl, iso-hexyl, and neo-hexyl.
  • the alkyl group may have one or more functional groups such as, but not limited to, an alkoxy group, a dialkylamino group or combinations thereof, attached thereto. In other embodiments, the alkyl group does not have one or more functional groups attached thereto.
  • exemplary organoaminosilanes having Formula A and having alkyl groups as R 1 and R 2 (if present) and an alkylene group such as methylene —CH 2 — or ethylene —CH 2 CH 2 — as R 3 include, but are not limited to:
  • cyclic alkyl denotes a cyclic functional group having from 3 to 10 or from 4 to 10 carbon atoms or from 5 to 10 carbon atoms.
  • exemplary cyclic alkyl groups include, but are not limited to, cyclobutyl, cyclopentyl, cyclohexyl, and cyclooctyl groups.
  • Exemplary organoaminosilanes having Formula A and having cyclic alkyl groups as R 1 and R 2 (if present) and an alkylene group such as methylene —CH 2 — or ethylene —CH 2 CH 2 — as R 3 include, but are not limited to:
  • aryl denotes an aromatic cyclic functional group having from 5 to 12 carbon atoms or from 6 to 10 carbon atoms.
  • Exemplary aryl groups include, but are not limited to, phenyl (Ph), benzyl, chlorobenzyl, tolyl, and o-xylyl.
  • Exemplary organoaminosilanes having Formula A and having aryl groups as R 1 and R 2 (if present) and an alkylene group methylene —CH 2 — or ethylene —CH 2 CH 2 — as R 3 include:
  • one or more of the alkyl group, alkenyl group, alkynyl group, and/or aryl group in Formulae A through E may be substituted or have one or more atoms or group of atoms substituted in place of, for example, a hydrogen atom.
  • substituents include, but are not limited to, oxygen, sulfur, halogen atoms (e.g., F, Cl, I, or Br), nitrogen, and phosphorous.
  • one or more of the alkyl group, alkenyl group, alkynyl group, and/or aryl group in Formulae A through E may be unsubstituted.
  • the cyclic alkyl is substituted or is a hetero-cyclic alkyl group.
  • hetero-cyclic alkyl denotes a cyclic functional group having from 3 to 10 or from 4 to 10 carbon atoms or from 5 to 10 carbon atoms as well as at least one oxygen atom or nitrogen atom or both.
  • Exemplary organoaminosilanes having Formula A and having hetero-cyclic alkyl groups as R 1 and R 2 (if present) and an alkylene group methylene —CH 2 — as R 3 include, but are not limited to:
  • the aryl is substituted or is a hetero-aryl group.
  • hetero aryl denotes aryl functional group having from 3 to 10 or from 4 to 10 carbon atoms or from 5 to 10 carbon atoms as well as at least one oxygen atom or nitrogen atom or both.
  • alkenyl group denotes a group which has one or more carbon-carbon double bonds and has from 3 to 10 or from 3 to 6 or from 3 to 4 carbon atoms.
  • alkynyl group denotes a group which has one or more carbon-carbon triple bonds and has from 3 to 10 or from 3 to 6 or from 3 to 4 carbon atoms.
  • alkylene denotes a hydrocarbon group having from 1 to 10 or from 4 to 10 carbon atoms or from 5 to 10 carbon atoms and are connected to two silicon atoms.
  • exemplary alkylene groups include, but are not limited to, methylene (—CH 2 —), ethylene (—CH 2 CH 2 —), propylene (—CH 2 CH 2 CH 2 —), and iso-propylene (—CH(Me)CH 2 —).
  • arylene denotes an aromatic cyclic functional group having from 5 to 12 carbon atoms or from 6 to 10 carbon atoms, preferably the two Si atoms are bonded to 1,2-positions or 1,4-positions of the arylene groups.
  • hetero-arylene denotes an aromatic cyclic functional group having from 5 to 12 carbon atoms or from 6 to 10 carbon atoms, preferably the two Si atoms are bonded to 1,2-positions of the hetero-arylene groups.
  • R 3 can be linked in the Formula D to form a ring structure.
  • exemplary organoaminosilanes include, but are not limited to:
  • R 3 and R 4 are each methylene —CH 2 — or, alternatively, each ethylene —CH 2 CH 2 — in Formula E.
  • exemplary organoaminosilanes include, but are not limited to:
  • the method used to form the silicon-containing films or coatings are deposition processes.
  • suitable deposition processes for the method disclosed herein include, but are not limited to, cyclic CVD (CCVD), MOCVD (Metal Organic CVD), thermal chemical vapor deposition, plasma enhanced chemical vapor deposition (“PECVD”), high density PECVD, photon assisted CVD, plasma-photon assisted (“PPECVD”), cryogenic chemical vapor deposition, chemical assisted vapor deposition, hot-filament chemical vapor deposition, CVD of a liquid polymer precursor, deposition from supercritical fluids, and low energy CVD (LECVD).
  • CCVD cyclic CVD
  • MOCVD Metal Organic CVD
  • PECVD plasma enhanced chemical vapor deposition
  • PECVD plasma enhanced chemical vapor deposition
  • PECVD plasma-photon assisted
  • cryogenic chemical vapor deposition chemical assisted vapor deposition
  • hot-filament chemical vapor deposition hot-filament chemical vapor deposition
  • the metal containing films are deposited via atomic layer deposition (ALD), plasma enhanced ALD (PEALD) or plasma enhanced cyclic CVD (PECCVD) process.
  • ALD atomic layer deposition
  • PEALD plasma enhanced ALD
  • PECCVD plasma enhanced cyclic CVD
  • chemical vapor deposition processes refers to any process wherein a substrate is exposed to one or more volatile precursors, which react and/or decompose on the substrate surface to produce the desired deposition.
  • atomic layer deposition process refers to a self-limiting (e.g., the amount of film material deposited in each reaction cycle is constant), sequential surface chemistry that deposits films of materials onto substrates of varying compositions.
  • the precursors, reagents and sources used herein may be sometimes described as “gaseous”, it is understood that the precursors can be either liquid or solid which are transported with or without an inert gas into the reactor via direct vaporization, bubbling or sublimation.
  • the vaporized precursors can pass through a plasma generator.
  • the silicon-containing film is deposited using an ALD process.
  • the silicon-containing film is deposited using a CCVD process.
  • the silicon-containing film is deposited using a thermal CVD process.
  • reactor as used herein, includes without limitation, reaction chamber or deposition chamber.
  • the method disclosed herein avoids pre-reaction of the precursors by using ALD or CCVD methods that separate the precursors prior to and/or during the introduction to the reactor.
  • deposition techniques such as ALD or CCVD processes are used to deposit the silicon-containing film.
  • the film is deposited via an ALD process by exposing the substrate surface alternatively to the one or more the silicon-containing precursor, oxygen-containing source, nitrogen-containing source, or other precursor or reagent. Film growth proceeds by self-limiting control of surface reaction, the pulse length of each precursor or reagent, and the deposition temperature. However, once the surface of the substrate is saturated, the film growth ceases.
  • the method described herein further comprises one or more additional silicon-containing precursors other than the organoaminosilane precursor having the above Formulae A through E.
  • additional silicon-containing precursors include, but are not limited to, monoaminosilane (e.g., di-iso-propylaminosilane, di-sec-butylaminosilane, phenylmethylaminosilane); organo-silicon compounds such as trisilylamine (TSA); siloxanes (e.g., hexamethyl disiloxane (HMDSO) and dimethyl siloxane (DMSO)); organosilanes (e.g., methylsilane, dimethylsilane, diethylsilane, vinyl trimethylsilane, trimethylsilane, tetramethylsilane, ethylsilane, disilylmethane, 2,4-disilapentane, 1,4-disilabutane, 2,5-disila
  • the one or more silicon-containing precursors may be introduced into the reactor at a predetermined molar volume, or from about 0.1 to about 1000 micromoles.
  • the silicon-containing and/or organoaminosilane precursor may be introduced into the reactor for a predetermined time period. In certain embodiments, the time period ranges from about 0.001 to about 500 seconds.
  • the silicon-containing films deposited using the methods described herein are formed in the presence of oxygen using an oxygen-containing source, reagent or precursor comprising oxygen.
  • An oxygen-containing source may be introduced into the reactor in the form of at least one oxygen-containing source and/or may be present incidentally in the other precursors used in the deposition process.
  • Suitable oxygen-containing source gases may include, for example, water (H 2 O) (e.g., deionized water, purifier water, and/or distilled water), oxygen (O 2 ), oxygen plasma, ozone (C 3 ), NO, N 2 O, NO 2 , carbon monoxide (CO), carbon dioxide (CO 2 ), carbon dioxide plasma, and combinations thereof.
  • the oxygen-containing source comprises an oxygen-containing source gas that is introduced into the reactor at a flow rate ranging from about 1 to about 2000 standard cubic centimeters (sccm) or from about 1 to about 1000 sccm.
  • the oxygen-containing source can be introduced for a time that ranges from about 0.1 to about 100 seconds.
  • the oxygen-containing source comprises water having a temperature of 10° C. or greater.
  • the precursor pulse can have a pulse duration that is greater than 0.01 seconds, and the oxygen-containing source can have a pulse duration that is less than 0.01 seconds, while the water pulse duration can have a pulse duration that is less than 0.01 seconds.
  • the purge duration between the pulses that can be as low as 0 seconds or is continuously pulsed without a purge in-between.
  • the oxygen-containing source or reagent is provided in a molecular amount less than a 1:1 ratio to the silicon precursor, so that at least some carbon is retained in the as deposited silicon-containing film.
  • the silicon-containing films comprise silicon and nitrogen.
  • the silicon-containing films deposited using the methods described herein are formed in the presence of nitrogen-containing source.
  • a nitrogen-containing source may be introduced into the reactor in the form of at least one nitrogen-containing source and/or may be present incidentally in the other precursors used in the deposition process.
  • Suitable nitrogen-containing source gases may include, for example, ammonia, hydrazine, monoalkylhydrazine, dialkylhydrazine, nitrogen, nitrogen/hydrogen, ammonia plasma, nitrogen plasma, nitrogen/argon plasma, nitrogen/helium plasma, nitrogen/hydrogen plasma, and mixture thereof.
  • the nitrogen-containing source comprises an ammonia plasma or hydrogen/nitrogen plasma or nitrogen/argon plasma or nitrogen/helium plasma source gas that is introduced into the reactor at a flow rate ranging from about 1 to about 2000 standard cubic centimeters (sccm) or from about 1 to about 1000 sccm.
  • the nitrogen-containing source can be introduced for a time that ranges from about 0.01 to about 100 seconds.
  • the precursor pulse can have a pulse duration that is greater than 0.01 seconds
  • the nitrogen-containing source can have a pulse duration that is less than 0.01 seconds
  • the water pulse duration can have a pulse duration that is less than 0.01 seconds.
  • the purge duration between the pulses that can be as low as 0 seconds or is continuously pulsed without a purge in-between.
  • the deposition methods disclosed herein may involve one or more purge gases.
  • the purge gas which is used to purge away unconsumed reactants and/or reaction byproducts, is an inert gas that does not react with the precursors.
  • Exemplary purge gases include, but are not limited to, argon (Ar), krypton (Kr), xenon (Xe), nitrogen (N 2 ), helium (He), neon, hydrogen (H 2 ), and mixtures thereof.
  • a purge gas such as Ar is supplied into the reactor at a flow rate ranging from about 10 to about 2000 sccm for about 0.1 to 1000 seconds, thereby purging the unreacted material and any byproduct that may remain in the reactor.
  • the respective step of supplying the precursors, oxygen-containing source, the nitrogen-containing source, and/or other precursors, source gases, and/or reagents may be performed by changing the time for supplying them to change the stoichiometric composition of the resulting silicon-containing film.
  • Energy is applied to the at least one of the precursor, nitrogen-containing source, reducing agent, other precursors or combination thereof to induce reaction and to form the silicon-containing film or coating on the substrate.
  • Such energy can be provided by, but not limited to, thermal, plasma, pulsed plasma, helicon plasma, high density plasma, inductively coupled plasma, X-ray, e-beam, photon, remote plasma methods, and combinations thereof.
  • a secondary RF frequency source can be used to modify the plasma characteristics at the substrate surface.
  • the plasma-generated process may comprise a direct plasma-generated process in which plasma is directly generated in the reactor, or alternatively a remote plasma-generated process in which plasma is generated outside of the reactor and supplied into the reactor.
  • the organoaminosilane precursors and/or other silicon-containing precursors may be delivered to the reaction chamber such as a CVD or ALD reactor in a variety of ways.
  • a liquid delivery system may be utilized.
  • a combined liquid delivery and flash vaporization process unit may be employed, such as, for example, the turbo vaporizer manufactured by MSP Corporation of Shoreview, Minn., to enable low volatility materials to be volumetrically delivered, which leads to reproducible transport and deposition without thermal decomposition of the precursor.
  • the precursors described herein may be delivered in neat liquid form, or alternatively, may be employed in solvent formulations or compositions comprising same.
  • the precursor formulations may include solvent component(s) of suitable character as may be desirable and advantageous in a given end use application to form a film on a substrate.
  • the solvent or mixture thereof selected does not react with the organoaminosilane.
  • the amount of solvent by weight percentage in the composition ranges from 0.5% by weight to 99.5% or from 10% by weight to 75%.
  • the solvent has a boiling point (b.p.) similar to the b.p. of the organoaminosilane of Formulae A through E or the difference between the b.p. of the solvent and the b.p. of the organoaminosilane of Formulae A through E is 40° C. or less, 30° C. or less, or 20° C.
  • the difference between the boiling points ranges from any one or more of the following end-points: 0, 10, 20, 30, or 40° C.
  • suitable ranges of b.p. difference include without limitation, 0 to 40° C., 20° to 30° C., or 10° to 30° C.
  • suitable solvents in the compositions include, but are not limited to, an ether (such as 1,4-dioxane, dibutyl ether), a tertiary amine (such as pyridine, 1-methylpiperidine, 1-ethylpiperidine, N,N′-Dimethylpiperazine, N,N,N′,N′-Tetramethylethylenediamine), a nitrile (such as benzonitrile), an alkyl hydrocarbon (such as octane, nonane, dodecane, ethylcyclohexane), an aromatic hydrocarbon (such as toluene, mesitylene), a tertiary aminoether (such as bis(2-dimethylaminoethyl) ether), or mixtures thereof.
  • an ether such as 1,4-dioxane, dibutyl ether
  • a tertiary amine such as pyridine, 1-methylpiperidine, 1-ethy
  • a vessel for depositing a silicon-containing film comprising one or more organoaminosilane precursor having Formulae A through E is described herein.
  • the vessel comprises at least one pressurizable vessel (preferably of stainless steel) fitted with the proper valves and fittings to allow the delivery of one or more precursors to the reactor for a CVD or an ALD process.
  • the organoaminosilane precursor having Formulae A through E is provided in a pressurizable vessel comprised of stainless steel and the purity of the precursor is 98% by weight or greater or 99.5% or greater which is suitable for the majority of semiconductor applications.
  • such vessels can also have means for mixing the precursors with one or more additional precursor if desired.
  • the contents of the vessel(s) can be premixed with an additional precursor.
  • the organoaminosilane precursor and/or other precursor can be maintained in separate vessels or in a single vessel having separation means for maintaining the organoaminosilane precursor and other precursor separate during storage.
  • R 1 is selected from a linear or branched C 1 to C 10 alkyl group, a linear or branched C 3 to C 10 alkenyl group, a linear or branched C 3 to C 10 alkynyl group, a C 3 to C 10 cyclic alkyl group, and a C 5 to C 10 aryl group;
  • R 2 is selected from hydrogen, a linear or branched C 1 to C 10 alkyl group, a linear or branched C 3 to C 10 alkenyl group, a linear or branched C 3 to C 10 alkynyl group, a C 3 to C 10 cyclic alkyl group, and a C 5 to C 10 aryl group and a silicon source which is at least one selected from compounds having the following structures:
  • R 3 and R 4 are each independently selected from a linear or branched C 1 to C 10 alkylene group, a linear or branched C 3 to C 6 alkenylene group, a linear or branched C 3 to C 6 alkynylene group, a C 3 to C 10 cyclic alkylene group, a C 3 to C 10 hetero-cyclic alkylene group, a C 5 to C 10 arylene group, and a C 5 to C 10 hetero-arylene group in the presence of a catalyst under reaction conditions sufficient for the silicon source and amine to react with or without an organic solvent and provide an organoaminosilane precursor comprising a compound represented by one of following Formulae A through E below:
  • n in Formula A equals 1 or 2; m in Formula A equals 0, 1, 2, or 3; p and q equal 1 or 2 in Formula E and optionally wherein R 3 in Formula D forms a ring selected from a four-membered, five-membered or six-membered ring with the two silicon atoms and at least one nitrogen atom.
  • Exemplary catalysts include, but not limited to, tris(pentafluorophenyl)borane, BR 3 (wherein R is selected from a linear, branched, or cyclic C 1 to C 10 alkyl group, a C 5 to C 10 aryl group, or a C 1 to C 10 alkoxy group), 1,3-diisopropyl-4,5-dimethylimidazol-2-ylidene, 2,2′-bipyridyl, phenanthroline, Mg[N(SiMe 3 ) 2 ] 2 , [tris(4,4-dimethyl-2-oxazolinyl)phenylborate]MgMe, [tris(4,4-dimethyl-2-oxazolinyl)phenylborate]MgH, trimethylaluminium, triethylaluminum, aluminum chloride, Ca[N(SiMe 3 ) 2 ] 2 , dibenzylcalcium,
  • a cyclic deposition process such as CCVD, ALD, or PEALD may be employed, wherein at least one silicon-containing precursor selected from an organoaminosilane precursor having the formula described herein and optionally a nitrogen-containing source such as, for example, ammonia, hydrazine, monoalkylhydrazine, dialkylhydrazine, nitrogen, nitrogen/hydrogen, ammonia plasma, nitrogen plasma, nitrogen/argon plasma, nitrogen/helium plasma, nitrogen/hydrogen plasma, organic amines (e.g. methylamine, ethylamine, iso-propylamine, tert-butylamine), and/or a plasma derived from an organic amine are employed.
  • a nitrogen-containing source such as, for example, ammonia, hydrazine, monoalkylhydrazine, dialkylhydrazine, nitrogen, nitrogen/hydrogen, ammonia plasma, nitrogen plasma, nitrogen/argon plasma, nitrogen/helium plasma, nitrogen/hydrogen plasma, organic
  • the gas lines connecting from the precursor canisters to the reaction chamber are heated to one or more temperatures depending upon the process requirements and the container of the organoaminosilane precursor having the formulae A through E described herein is kept at one or more temperatures for bubbling.
  • a solution comprising the at least one silicon-containing precursor having the formula described herein is injected into a vaporizer kept at one or more temperatures for direct liquid injection.
  • a flow of argon and/or other gas may be employed as a carrier gas to help deliver the vapor of the at least one organoaminosilane precursor to the reaction chamber during the precursor pulsing.
  • the reaction chamber process pressure is about 10 torr or less, preferably about 1 torr.
  • a substrate such as, without limitation, a silicon oxide, carbon doped silicon oxide, flexible substrate, or metal nitride substrate is heated on a heater stage in a reaction chamber that is exposed to the silicon-containing precursor initially to allow the organoaminosilane to chemically adsorb onto the surface of the substrate.
  • a purge gas such as nitrogen, argon, or other inert gas purges away unabsorbed excess organoaminosilane from the process chamber.
  • an oxygen-containing source may be introduced into reaction chamber to react with the absorbed surface followed by another gas purge to remove reaction by-products from the chamber. The process cycle can be repeated to achieve the desired film thickness.
  • pumping under vacuum can be used to remove unabsorbed excess organoaminosilane from the process chamber, after sufficient evacuation under pumping, an oxygen-containing source may be introduced into reaction chamber to react with the absorbed surface followed by another pumping down purge to remove reaction by-products from the chamber.
  • an oxygen-containing source may be introduced into reaction chamber to react with the absorbed surface followed by another pumping down purge to remove reaction by-products from the chamber.
  • the organoaminosilane and the oxygen-containing source can be co-flowed into reaction chamber to react on the substrate surface to deposit silicon oxide, carbon doped silicon oxide.
  • the purge step is not used.
  • the steps of the methods described herein may be performed in a variety of orders, may be performed sequentially or concurrently (e.g., during at least a portion of another step), and any combination thereof.
  • the respective step of supplying the precursors and the nitrogen-containing source gases may be performed by varying the duration of the time for supplying them to change the stoichiometric composition of the resulting silicon-containing film.
  • the films containing both silicon and nitrogen are formed using an ALD, PEALD, CCVD or PECCVD deposition method that comprises the steps of:
  • R 1 is selected from a linear or branched C 1 to C 10 alkyl group, a linear or branched C 3 to C 10 alkenyl group, a linear or branched C 3 to C 10 alkynyl group, a C 3 to C 10 cyclic alkyl group, and a C 5 to C 10 aryl group;
  • R 2 is selected from hydrogen, a linear or branched C 1 to C 10 alkyl group, a linear or branched C 3 to C 10 alkenyl group, a linear or branched C 3 to C 10 alkynyl group, a C 3 to C 10 cyclic alkyl group, and a C 5 to C 10 aryl group
  • R 3 and R 4 are each independently selected from a linear or branched C 1 to C 10 alkylene group, a linear or branched C 3 to C 6 alkenylene group, a linear or branched C 3 to C 6 alkynylene group, a C 3 to C 10 cyclic al
  • a method of forming a film selected from a silicon oxide and a carbon doped silicon oxide film via a PEALD or a PECCVD deposition process comprising the steps of:
  • R 1 is selected from a linear or branched C 1 to C 10 alkyl group, a linear or branched C 3 to C 10 alkenyl group, a linear or branched C 3 to C 10 alkynyl group, a C 3 to C 10 cyclic alkyl group, and a C 5 to C 10 aryl group;
  • R 2 is selected from hydrogen, a linear or branched C 1 to C 10 alkyl group, a linear or branched C 3 to C 10 alkenyl group, a linear or branched C 3 to C 10 alkynyl group, a C 3 to C 10 cyclic alkyl group, and a C 5 to C 10 aryl group
  • R 3 and R 4 are each independently selected from a linear or branched C 1 to C 10 alkylene group, a linear or branched C 3 to C 6 alkenylene group, a linear or branched C 3 to C 6 alkynylene group, a C 3 to C 10 cyclic al
  • steps b through e are repeated until a desired thickness of the film is obtained.
  • the silicon-containing films is formed using a ALD deposition method that comprises the steps of:
  • R 1 is selected from a linear or branched C 1 to C 10 alkyl group, a linear or branched C 3 to C 10 alkenyl group, a linear or branched C 3 to C 10 alkynyl group, a C 3 to C 10 cyclic alkyl group, and a C 5 to C 10 aryl group;
  • R 2 is selected from hydrogen, a linear or branched C 1 to C 10 alkyl group, a linear or branched C 3 to C 10 alkenyl group, a linear or branched C 3 to C 10 alkynyl group, a C 3 to C 10 cyclic alkyl group, and a C 5 to C 10 aryl group
  • R 3 and R 4 are each independently selected from a linear or branched C 1 to C 10 alkylene group, a linear or branched C 3 to C 6 alkenylene group, a linear or branched C 3 to C 6 alkynylene group, a C 3 to C 10 cyclic al
  • a method of forming a silicon nitride or silicon carbonitride film via PEALD or PECCVD process comprising the steps of:
  • R 1 is selected from a linear or branched C 1 to C 10 alkyl group, a linear or branched C 3 to C 10 alkenyl group, a linear or branched C 3 to C 10 alkynyl group, a C 3 to C 10 cyclic alkyl group, and a C 5 to C 10 aryl group;
  • R 2 is selected from hydrogen, a linear or branched C 1 to C 10 alkyl group, a linear or branched C 3 to C 10 alkenyl group, a linear or branched C 3 to C 10 alkynyl group, a C 3 to C 10 cyclic alkyl group, and a C 5 to C 10 aryl group
  • R 3 and R 4 are each independently selected from a linear or branched C 1 to C 10 alkylene group, a linear or branched C 3 to C 6 alkenylene group, a linear or branched C 3 to C 6 alkynylene group, a C 3 to C 10 cyclic al
  • steps b through e are repeated until a desired thickness of the film is obtained.
  • the above steps define one cycle for the method described herein; and the cycle can be repeated until the desired thickness of a silicon-containing film is obtained.
  • the steps of the methods described herein may be performed in a variety of orders, may be performed sequentially or concurrently (e.g., during at least a portion of another step), and any combination thereof.
  • the respective step of supplying the precursors and oxygen-containing source may be performed by varying the duration of the time for supplying them to change the stoichiometric composition of the resulting silicon-containing film, although always using oxygen in less than a stoichiometric amount relative to the available silicon.
  • silicon-containing precursors such as silicon-containing precursors, nitrogen-containing precursors, reducing agents, or other reagents can be alternately introduced into the reactor chamber.
  • the silicon-containing film is deposited using a thermal CVD process.
  • the method comprises:
  • R 1 is selected from a linear or branched C 1 to C 10 alkyl group, a linear or branched C 3 to C 10 alkenyl group, a linear or branched C 3 to C 10 alkynyl group, a C 3 to C 10 cyclic alkyl group, and a C 5 to C 10 aryl group;
  • R 2 is selected from hydrogen, a linear or branched C 1 to C 10 alkyl group, a linear or branched C 3 to C 10 alkenyl group, a linear or branched C 3 to C 10 alkynyl group, a C 3 to C 10 cyclic alkyl group, and a C 5 to C 10 aryl group
  • R 3 and R 4 are each independently selected from a linear or branched C 1 to C 10 alkylene group, a linear or branched C 3 to C 6 alkenylene group, a linear or branched C 3 to C 6 alkynylene group, a C 3 to C 10 cyclic al
  • the reactor is maintained at a pressure ranging from 10 mTorr to 760 Torr during the introducing step.
  • the above steps define one cycle for the method described herein; and the cycle can be repeated until the desired thickness of a silicon-containing film is obtained.
  • the steps of the methods described herein may be performed in a variety of orders, may be performed sequentially or concurrently (e.g., during at least a portion of another step), and any combination thereof.
  • the respective step of supplying the precursors and oxygen-containing source may be performed by varying the duration of the time for supplying them to change the stoichiometric composition of the resulting silicon-containing film, although always using oxygen in less than a stoichiometric amount relative to the available silicon.
  • an amorphous or crystalline silicon film is deposited using the Formulae A through E precursor described herein.
  • the method comprises:
  • R 1 is selected from a linear or branched C 1 to C 10 alkyl group, a linear or branched C 3 to C 10 alkenyl group, a linear or branched C 3 to C 10 alkynyl group, a C 3 to C 10 cyclic alkyl group, and a C 5 to C 10 aryl group;
  • R 2 is selected from hydrogen, a linear or branched C 1 to C 10 alkyl group, a linear or branched C 3 to C 10 alkenyl group, a linear or branched C 3 to C 10 alkynyl group, a C 3 to C 10 cyclic alkyl group, and a C 5 to C 10 aryl group
  • R 3 and R 4 are each independently selected from a linear or branched C 1 to C 10 alkylene group, a linear or branched C 3 to C 6 alkenylene group, a linear or branched C 3 to C 6 alkynylene group, a C 3 to C 10 cyclic al
  • the reactor is maintained at a pressure ranging from 10 mTorr to 760 Torr during the introducing step. The above steps define one cycle for the method described herein; and the cycle can be repeated until the desired thickness of a film is obtained.
  • silicon-containing precursors such as silicon-containing precursors, nitrogen-containing precursors, oxygen-containing sources, reducing agents, and/or other reagents can be alternately introduced into the reactor chamber.
  • the silicon-containing film is deposited using a thermal CVD process.
  • the method comprises:
  • R 1 is selected from a linear or branched C 1 to C 10 alkyl group, a linear or branched C 3 to C 10 alkenyl group, a linear or branched C 3 to C 10 alkynyl group, a C 3 to C 10 cyclic alkyl group, and a C 5 to C 10 aryl group;
  • R 2 is selected from hydrogen, a linear or branched C 1 to C 10 alkyl group, a linear or branched C 3 to C 10 alkenyl group, a linear or branched C 3 to C 10 alkynyl group, a C 3 to C 10 cyclic alkyl group, and a C 5 to C 10 aryl group
  • R 3 and R 4 are each independently selected from a linear or branched C 1 to C 10 alkylene group, a linear or branched C 3 to C 6 alkenylene group, a linear or branched C 3 to C 6 alkynylene group, a C 3 to C 10 cyclic al
  • the reactor is maintained at a pressure ranging from 10 mTorr to 760 Torr during the introducing step.
  • the organoaminosilane precursors are used for depositing a silicon containing film which is an amorphous film, a crystalline silicon film, or a mixture thereof.
  • the silicon containing films is formed using a deposition method selected from ALD or cyclic CVD that comprises the steps of:
  • R 1 is selected from a linear or branched C 1 to C 10 alkyl group, a linear or branched C 3 to C 10 alkenyl group, a linear or branched C 3 to C 10 alkynyl group, a C 3 to C 10 cyclic alkyl group, and a C 5 to C 10 aryl group;
  • R 2 is selected from hydrogen, a linear or branched C 1 to C 10 alkyl group, a linear or branched C 3 to C 10 alkenyl group, a linear or branched C 3 to C 10 alkynyl group, a C 3 to C 10 cyclic alkyl group, and a C 5 to C 10 aryl group
  • R 3 and R 4 are each independently selected from a linear or branched C 1 to C 10 alkylene group, a linear or branched C 3 to C 6 alkenylene group, a linear or branched C 3 to C 6 alkynylene group, a C 3 to C 10 cyclic al
  • the above steps define one cycle for the method described herein; and the cycle can be repeated until the desired thickness of a silicon containing film is obtained.
  • the desired thickness of the film can range from 1 ⁇ to 10,000 ⁇ .
  • a method of forming a silicon-containing film onto at least a surface of a substrate using a deposition process selected from a plasma enhanced atomic layer (PEALD) process and a plasma enhanced cyclic chemical vapor deposition (PECCVD) process the method comprising:
  • R 1 is selected from a linear or branched C 1 to C 10 alkyl group, a linear or branched C 3 to C 10 alkenyl group, a linear or branched C 3 to C 10 alkynyl group, a C 3 to C 10 cyclic alkyl group, and a C 5 to C 10 aryl group;
  • R 2 is selected from hydrogen, a linear or branched C 1 to C 10 alkyl group, a linear or branched C 3 to C 10 alkenyl group, a linear or branched C 3 to C 10 alkynyl group, a C 3 to C 10 cyclic alkyl group, and a C 5 to C 10 aryl group
  • R 3 and R 4 are each independently selected from a linear or branched C 1 to C 10 alkylene group, a linear or branched C 3 to C 6 alkenylene group, a linear or branched C 3 to C 6 alkynylene group, a C 3 to C 10 cyclic al
  • the plasma source is selected from the group consisting of hydrogen plasma, argon plasma, helium plasma, neon plasma, xenon plasma, and mixtures thereof.
  • the silicon-containing film is selected from the group consisting of silicon carbonitride, silicon carbide, silicon nitride, silicon carbonitride, and silicon carboxynitride.
  • a method of depositing amorphous or crystalline silicon film via an atomic layer deposition or cyclic chemical vapor deposition process or chemical vapor deposition at temperature lower than conventional silicon precursors comprising the steps of:
  • R 1 is selected from a linear or branched C 1 to C 10 alkyl group, a linear or branched C 3 to C 10 alkenyl group, a linear or branched C 3 to C 10 alkynyl group, a C 3 to C 10 cyclic alkyl group, and a C 5 to C 10 aryl group;
  • R 2 is selected from hydrogen, a linear or branched C 1 to C 10 alkyl group, a linear or branched C 3 to C 10 alkenyl group, a linear or branched C 3 to C 10 alkynyl group, a C 3 to C 10 cyclic alkyl group, and a C 5 to C 10 aryl group
  • R 3 and R 4 are each independently selected from a linear or branched C 1 to C 10 alkylene group, a linear or branched C 3 to C 6 alkenylene group, a linear or branched C 3 to C 6 alkynylene group, a C 3 to C 10 cyclic al
  • steps b through c are repeated until a desired thickness of the silicon film is obtained.
  • Formulae A through E precursors can generate H 2 Si: di-radicals or H 3 Si. radical upon heating which can promote formation oligomers containing Si—Si bonds or anchor on the surface of a substrate. Those oligomers or anchored SiH 2 or SiH 3 can further form amorphous silicon films. In this or other embodiments, those oligomers function as a seed layer for subsequent deposition of silicon or silicon oxide films.
  • the organoaminosilane precursors having Formulae A through E described herein can also be used as a dopant for metal containing films, such as but not limited to, metal oxide films or metal nitride films.
  • the metal containing film is deposited using an ALD or CVD process such as those processes described herein using metal alkoxide, metal amide, or volatile organometallic precursors.
  • suitable metal alkoxide precursors that may be used with the method disclosed herein include, but are not limited to, group 3 to 6 metal alkoxide, group 3 to 6 metal complexes having both alkoxy and alkyl substituted cyclopentadienyl ligands, group 3 to 6 metal complexes having both alkoxy and alkyl substituted pyrrolyl ligands, group 3 to 6 metal complexes having both alkoxy and diketonate ligands; group 3 to 6 metal complexes having both alkoxy and ketoester ligands;
  • suitable metal amide precursors that may be used with the method disclosed herein include, but are not limited to, tetrakis(dimethylamino)zirconium (TDMAZ), tetrakis(diethylamino)zirconium (TDEAZ), tetrakis(ethylmethylamino)zirconium (TEMAZ), tetrakis(dimethylamino)
  • organometallic precursors that may be used with the method disclosed herein include, but are not limited to, group 3 metal cyclopentadienyls or alkyl cyclopentadienyls.
  • exemplary Group 3 to 6 metal herein include, but not limited to, Y, La, Ce, Pr, Nd, Sm, Eu, Gd, Tb, Dy, Er, Yb, Lu, Ti, Hf, Zr, V, Nb, Ta, Cr, Mo, and W.
  • the resultant silicon-containing films or coatings can be exposed to a post-deposition treatment such as, but not limited to, a plasma treatment, chemical treatment, ultraviolet light exposure, electron beam exposure, and/or other treatments to affect one or more properties of the film.
  • a post-deposition treatment such as, but not limited to, a plasma treatment, chemical treatment, ultraviolet light exposure, electron beam exposure, and/or other treatments to affect one or more properties of the film.
  • the silicon-containing films described herein have a dielectric constant of 6 or less.
  • the films can have a dielectric constant of about 5 or below, or about 4 or below, or about 3.5 or below.
  • films having other dielectric constants e.g., higher or lower can be formed depending upon the desired end-use of the film.
  • the method described herein may be used to deposit a silicon-containing film on at least a portion of a substrate.
  • suitable substrates include but are not limited to, silicon, SiO 2 , Si 3 N 4 , OSG, FSG, silicon carbide, hydrogenated silicon carbide, silicon nitride, hydrogenated silicon nitride, silicon carbonitride, hydrogenated silicon carbonitride, boronitride, antireflective coatings, photoresists, a flexible substrate, organic polymers, porous organic and inorganic materials, metals such as copper and aluminum, and diffusion barrier layers such as but not limited to TiN, Ti(C)N, TaN, Ta(C)N, Ta, W, or WN.
  • the films are compatible with a variety of subsequent processing steps such as, for example, chemical mechanical planarization (CMP) and anisotropic etching processes.
  • CMP chemical mechanical planarization
  • the deposited films have applications, which include, but are not limited to, computer chips, optical devices, magnetic information storages, coatings on a supporting material or substrate, microelectromechanical systems (MEMS), nanoelectromechanical systems, thin film transistor (TFT), light emitting diodes (LED), organic light emitting diodes (OLED), IGZO, and liquid crystal displays (LCD).
  • MEMS microelectromechanical systems
  • TFT thin film transistor
  • LED light emitting diodes
  • OLED organic light emitting diodes
  • IGZO liquid crystal displays
  • GC-MS showed the following peaks: (a) 1-diethylamino-1,4-disilabutane: 161 (M+), 146 (M ⁇ 15), 130, 116, 102, 89, 72; (b) 1,4-bis(diethylamino)-1,4-disilabutane: 232 (M+), 217 (M ⁇ 15), 203, 187, 173, 160, 146, 130, 116.
  • organoaminosilane precursors of Formula A to E were made via similar fashion as Examples 1 to 4 and were characterized by mass spectroscopy (MS).
  • MS mass spectroscopy
  • Example 5 Atomic Layer Deposition of Silicon-containing Film using 1-di-iso-propylamino-1-4-disilabutane and Ozone
  • the following depositions were performed on a laboratory scale ALD processing tool at two temperature conditions: 55° C. and 100° C.
  • the silicon precursor was delivered to the chamber by vapor draw. All gases (e.g., purge and reactant gas or precursor and oxygen source) were preheated accordingly prior to entering the deposition zone. Gases and precursor flow rates were controlled with ALD diaphragm valves with high speed actuation.
  • the substrates used in the deposition were 12-inch long silicon strips.
  • a thermocouple attached on the sample holder to confirm substrate temperature during deposition.
  • Depositions were performed using ozone (6-19% wt) as oxygen source gas.
  • a typical ALD cycle comprises the following steps:
  • FIG. 1 provides growth rate per cycle vs. temperature for the 1-di-isopropylamino-1,4,-disilabutane films (average value from Ex. Film 1, 3, 5 at 100° C.) and Ex. Film 4 as well as films deposited via a thermal ALD process using the following organoaminosilanes: bis(diethylamino)silane (BDEAS: I. Suzuki, K. Yanagita, and C. Dussarrat, ECS Trans. 3 (15), 119 (2007) and M. W. O'Neill, H. R. Bowen, A. Derecskei-Kovacs, K. S. Cuthill, B. Han and M.
  • BDEAS bis(diethylamino)silane
  • the silicon-containing films deposited using the organoaminosilanes described herein exhibited higher growth rates relative to the other, referenced organoaminosilane precursors.
  • the deposition temperature can be extended to one or more temperatures below 100° C., such as Ex. Film 4 which was deposited at a temperature of 55° C.
  • Carbon concentration in the film range from 0.3 wt % to 9.6 wt % depending on the ozone concentration, suggesting it is possible to adjust the physical properties of the resultant silicon-containing films.
  • Example 6 Plasma Enhanced Atomic Layer Deposition of Silicon-containing Film using 1-di-iso-propylamino-1-4-disilabutane and Nitrogen/Argon Plasma
  • a deposition of silicon containing film was performed using 1-di-iso-propylamino-1,4-disilabutane and a nitrogen/argon plasma.
  • the silicon wafer was heated to 100° C. or 300° C., respectively.
  • Deposition process was performed using 300 mm production tool, ASM Stellar 3000, repeated 1000 times, using the following process conditions:
  • Deposition rate, refractive index, density as well as wet etch rate in dilute HF of the resultant films are listed below in Table 4. Referring to the data in Table 4, the oxygen is believed to come from post-deposition air exposure when samples were sending for XPS analysis.
  • Example 7 Plasma Enhanced Atomic Layer Deposition of Silicon-containing Film using 1-di-iso-propylamino-1-4-disilabutane and Argon Plasma
  • a deposition of silicon containing film was performed using 1-di-iso-propylamino-1,4-disilabutane and argon plasma.
  • the silicon wafer was heated to 100° C. or 300° C., respectively.
  • Deposition process was performed using 300 mm production tool, ASM Stellar 3000, repeated 1000 times, using the following process conditions:
  • Deposition rate, refractive index, film composition, density as well as wet etch rate of the resultant films in dilute HF are listed below in Table 5. Referring to the data in Table 5, the oxygen is believed to come from post-deposition air exposure when samples were sending for XPS analysis.

Abstract

Described herein are precursors and methods for forming silicon-containing films. In one aspect, the precursor comprises a compound represented by one of following Formulae A through E below:
Figure US10460929-20191029-C00001

In one particular embodiment, the organoaminosilane precursors are effective for a low temperature (e.g., 350° C. or less), atomic layer deposition (ALD) or plasma enhanced atomic layer deposition (PEALD) of a silicon-containing film. In addition, described herein is a composition comprising an organoaminosilane described herein wherein the organoaminosilane is substantially free of at least one selected from the amines, halides (e.g., Cl, F, I, Br), higher molecular weight species, and trace metals.

Description

CROSS-REFERENCE TO RELATED APPLICATIONS
This application is a continuation of application Ser. No. 14/483,751, filed on Sep. 11, 2014. This application also claims the priority benefit of U.S. Provisional Application No. 61/880,261, filed Sep. 20, 2013. The disclosure of this provisional application is hereby incorporated by reference in its entirety.
BACKGROUND OF THE INVENTION
Precursors, particularly organoaminosilane, and compositions thereof that can be used for the deposition of silicon-containing films, including but not limited to, amorphous silicon, crystalline silicon, silicon nitride, silicon oxide, carbon doped silicon oxide, silicon carbo-nitride, and silicon oxynitride films are described herein. In yet another aspect, described herein is the use of the precursors for depositing silicon-containing films in the fabrication of integrated circuit devices. In these or other aspects, the organoaminosilane precursors may be used for a variety of deposition processes, including but not limited to, atomic layer deposition (“ALD”), chemical vapor deposition (“CVD”), plasma enhanced chemical vapor deposition (“PECVD”), low pressure chemical vapor deposition (“LPCVD”), and atmospheric pressure chemical vapor deposition.
Several classes of compounds can be used as precursors for silicon-containing films such as, but not limited to, silicon oxide, carbon doped silicon oxide or silicon nitride films. Examples of these compounds suitable for use as precursors include silanes, chlorosilanes, polysilazanes, aminosilanes, and azidosilanes. Inert carrier gas or diluents such as, but not limited, helium, hydrogen, nitrogen, etc., are also used to deliver the precursors to the reaction chamber.
Low pressure chemical vapor deposition (LPCVD) processes are one of the more widely accepted methods used by semiconductor industry for the deposition of silicon-containing films. Low pressure chemical vapor deposition (LPCVD) using ammonia may require deposition temperatures of greater than 750° C. to obtain reasonable growth rates and uniformities. Higher deposition temperatures are typically employed to provide improved film properties. One of the more common industry methods to grow silicon nitride or other silicon-containing films is through low pressure chemical vapor deposition in a hot wall reactor at temperatures >750° C. using the precursors silane, dichlorosilane, and/or ammonia. However, there are several drawbacks using this method. For example, certain precursors, such as silane are pyrophoric. This may present problems in handling and usage. Also, films deposited from silane and dichlorosilane may contain certain impurities. For example, films deposited using dichlorosilane may contain certain impurities, such as chlorine and ammonium chloride, which are formed as byproducts during the deposition process. Films deposited using silane may contain hydrogen.
Precursors that are used in depositing silicon nitride films such as BTBAS and chlorosilanes generally deposit the films at temperatures greater than 550° C. The trend of miniaturization of semiconductor devices and low thermal budget requires a lower process temperature and a higher deposition rate. The temperature, at which the silicon films are deposited, should decrease in order to prevent ion diffusion in the lattice, particularly for those substrates comprising metallization layers and on many Group III-V and II-VI devices. Accordingly, there is a need in the art to provide precursors for the deposition of silicon-containing films, such as silicon oxide, carbon doped silicon oxide, silicon oxynitride, or silicon nitride films that are sufficiently chemically reactive to allow deposition via CVD, ALD or other processes at temperatures of 550° C. or below or even at room temperature.
US Publ. No. 2013/224964 describes a method of forming a dielectric film having Si—C bonds on a semiconductor substrate by atomic layer deposition (ALD), includes: (i) adsorbing a precursor on a surface of a substrate; (ii) reacting the adsorbed precursor and a reactant gas on the surface; and (iii) repeating steps (i) and (ii) to form a dielectric film having at least Si—C bonds on the substrate. The precursor has a Si—C—Si bond in its molecule, and the reactant gas is oxygen-free and halogen-free and is constituted by at least a rare gas.
JP Pat. No. JP2002158223 describes insulator films that are formed using Si-type materials with the formula: {R3(R4)N}3Si—{C(R1)R2}n—Si{N(R5)R6}3, where R1, R2═H, hydrocarbon groups, or X (halogen atom)-substituted hydrocarbon groups (R1 and R2 can be same), n=1-5 integer, R3, R4, R4 and R6═H, hydrocarbon groups or X (halogen atom)-substituted hydrocarbon groups (R3, R4, R5 and R6 can be same). The insulator films may be formed on substrates by CVD.
U.S. Pat. No. 7,125,582 describes a method and system that involves combining a Si source precursor and a nitrogen (N) source precursor at a temperature up to 550° C. and forming a Si nitride film.
The reference entitled “Synthesis of Volatile Cyclic Silylamines and the Molecular Structures of Two 1-Aza-2,5-disilacyclopentane Derivatives”, Mitzel, N. W. et al., Inorg. Chem., Vol 36(20) (1997), pp. 4360-4368 describes a synthesis for making α,ω-bis(bromosilyl)alkanes, BrH2Si(CH2)nSiH2Br (with n=2 and 3). In the reference, 1,2-Bis(bromosilyl)ethane reacts with ammonia to give 1,4-bis(1-aza-2,5-disilacyclopentane-1-yl)-1,4-disilabutane, traces of 1,6-diaza-2,5,7,10,11,14-hexasilabicyclo[4.4.4]tetradecane and nonvolatile products.
The reference entitled “Differences in reactivity of 1,4-disilabutane and n-tetrasilane towards secondary amines”, Z. Naturforsch., B: Chem. Sci. FIELD Full Journal Title:Zeitschrift fuer Naturforschung, B: Chemical Sciences 45(12): 1679-83 described a synthesis for making aminosilanes using 1,4-Disilabutane H3SiCH2CH2SiH3 (I) and n-tetrasilane H3SiSiH2SiH2SiH3.
BRIEF SUMMARY OF THE INVENTION
Described herein are organoaminosilane precursors, compositions comprising same, and methods using same for forming films comprising silicon, such as, but not limited to, amorphous silicon, crystalline silicon, silicon oxide, carbon doped silicon oxide, silicon nitride, silicon oxynitride, silicon carbide, silicon carbonitride, and combinations thereof onto at least a portion of a substrate. In one particular embodiment, the organoaminosilane precursors are effective for a low temperature (e.g., 350° C. or less), atomic layer deposition (ALD) or plasma enhanced atomic layer deposition (PEALD) of silicon oxide or carbon doped silicon oxide films. In addition, described herein is a composition comprising an organoaminosilane described herein wherein the organoaminosilane is substantially free of at least one selected from the amines, halides (e.g., Cl, F, I, Br), higher molecular weight species, and trace metals. In these or other embodiments, the composition may further comprise a solvent. Also disclosed herein are methods to form films comprising silicon or coatings on an object to be processed, such as, for example, a semiconductor wafer. In one embodiment of the method described herein, a film comprising silicon and oxygen is deposited onto a substrate using an organoaminosilane precursor and an oxygen-containing source in a deposition chamber under conditions for generating a silicon oxide, carbon doped silicon oxide film on the substrate. In another embodiment of the method described herein, a film comprising silicon and nitrogen is deposited onto a substrate using an organoaminosilane precursor and a nitrogen containing precursor in a deposition chamber under conditions for generating a silicon nitride film on the substrate. In a further embodiment, the organoaminosilane precursors described herein can also be used a dopant for metal containing films, such as but not limited to, metal oxide films or metal nitride films. In the compositions and methods described herein, an organoaminosilane having the formula described herein is employed as at least one of the silicon containing precursors.
In one aspect, the organoaminosilane precursor described herein comprises a compound represented by one of following Formulae A through E below:
Figure US10460929-20191029-C00002

wherein R1 is selected from a linear or branched C1 to C10 alkyl group, a linear or branched C3 to C10 alkenyl group, a linear or branched C3 to C10 alkynyl group, a C3 to C10 cyclic alkyl group, and a C5 to C10 aryl group; wherein R2 is selected from hydrogen, a linear or branched C1 to C10 alkyl group, a linear or branched C3 to C10 alkenyl group, a linear or branched C3 to C10 alkynyl group, a C3 to C10 cyclic alkyl group, and a C5 to C10 aryl group, R3 and R4 are each independently selected from a linear or branched C1 to C10 alkylene group, a linear or branched C3 to C6 alkenylene group, a linear or branched C3 to C6 alkynylene group, a C3 to C10 cyclic alkylene group, a C3 to C10 hetero-cyclic alkylene group, a C5 to C10 arylene group, and a C5 to C10 hetero-arylene group; n in Formula A equals 1 or 2; m in Formula A equals 0, 1, 2, or 3 and optionally wherein R3 in Formula D forms a ring selected from a four-membered, five-membered or six-membered ring with the two silicon atoms and at least one nitrogen atom; and p and q in Formula E equal 1 or 2.
In another aspect, there is provided a composition comprising: (a) at least one organoaminosilane precursor a compound represented by one of following Formulae A through E below:
Figure US10460929-20191029-C00003

wherein R1 is selected from a linear or branched C1 to C10 alkyl group, a linear or branched C3 to C10 alkenyl group, a linear or branched C3 to C10 alkynyl group, a C3 to C10 cyclic alkyl group, and a C5 to C10 aryl group; wherein R2 is selected from hydrogen, a linear or branched C1 to C10 alkyl group, a linear or branched C3 to C10 alkenyl group, a linear or branched C3 to C10 alkynyl group, a C3 to C10 cyclic alkyl group, and a C5 to C10 aryl group, R3 and R4 are each independently selected from a linear or branched C1 to C10 alkylene group, a linear or branched C3 to C6 alkenylene group, a linear or branched C3 to C6 alkynylene group, a C3 to C10 cyclic alkylene group, a C3 to C10 hetero-cyclic alkylene group, a C5 to C10 arylene group, and a C5 to C10 hetero-arylene group; n in Formula A equals 1 or 2; m in Formula A equals 0, 1, 2, or 3; p and q in Formula E equal 1 or 2; and optionally wherein R3 in Formula D forms a ring selected from a four-membered, five-membered or six-membered ring with the two silicon atoms and at least one nitrogen atom; and (b) a solvent. In certain embodiments of the composition described herein, exemplary solvents can include, without limitation, ether, tertiary amine, alkyl hydrocarbon, aromatic hydrocarbon, tertiary aminoether, and combinations thereof. In certain embodiments, the difference between the boiling point of the organoaminosilane and the boiling point of the solvent is 40° C. or less.
In another aspect, there is provided a method for forming a silicon-containing film on at least one surface of a substrate comprising:
providing the at least one surface of the substrate in a reaction chamber; and forming the silicon-containing film on the at least one surface by a deposition process chosen from a chemical vapor deposition process and an atomic layer deposition process using at least one organoaminosilane precursor a compound represented by one of following Formulae A through E below:
Figure US10460929-20191029-C00004

wherein R1 is selected from a linear or branched C1 to C10 alkyl group, a linear or branched C3 to C10 alkenyl group, a linear or branched C3 to C10 alkynyl group, a C3 to C10 cyclic alkyl group, and a C5 to C10 aryl group; wherein R2 is selected from hydrogen, a linear or branched C1 to C10 alkyl group, a linear or branched C3 to C10 alkenyl group, a linear or branched C3 to C10 alkynyl group, a C3 to C10 cyclic alkyl group, and a C5 to C10 aryl group, R3 and R4 are each independently selected from a linear or branched C1 to C10 alkylene group, a linear or branched C3 to C6 alkenylene group, a linear or branched C3 to C6 alkynylene group, a C3 to C10 cyclic alkylene group, a C3 to C10 hetero-cyclic alkylene group, a C5 to C10 arylene group, and a C5 to C10 hetero-arylene group; n in Formula A equals 1 or 2; m in Formula A equals 0, 1, 2, or 3; p and q in Formula E equal 1 or 2; and optionally wherein R3 in Formula D forms a ring selected from a four-membered, five-membered or six-membered ring with the two silicon atoms and at least one nitrogen atom. In certain embodiments, R1 and R2 are the same. In other embodiments, R1 and R2 are different. In the foregoing or other embodiments, R1 and R2 can be linked together to form a ring. In further embodiments, R1 and R2 are not linked together to form a ring.
In another aspect, there is provided a method of forming a silicon oxide, carbon doped silicon oxide film via an atomic layer deposition process or ALD-like process, the method comprising the steps of:
a. providing a substrate in a reactor;
b. introducing into the reactor at least one organoaminosilane precursor a compound represented by one of following Formulae A through E below:
Figure US10460929-20191029-C00005

wherein R1 is selected from a linear or branched C1 to C10 alkyl group, a linear or branched C3 to C10 alkenyl group, a linear or branched C3 to C10 alkynyl group, a C3 to C10 cyclic alkyl group, and a C5 to C10 aryl group; wherein R2 is selected from hydrogen, a linear or branched C1 to C10 alkyl group, a linear or branched C3 to C10 alkenyl group, a linear or branched C3 to C10 alkynyl group, a C3 to C10 cyclic alkyl group, and a C5 to C10 aryl group, R3 and R4 are each independently selected from a linear or branched C1 to C10 alkylene group, a linear or branched C3 to C6 alkenylene group, a linear or branched C3 to C6 alkynylene group, a C3 to C10 cyclic alkylene group, a C3 to C10 hetero-cyclic alkylene group, a C5 to C10 arylene group, and a C5 to C10 hetero-arylene group; n in Formula A equals 1 or 2; m in Formula A equals 0, 1, 2, or 3; p and q in Formula E equal 1 or 2; and optionally wherein R3 in Formula D forms a ring selected from a four-membered, five-membered or six-membered ring with the two silicon atoms and at least one nitrogen atom;
c. purging the reactor with a purge gas;
d. introducing an oxygen-containing source into the reactor; and
e. purging the reactor with a purge gas; wherein steps b through e are repeated until a desired thickness of the film is obtained.
In a further aspect, there is provided a method of forming a film selected from a silicon oxide film and a carbon doped silicon oxide film onto at least a surface of a substrate using a CVD process comprising:
a. providing a substrate in a reactor;
b. introducing into the reactor at least one organoaminosilane precursor a compound represented by one of following Formulae A through E below:
Figure US10460929-20191029-C00006

wherein R1 is selected from a linear or branched C1 to C10 alkyl group, a linear or branched C3 to C10 alkenyl group, a linear or branched C3 to C10 alkynyl group, a C3 to C10 cyclic alkyl group, and a C5 to C10 aryl group; wherein R2 is selected from hydrogen, a linear or branched C1 to C10 alkyl group, a linear or branched C3 to C10 alkenyl group, a linear or branched C3 to C10 alkynyl group, a C3 to C10 cyclic alkyl group, and a C5 to C10 aryl group, R3 and R4 are each independently selected from a linear or branched C1 to C10 alkylene group, a linear or branched C3 to C6 alkenylene group, a linear or branched C3 to C6 alkynylene group, a C3 to C10 cyclic alkylene group, a C3 to C10 hetero-cyclic alkylene group, a C5 to C10 arylene group, and a C5 to C10 hetero-arylene group; n in Formula A equals 1 or 2; m in Formula A equals 0, 1, 2, or 3; p and q in Formula E equal 1 or 2; and optionally wherein R3 in Formula D forms a ring selected from a four-membered, five-membered or six-membered ring with the two silicon atoms and at least one nitrogen atom; and
c. providing an oxygen-containing source to deposit the film onto the at least one surface. In certain embodiments of the method, R1 and R2 are the same. In other embodiments, R1 and R2 are different. In the foregoing or other embodiments, R1 and R2 can be linked together to form a ring. In the yet further embodiments, R1 and R2 are not linked together to form a ring.
In another aspect, there is provided a method of forming a silicon nitride or silicon carbonitride film via an atomic layer deposition process, the method comprising the steps of:
a. providing a substrate in a reactor;
b. introducing into the reactor an at least one organoaminosilane precursor a compound represented by one of following Formulae A through E below:
Figure US10460929-20191029-C00007

wherein R1 is selected from a linear or branched C1 to C10 alkyl group, a linear or branched C3 to C10 alkenyl group, a linear or branched C3 to C10 alkynyl group, a C3 to C10 cyclic alkyl group, and a C5 to C10 aryl group; wherein R2 is selected from hydrogen, a linear or branched C1 to C10 alkyl group, a linear or branched C3 to C10 alkenyl group, a linear or branched C3 to C10 alkynyl group, a C3 to C10 cyclic alkyl group, and a C5 to C10 aryl group, R3 and R4 are each independently selected from a linear or branched C1 to C10 alkylene group, a linear or branched C3 to C6 alkenylene group, a linear or branched C3 to C6 alkynylene group, a C3 to C10 cyclic alkylene group, a C3 to C10 hetero-cyclic alkylene group, a C5 to C10 arylene group, and a C5 to C10 hetero-arylene group; n in Formula A equals 1 or 2; m in Formula A equals 0, 1, 2, or 3; p and q equal 1 or 2 in Formula E and optionally wherein R3 in Formula D forms a ring selected from a four-membered, five-membered or six-membered ring with the two silicon atoms and at least one nitrogen atom;
c. purging the reactor with a purge gas;
d. introducing a nitrogen-containing source into the reactor;
e. purging the reactor with a purge gas; and wherein steps b through e are repeated until a desired thickness of the silicon nitride film is obtained. In certain embodiments, R1 and R2 in Formulae A through E are the same. In other embodiments, R1 and R2 are different. In the foregoing or other embodiments, R1 and R2 can be linked together to form a ring. In a further embodiment, R1 and R2 are not linked together to form a ring.
In a further aspect, there is provided a method of forming a silicon nitride or carbonitride film onto at least a surface of a substrate using a CVD process comprising:
a. providing a substrate in a reactor;
b. introducing into the reactor at least one organoaminosilane precursor a compound represented by one of following Formulae A through E below:
Figure US10460929-20191029-C00008

wherein R1 is selected from a linear or branched C1 to C10 alkyl group, a linear or branched C3 to C10 alkenyl group, a linear or branched C3 to C10 alkynyl group, a C3 to C10 cyclic alkyl group, and a C5 to C10 aryl group; wherein R2 is selected from hydrogen, a linear or branched C1 to C10 alkyl group, a linear or branched C3 to C10 alkenyl group, a linear or branched C3 to C10 alkynyl group, a C3 to C10 cyclic alkyl group, and a C5 to C10 aryl group, R3 and R4 are each independently selected from a linear or branched C1 to C10 alkylene group, a linear or branched C3 to C6 alkenylene group, a linear or branched C3 to C6 alkynylene group, a C3 to C10 cyclic alkylene group, a C3 to C10 hetero-cyclic alkylene group, a C5 to C10 arylene group, and a C5 to C10 hetero-arylene group; n in Formula A equals 1 or 2; m in Formula A equals 0, 1, 2, or 3; p and q in Formula E equal 1 or 2; and optionally wherein R3 in Formula D forms a ring selected from a four-membered, five-membered or six-membered ring with the two silicon atoms and at least one nitrogen atom;
c. providing a nitrogen-containing source wherein the at least one organoaminosilane precursors and the nitrogen-containing source react to deposit the film onto the at least one surface. In certain embodiments, R1 and R2 are the same. In other embodiments, R1 and R2 are different. In the foregoing or other embodiments, R1 and R2 can be linked together to form a ring. In the yet further embodiments, R1 and R2 are not linked together to form a ring.
In a further embodiment of the method described herein, the process is depositing an amorphous or a crystalline silicon film. In this embodiment, the method comprises:
placing one or more substrates into a reactor which is heated to one or more temperatures ranging from ambient temperature to about 700° C.;
introducing at least one organoaminosilane precursor a compound represented by one of following Formulae A through E below:
Figure US10460929-20191029-C00009

wherein R1 is selected from a linear or branched C1 to C10 alkyl group, a linear or branched C3 to C10 alkenyl group, a linear or branched C3 to C10 alkynyl group, a C3 to C10 cyclic alkyl group, and a C5 to C10 aryl group; wherein R2 is selected from hydrogen, a linear or branched C1 to C10 alkyl group, a linear or branched C3 to C10 alkenyl group, a linear or branched C3 to C10 alkynyl group, a C3 to C10 cyclic alkyl group, and a C5 to C10 aryl group, R3 and R4 are each independently selected from a linear or branched C1 to C10 alkylene group, a linear or branched C3 to C6 alkenylene group, a linear or branched C3 to C6 alkynylene group, a C3 to C10 cyclic alkylene group, a C3 to C10 hetero-cyclic alkylene group, a C5 to C10 arylene group, and a C5 to C10 hetero-arylene group; n in Formula A equals 1 or 2; m in Formula A equals 0, 1, 2, or 3; p and q in Formula E equal 1 or 2; and optionally wherein R3 in Formula D forms a ring selected from a four-membered, five-membered or six-membered ring with the two silicon atoms and at least one nitrogen atom; and
providing a reducing agent source into the reactor to at least partially react with the at least one organoaminosilane precursor and deposit a silicon-containing film onto the one or more substrates. The reducing agent is selected from the group consisting of hydrogen, hydrogen plasma, and hydrogen chloride. In certain embodiments of the CVD method, the reactor is maintained at a pressure ranging from 10 mTorr to 760 Torr during the introducing step. The above steps define one cycle for the method described herein, and the cycle of steps can be repeated until the desired thickness of a film is obtained. In certain embodiments, R1 and R2 are the same. In other embodiments, R1 and R2 are different. In the foregoing or other embodiments, R1 and R2 can be linked together to form a ring. In the yet further embodiments, R1 and R2 are not linked together to form a ring.
In another aspect, there is provided a method of depositing an amorphous or a crystalline silicon film via an atomic layer deposition or cyclic chemical vapor deposition process, the method comprising the steps of:
a. providing a substrate in a reactor;
b. introducing into the reactor at least one organoaminosilane precursor a compound represented by one of following Formulae A through E below:
Figure US10460929-20191029-C00010

wherein R1 is selected from a linear or branched C1 to C10 alkyl group, a linear or branched C3 to C10 alkenyl group, a linear or branched C3 to C10 alkynyl group, a C3 to C10 cyclic alkyl group, and a C5 to C10 aryl group; wherein R2 is selected from hydrogen, a linear or branched C1 to C10 alkyl group, a linear or branched C3 to C10 alkenyl group, a linear or branched C3 to C10 alkynyl group, a C3 to C10 cyclic alkyl group, and a C5 to C10 aryl group, R3 and R4 are each independently selected from a linear or branched C1 to C10 alkylene group, a linear or branched C3 to C6 alkenylene group, a linear or branched C3 to C6 alkynylene group, a C3 to C10 cyclic alkylene group, a C3 to C10 hetero-cyclic alkylene group, a C5 to C10 arylene group, and a C5 to C10 hetero-arylene group; n in Formula A equals 1 or 2; m in Formula A equals 0, 1, 2, or 3; p and q in Formula E equal 1 or 2; and optionally wherein R3 in Formula D forms a ring selected from a four-membered, five-membered or six-membered ring with the two silicon atoms and at least one nitrogen atom wherein step b is repeated until a desired thickness of the film is obtained. In certain embodiments, the thickness of the film can be 1 Å or greater, or 1 to 10,000 Å, or 1 to 1000 Å, or 1 to 100 Å.
In another aspect, a vessel for depositing a silicon-containing film comprising one or more organoaminosilane precursor having any one of Formulae A, B, C, or D or E a combination thereof of one or more precursors represented by Formulae A, B, C, D or E is described herein. In one particular embodiment, the vessel comprises at least one pressurizable vessel (preferably of stainless steel) fitted with the proper valves and fittings to allow the delivery of one or more precursors to the reactor for a CVD or an ALD process.
In yet another aspect, there is provided a method for preparing an organoaminosilane comprising a compound represented by one of following Formulae A through E below:
Figure US10460929-20191029-C00011

wherein R1 is selected from a linear or branched C1 to C10 alkyl group, a linear or branched C3 to C10 alkenyl group, a linear or branched C3 to C10 alkynyl group, a C3 to C10 cyclic alkyl group, and a C5 to C10 aryl group; wherein R2 is selected from hydrogen, a linear or branched C1 to C10 alkyl group, a linear or branched C3 to C10 alkenyl group, a linear or branched C3 to C10 alkynyl group, a C3 to C10 cyclic alkyl group, and a C5 to C10 aryl group, R3 and R4 are each independently selected from a linear or branched C1 to C10 alkylene group, a linear or branched C3 to C6 alkenylene group, a linear or branched C3 to C6 alkynylene group, a C3 to C10 cyclic alkylene group, a C3 to C10 hetero-cyclic alkylene group, a C5 to C10 arylene group, and a C5 to C10 hetero-arylene group; wherein n in Formula A equals 1 or 2; m in Formula A equals 0, 1, 2, or 3; p and q equal 1 or 2 in Formula E and optionally wherein R3 in Formula D forms a ring selected from a four-membered, five-membered or six-membered ring with the two silicon atoms and at least one nitrogen atom, the method comprising the steps of:
reacting an amine having a formula selected from R1R2NH and R1NH2 wherein R1 in the amine is selected from a linear or branched C1 to C10 alkyl group, a linear or branched C3 to C10 alkenyl group, a linear or branched C3 to C10 alkynyl group, a C3 to C10 cyclic alkyl group, and a C5 to C10 aryl group; wherein R2 in the amine is selected from hydrogen, a linear or branched C1 to C10 alkyl group, a linear or branched C3 to C10 alkenyl group, a linear or branched C3 to C10 alkynyl group, a C3 to C10 cyclic alkyl group, and a C5 to C10 aryl group with a silicon source comprising at least one compound selected from the:
Figure US10460929-20191029-C00012

wherein R3 and R4 in the silicon source are independently selected from a linear or branched C1 to C10 alkylene group, a linear or branched C3 to C6 alkenylene group, a linear or branched C3 to C6 alkynylene group, a C3 to C10 cyclic alkylene group, a C3 to C10 hetero-cyclic alkylene group, a C5 to C10 arylene group, and a C5 to C10 hetero-arylene group in the presence of a catalyst under reaction conditions sufficient for at least a portion of the silicon source and at least a portion of the amine to react and provide the organoaminosilane.
BRIEF DESCRIPTION OF FIGURE
FIG. 1 shows the relative deposition rates of the organoaminosilane described herein, 1-di-iso-propylamino-1,4-disilabutane, compared to deposition rates of other organoaminosilanes provided in reference articles such as bis(diethylamino)silane (BDEAS), bis(tert-butylamino)silane (BTBAS), bis(ethylmethylamino)silane (BEMAS), tris(dimethylamino)silane(TRDMAS), and di-sec-butylaminosilane (DSBAS).
DETAILED DESCRIPTION OF THE INVENTION
The organoaminosilanes described herein are used as precursors to form stoichiometric and non-stoichiometric silicon containing films such as, but not limited to, amorphous silicon, silicon-rich carbonitride, crystalline silicon, silicon oxide, silicon oxycarbide, silicon nitride, silicon oxynitride, and silicon oxycarbonitride. These precursors can also be used, for example, as dopants for metal containing films. The organoaminosilane precursors used in semi-conductor processes are typically high purity volatile liquid precursor chemical that are vaporized and delivered to a deposition chamber or reactor as a gas to deposit a silicon containing film via CVD or ALD processes for semiconductor devices. The selection of precursor materials for deposition depends upon the desired resultant silicon-containing material or film. For example, a precursor material may be chosen for its content of chemical elements, its stoichiometric ratios of the chemical elements, and/or the resultant silicon containing film or coating that are formed under CVD. The precursor material may also be chosen for various other characteristics such as cost, relatively low toxicity, handling characteristics, ability to maintain liquid phase at room temperature, volatility, molecular weight, and/or other considerations. In certain embodiments, the precursors described herein can be delivered to the reactor system by any number of means, preferably using a pressurizable stainless steel vessel fitted with the proper valves and fittings, to allow the delivery of liquid phase precursor to the deposition chamber or reactor.
The organoaminosilane precursors described herein exhibit a balance of reactivity and stability that makes them ideally suitable as CVD or ALD precursors in microelectronic device manufacturing processes. With regard to reactivity, certain precursors may have boiling points that are too high to be vaporized and delivered to the reactor to be deposited as a film on a substrate. Precursors having higher relative boiling points require that the delivery container and lines need to be heated at or above the boiling point of the precursor under a given vacuum to prevent condensation or particles from forming in the container, lines, or both. With regard to stability, other precursors may form silane (SiH4) or disilane (Si2H6) as they degrade. Silane is pyrophoric at room temperature or it can spontaneously combust which presents safety and handling issues. Moreover, the formation of silane or disilane and other by-products decreases the purity level of the precursor and changes as small as 1-2% in chemical purity may be considered unacceptable for reliable semiconductor manufacture. In certain embodiments, the organoaminosilane precursors having Formulae A through E described herein comprise 2% or less by weight, or 1% or less by weight, or 0.5% or less by weight of by-product after being stored for a time period of 6 months or greater, or one year or greater which is indicative of being shelf stable. In addition to the foregoing advantages, in certain embodiments, such as for depositing a silicon oxide or silicon nitride or silicon film using an ALD, ALD-like, PEALD, or CCVD deposition method, the organoaminosilane precursor described herein may be able to deposit high density materials at relatively low deposition temperatures, e.g., 500° C. or less, or 400° C. or less, 300° C. or less, 200° C. or less, 100° C. or less, or 50° C. or less. In one particular embodiment, the organoaminosilane precursor can be used to deposit a silicon-containing film via ALD or PEALD at a temperature as low as 50° C. or less or at ambient or room temperature (e.g., 25° C.).
In one embodiment, described herein is a composition for forming a silicon-containing film comprising: an organoaminosilane having any one of Formulae A through E described herein and a solvent(s). Without being bound by any theory, it is believed that composition described herein may provide one or more advantages compared to pure organoaminosilane. These advantages include: better usage of the organoaminosilane in semiconductor processes, better stability over long term storage, cleaner evaporation by flash vaporization, and/or overall more stable direct liquid injection (DLI) chemical vapor deposition process. The weight percentage of the organoaminosilane in the composition can range from 1 to 99% with the balance being solvent(s) wherein the solvent(s) does not react with the organoaminosilane and has a boiling point similar to the organoaminosilane. With regard to the latter, the difference between the boiling points of the organoaminosilane and solvent(s) in the composition is 40° C. or less, more preferably 20° C. or less, or 10° C. or less. Exemplary solvents include, but not limited to, hexanes, octane, toluene, ethylcyclohexane, decane, dodecane, bis(2-dimethylaminoethyl) ether.
In one aspect, there is provided at least one organoaminosilane precursor a compound represented by one of following Formulae A through E below:
Figure US10460929-20191029-C00013

wherein R1 is selected from a linear or branched C1 to C10 alkyl group, a linear or branched C3 to C10 alkenyl group, a linear or branched C3 to C10 alkynyl group, a C3 to C10 cyclic alkyl group, and a C5 to C10 aryl group; wherein R2 is selected from hydrogen, a linear or branched C1 to C10 alkyl group, a linear or branched C3 to C10 alkenyl group, a linear or branched C3 to C10 alkynyl group, a C3 to C10 cyclic alkyl group, and a C5 to C10 aryl group, R3 and R4 are each independently selected from a linear or branched C1 to C10 alkylene group, a linear or branched C3 to C6 alkenylene group, a linear or branched C3 to C6 alkynylene group, a C3 to C10 cyclic alkylene group, a C3 to C10 hetero-cyclic alkylene group, a C5 to C10 arylene group, and a C5 to C10 hetero-arylene group; n in Formula A equals 1 or 2; m in Formula A equals 0, 1, 2, or 3; p and q in Formula E equal 1 or 2; and optionally wherein R3 in Formula D forms a ring selected from a four-membered, five-membered or six-membered ring with the two silicon atoms and at least one nitrogen atom.
In the formulae and throughout the description, the term “alkyl” denotes a linear, or branched functional group having from 1 to 10 or 1 to 6 carbon atoms. Exemplary alkyl groups include, but are not limited to, methyl (Me), ethyl (Et), propyl (Prn), isopropyl (Pri), butyl (Bun), isobutyl (Bui), sec-butyl (Bus), tert-butyl (But), pentyl, iso-pentyl, tert-pentyl (Amt), hexyl, iso-hexyl, and neo-hexyl. In certain embodiments, the alkyl group may have one or more functional groups such as, but not limited to, an alkoxy group, a dialkylamino group or combinations thereof, attached thereto. In other embodiments, the alkyl group does not have one or more functional groups attached thereto. Exemplary organoaminosilanes having Formula A and having alkyl groups as R1 and R2 (if present) and an alkylene group such as methylene —CH2— or ethylene —CH2CH2— as R3 include, but are not limited to:
Figure US10460929-20191029-C00014
Figure US10460929-20191029-C00015
In the formulae and throughout the description, the term “cyclic alkyl” denotes a cyclic functional group having from 3 to 10 or from 4 to 10 carbon atoms or from 5 to 10 carbon atoms. Exemplary cyclic alkyl groups include, but are not limited to, cyclobutyl, cyclopentyl, cyclohexyl, and cyclooctyl groups. Exemplary organoaminosilanes having Formula A and having cyclic alkyl groups as R1 and R2 (if present) and an alkylene group such as methylene —CH2— or ethylene —CH2CH2— as R3 include, but are not limited to:
Figure US10460929-20191029-C00016
In the formulae and throughout the description, the term “aryl” denotes an aromatic cyclic functional group having from 5 to 12 carbon atoms or from 6 to 10 carbon atoms.
Exemplary aryl groups include, but are not limited to, phenyl (Ph), benzyl, chlorobenzyl, tolyl, and o-xylyl. Exemplary organoaminosilanes having Formula A and having aryl groups as R1 and R2 (if present) and an alkylene group methylene —CH2— or ethylene —CH2CH2— as R3 include:
Figure US10460929-20191029-C00017
In certain embodiments, one or more of the alkyl group, alkenyl group, alkynyl group, and/or aryl group in Formulae A through E may be substituted or have one or more atoms or group of atoms substituted in place of, for example, a hydrogen atom. Exemplary substituents include, but are not limited to, oxygen, sulfur, halogen atoms (e.g., F, Cl, I, or Br), nitrogen, and phosphorous. In other embodiments, one or more of the alkyl group, alkenyl group, alkynyl group, and/or aryl group in Formulae A through E may be unsubstituted.
In the formulae and throughout the description, the cyclic alkyl is substituted or is a hetero-cyclic alkyl group. The term “hetero-cyclic alkyl” denotes a cyclic functional group having from 3 to 10 or from 4 to 10 carbon atoms or from 5 to 10 carbon atoms as well as at least one oxygen atom or nitrogen atom or both. Exemplary organoaminosilanes having Formula A and having hetero-cyclic alkyl groups as R1 and R2 (if present) and an alkylene group methylene —CH2— as R3 include, but are not limited to:
Figure US10460929-20191029-C00018
In the formulae and throughout the description, the aryl is substituted or is a hetero-aryl group. The term “hetero aryl” denotes aryl functional group having from 3 to 10 or from 4 to 10 carbon atoms or from 5 to 10 carbon atoms as well as at least one oxygen atom or nitrogen atom or both. In the formulae and throughout the description, the term “alkenyl group” denotes a group which has one or more carbon-carbon double bonds and has from 3 to 10 or from 3 to 6 or from 3 to 4 carbon atoms.
In the formulae and throughout the description, the term “alkynyl group” denotes a group which has one or more carbon-carbon triple bonds and has from 3 to 10 or from 3 to 6 or from 3 to 4 carbon atoms.
In the formulae and throughout the description, the term “alkylene” denotes a hydrocarbon group having from 1 to 10 or from 4 to 10 carbon atoms or from 5 to 10 carbon atoms and are connected to two silicon atoms. Exemplary alkylene groups include, but are not limited to, methylene (—CH2—), ethylene (—CH2CH2—), propylene (—CH2CH2CH2—), and iso-propylene (—CH(Me)CH2—).
In the formulae and throughout the description, the term “arylene” denotes an aromatic cyclic functional group having from 5 to 12 carbon atoms or from 6 to 10 carbon atoms, preferably the two Si atoms are bonded to 1,2-positions or 1,4-positions of the arylene groups.
In the formulae and throughout the description, the term “hetero-arylene” denotes an aromatic cyclic functional group having from 5 to 12 carbon atoms or from 6 to 10 carbon atoms, preferably the two Si atoms are bonded to 1,2-positions of the hetero-arylene groups.
In certain embodiments, R3 can be linked in the Formula D to form a ring structure. Exemplary organoaminosilanes include, but are not limited to:
Figure US10460929-20191029-C00019
In yet another embodiments, R3 and R4 are each methylene —CH2— or, alternatively, each ethylene —CH2CH2— in Formula E. Exemplary organoaminosilanes include, but are not limited to:
Figure US10460929-20191029-C00020
The method used to form the silicon-containing films or coatings are deposition processes. Examples of suitable deposition processes for the method disclosed herein include, but are not limited to, cyclic CVD (CCVD), MOCVD (Metal Organic CVD), thermal chemical vapor deposition, plasma enhanced chemical vapor deposition (“PECVD”), high density PECVD, photon assisted CVD, plasma-photon assisted (“PPECVD”), cryogenic chemical vapor deposition, chemical assisted vapor deposition, hot-filament chemical vapor deposition, CVD of a liquid polymer precursor, deposition from supercritical fluids, and low energy CVD (LECVD). In certain embodiments, the metal containing films are deposited via atomic layer deposition (ALD), plasma enhanced ALD (PEALD) or plasma enhanced cyclic CVD (PECCVD) process. As used herein, the term “chemical vapor deposition processes” refers to any process wherein a substrate is exposed to one or more volatile precursors, which react and/or decompose on the substrate surface to produce the desired deposition. As used herein, the term “atomic layer deposition process” refers to a self-limiting (e.g., the amount of film material deposited in each reaction cycle is constant), sequential surface chemistry that deposits films of materials onto substrates of varying compositions. Although the precursors, reagents and sources used herein may be sometimes described as “gaseous”, it is understood that the precursors can be either liquid or solid which are transported with or without an inert gas into the reactor via direct vaporization, bubbling or sublimation. In some case, the vaporized precursors can pass through a plasma generator. In one embodiment, the silicon-containing film is deposited using an ALD process. In another embodiment, the silicon-containing film is deposited using a CCVD process. In a further embodiment, the silicon-containing film is deposited using a thermal CVD process. The term “reactor” as used herein, includes without limitation, reaction chamber or deposition chamber.
In certain embodiments, the method disclosed herein avoids pre-reaction of the precursors by using ALD or CCVD methods that separate the precursors prior to and/or during the introduction to the reactor. In this connection, deposition techniques such as ALD or CCVD processes are used to deposit the silicon-containing film. In one embodiment, the film is deposited via an ALD process by exposing the substrate surface alternatively to the one or more the silicon-containing precursor, oxygen-containing source, nitrogen-containing source, or other precursor or reagent. Film growth proceeds by self-limiting control of surface reaction, the pulse length of each precursor or reagent, and the deposition temperature. However, once the surface of the substrate is saturated, the film growth ceases.
In certain embodiments, the method described herein further comprises one or more additional silicon-containing precursors other than the organoaminosilane precursor having the above Formulae A through E. Examples of additional silicon-containing precursors include, but are not limited to, monoaminosilane (e.g., di-iso-propylaminosilane, di-sec-butylaminosilane, phenylmethylaminosilane); organo-silicon compounds such as trisilylamine (TSA); siloxanes (e.g., hexamethyl disiloxane (HMDSO) and dimethyl siloxane (DMSO)); organosilanes (e.g., methylsilane, dimethylsilane, diethylsilane, vinyl trimethylsilane, trimethylsilane, tetramethylsilane, ethylsilane, disilylmethane, 2,4-disilapentane, 1,4-disilabutane, 2,5-disilahexane, 2,2-disilylpropane, 1,3,5-trisilacyclohexane and fluorinated derivatives of these compounds); phenyl-containing organo-silicon compounds (e.g., dimethylphenylsilane and diphenylmethylsilane); oxygen-containing organo-silicon compounds, e.g., dimethyldimethoxysilane; 1,3,5,7-tetramethylcyclotetrasiloxane; 1,1,3,3-tetramethyldisiloxane; 1,3,5,7-tetrasila-4-oxo-heptane; 2,4,6,8-tetrasila-3,7-dioxo-nonane; 2,2-dimethyl-2,4,6,8-tetrasila-3,7-dioxo-nonane; octamethylcyclotetrasiloxane; [1,3,5,7,9]-pentamethylcyclopentasiloxane; 1,3,5,7-tetrasila-2,6-dioxo-cyclooctane; hexamethylcyclotrisiloxane; 1,3-dimethyldisiloxane; 1,3,5,7,9-pentamethylcyclopentasiloxane; hexamethoxydisiloxane, and fluorinated derivatives of these compounds.
Depending upon the deposition method, in certain embodiments, the one or more silicon-containing precursors may be introduced into the reactor at a predetermined molar volume, or from about 0.1 to about 1000 micromoles. In this or other embodiments, the silicon-containing and/or organoaminosilane precursor may be introduced into the reactor for a predetermined time period. In certain embodiments, the time period ranges from about 0.001 to about 500 seconds.
In certain embodiments, the silicon-containing films deposited using the methods described herein are formed in the presence of oxygen using an oxygen-containing source, reagent or precursor comprising oxygen. An oxygen-containing source may be introduced into the reactor in the form of at least one oxygen-containing source and/or may be present incidentally in the other precursors used in the deposition process. Suitable oxygen-containing source gases may include, for example, water (H2O) (e.g., deionized water, purifier water, and/or distilled water), oxygen (O2), oxygen plasma, ozone (C3), NO, N2O, NO2, carbon monoxide (CO), carbon dioxide (CO2), carbon dioxide plasma, and combinations thereof. In certain embodiments, the oxygen-containing source comprises an oxygen-containing source gas that is introduced into the reactor at a flow rate ranging from about 1 to about 2000 standard cubic centimeters (sccm) or from about 1 to about 1000 sccm. The oxygen-containing source can be introduced for a time that ranges from about 0.1 to about 100 seconds. In one particular embodiment, the oxygen-containing source comprises water having a temperature of 10° C. or greater. In embodiments wherein the film is deposited by an ALD or a cyclic CVD process, the precursor pulse can have a pulse duration that is greater than 0.01 seconds, and the oxygen-containing source can have a pulse duration that is less than 0.01 seconds, while the water pulse duration can have a pulse duration that is less than 0.01 seconds. In yet another embodiment, the purge duration between the pulses that can be as low as 0 seconds or is continuously pulsed without a purge in-between. The oxygen-containing source or reagent is provided in a molecular amount less than a 1:1 ratio to the silicon precursor, so that at least some carbon is retained in the as deposited silicon-containing film.
In certain embodiments, the silicon-containing films comprise silicon and nitrogen. In these embodiments, the silicon-containing films deposited using the methods described herein are formed in the presence of nitrogen-containing source. A nitrogen-containing source may be introduced into the reactor in the form of at least one nitrogen-containing source and/or may be present incidentally in the other precursors used in the deposition process. Suitable nitrogen-containing source gases may include, for example, ammonia, hydrazine, monoalkylhydrazine, dialkylhydrazine, nitrogen, nitrogen/hydrogen, ammonia plasma, nitrogen plasma, nitrogen/argon plasma, nitrogen/helium plasma, nitrogen/hydrogen plasma, and mixture thereof. In certain embodiments, the nitrogen-containing source comprises an ammonia plasma or hydrogen/nitrogen plasma or nitrogen/argon plasma or nitrogen/helium plasma source gas that is introduced into the reactor at a flow rate ranging from about 1 to about 2000 standard cubic centimeters (sccm) or from about 1 to about 1000 sccm. The nitrogen-containing source can be introduced for a time that ranges from about 0.01 to about 100 seconds. In embodiments wherein the film is deposited by an ALD or a cyclic CVD process, the precursor pulse can have a pulse duration that is greater than 0.01 seconds, and the nitrogen-containing source can have a pulse duration that is less than 0.01 seconds, while the water pulse duration can have a pulse duration that is less than 0.01 seconds. In yet another embodiment, the purge duration between the pulses that can be as low as 0 seconds or is continuously pulsed without a purge in-between.
The deposition methods disclosed herein may involve one or more purge gases. The purge gas, which is used to purge away unconsumed reactants and/or reaction byproducts, is an inert gas that does not react with the precursors. Exemplary purge gases include, but are not limited to, argon (Ar), krypton (Kr), xenon (Xe), nitrogen (N2), helium (He), neon, hydrogen (H2), and mixtures thereof. In certain embodiments, a purge gas such as Ar is supplied into the reactor at a flow rate ranging from about 10 to about 2000 sccm for about 0.1 to 1000 seconds, thereby purging the unreacted material and any byproduct that may remain in the reactor.
The respective step of supplying the precursors, oxygen-containing source, the nitrogen-containing source, and/or other precursors, source gases, and/or reagents may be performed by changing the time for supplying them to change the stoichiometric composition of the resulting silicon-containing film.
Energy is applied to the at least one of the precursor, nitrogen-containing source, reducing agent, other precursors or combination thereof to induce reaction and to form the silicon-containing film or coating on the substrate. Such energy can be provided by, but not limited to, thermal, plasma, pulsed plasma, helicon plasma, high density plasma, inductively coupled plasma, X-ray, e-beam, photon, remote plasma methods, and combinations thereof. In certain embodiments, a secondary RF frequency source can be used to modify the plasma characteristics at the substrate surface. In embodiments wherein the deposition involves plasma, the plasma-generated process may comprise a direct plasma-generated process in which plasma is directly generated in the reactor, or alternatively a remote plasma-generated process in which plasma is generated outside of the reactor and supplied into the reactor.
The organoaminosilane precursors and/or other silicon-containing precursors may be delivered to the reaction chamber such as a CVD or ALD reactor in a variety of ways. In one embodiment, a liquid delivery system may be utilized. In an alternative embodiment, a combined liquid delivery and flash vaporization process unit may be employed, such as, for example, the turbo vaporizer manufactured by MSP Corporation of Shoreview, Minn., to enable low volatility materials to be volumetrically delivered, which leads to reproducible transport and deposition without thermal decomposition of the precursor. In liquid delivery formulations, the precursors described herein may be delivered in neat liquid form, or alternatively, may be employed in solvent formulations or compositions comprising same. Thus, in certain embodiments the precursor formulations may include solvent component(s) of suitable character as may be desirable and advantageous in a given end use application to form a film on a substrate.
For those embodiments wherein the precursor(s) having Formulae A through E is used in a composition comprising a solvent and an organoaminosilane precursor having Formulae A through E described herein, the solvent or mixture thereof selected does not react with the organoaminosilane. The amount of solvent by weight percentage in the composition ranges from 0.5% by weight to 99.5% or from 10% by weight to 75%. In this or other embodiments, the solvent has a boiling point (b.p.) similar to the b.p. of the organoaminosilane of Formulae A through E or the difference between the b.p. of the solvent and the b.p. of the organoaminosilane of Formulae A through E is 40° C. or less, 30° C. or less, or 20° C. or less, or 10° C. Alternatively, the difference between the boiling points ranges from any one or more of the following end-points: 0, 10, 20, 30, or 40° C. Examples of suitable ranges of b.p. difference include without limitation, 0 to 40° C., 20° to 30° C., or 10° to 30° C. Examples of suitable solvents in the compositions include, but are not limited to, an ether (such as 1,4-dioxane, dibutyl ether), a tertiary amine (such as pyridine, 1-methylpiperidine, 1-ethylpiperidine, N,N′-Dimethylpiperazine, N,N,N′,N′-Tetramethylethylenediamine), a nitrile (such as benzonitrile), an alkyl hydrocarbon (such as octane, nonane, dodecane, ethylcyclohexane), an aromatic hydrocarbon (such as toluene, mesitylene), a tertiary aminoether (such as bis(2-dimethylaminoethyl) ether), or mixtures thereof.
In another embodiment, a vessel for depositing a silicon-containing film comprising one or more organoaminosilane precursor having Formulae A through E is described herein. In one particular embodiment, the vessel comprises at least one pressurizable vessel (preferably of stainless steel) fitted with the proper valves and fittings to allow the delivery of one or more precursors to the reactor for a CVD or an ALD process. In this or other embodiments, the organoaminosilane precursor having Formulae A through E is provided in a pressurizable vessel comprised of stainless steel and the purity of the precursor is 98% by weight or greater or 99.5% or greater which is suitable for the majority of semiconductor applications. In certain embodiments, such vessels can also have means for mixing the precursors with one or more additional precursor if desired. In these or other embodiments, the contents of the vessel(s) can be premixed with an additional precursor. Alternatively, the organoaminosilane precursor and/or other precursor can be maintained in separate vessels or in a single vessel having separation means for maintaining the organoaminosilane precursor and other precursor separate during storage.
In yet another embodiment, there is provided a method for preparing an organoaminosilane such as those having Formulae A through E described herein, wherein the method comprises the steps of:
reacting an amine having a formula which is either R1R2NH or R1NH2 wherein R1 is selected from a linear or branched C1 to C10 alkyl group, a linear or branched C3 to C10 alkenyl group, a linear or branched C3 to C10 alkynyl group, a C3 to C10 cyclic alkyl group, and a C5 to C10 aryl group; wherein R2 is selected from hydrogen, a linear or branched C1 to C10 alkyl group, a linear or branched C3 to C10 alkenyl group, a linear or branched C3 to C10 alkynyl group, a C3 to C10 cyclic alkyl group, and a C5 to C10 aryl group and a silicon source which is at least one selected from compounds having the following structures:
Figure US10460929-20191029-C00021

wherein R3 and R4 are each independently selected from a linear or branched C1 to C10 alkylene group, a linear or branched C3 to C6 alkenylene group, a linear or branched C3 to C6 alkynylene group, a C3 to C10 cyclic alkylene group, a C3 to C10 hetero-cyclic alkylene group, a C5 to C10 arylene group, and a C5 to C10 hetero-arylene group in the presence of a catalyst under reaction conditions sufficient for the silicon source and amine to react with or without an organic solvent and provide an organoaminosilane precursor comprising a compound represented by one of following Formulae A through E below:
Figure US10460929-20191029-C00022

wherein n in Formula A equals 1 or 2; m in Formula A equals 0, 1, 2, or 3; p and q equal 1 or 2 in Formula E and optionally wherein R3 in Formula D forms a ring selected from a four-membered, five-membered or six-membered ring with the two silicon atoms and at least one nitrogen atom. Exemplary catalysts include, but not limited to, tris(pentafluorophenyl)borane, BR3 (wherein R is selected from a linear, branched, or cyclic C1 to C10 alkyl group, a C5 to C10 aryl group, or a C1 to C10 alkoxy group), 1,3-diisopropyl-4,5-dimethylimidazol-2-ylidene, 2,2′-bipyridyl, phenanthroline, Mg[N(SiMe3)2]2, [tris(4,4-dimethyl-2-oxazolinyl)phenylborate]MgMe, [tris(4,4-dimethyl-2-oxazolinyl)phenylborate]MgH, trimethylaluminium,
triethylaluminum, aluminum chloride, Ca[N(SiMe3)2]2, dibenzylcalcium, {CH—[CMeNC6H3-2,6-iPr2]2}CaH, triruthenium dodecacarbonyl, {CH—[CMeNC6H3-2,6-iPr2]2}Ca[N(SiMe3)2], bis(cyclopentadienyl)dialkylltitanium(IV), bis(cylopentadienyl)titanium(IV)difluoride, bis(cylopentadienyl)titanium(IV)dichloride bis(cylopentadienyl)titanium(IV)dihydride, TiMe2(dmpe)2 [dmpe=1,2-bis(dimethylphosphino) ethane], (C5H5)2Ti(OAr)2 [Ar=(2,6-(iPr)2C6H3)], (C5H5)2Ti(SiHRR′)PMe3 [wherein R, R′ are each independently selected from a hydrogen atom (H), a methyl group (Me), and a phenyl (Ph) group], bis(benzene)chromium(0), chromium hexacarbonyl, dimanganese decacarbonyl, [Mn(CO)4Br]2, iron pentacarbonyl, (C5H5)Fe(CO)2Me, dicobalt octacarbonyl, nickel(II) acetate, nickel(II) chloride, [(dippe)Ni(μ-H)]2 [dippe=1,2-bis(diisopropylphosphino) ethane], (R-indenyl)Ni(PR′3)Me [wherein R is selected from 1-i-Pr, 1-SiMe3, and 1,3-(SiMe3)2; wherein R′ is selected from a methyl (Me) group and a phenyl (Ph) group], [{Ni(η-CH2; CHSiMe2)2O}2{μ-(η-CH2:CHSiMe2)2O}], nickel(II) acetylacetonate, ni(cyclooctadiene)2, copper(II) fluoride, copper(I) chloride, copper(II) chloride, copper(I) bromide, copper(II) bromide, copper(I) iodide, copper(I) acetate, Cu(PPh3)3Cl, zinc chloride, [tris(4,4-dimethyl-2-oxazolinyl)phenylborate]ZnH, Sr[N(SiMe3)2]2, Bis(cyclopentadienyl)dialkyllzirconium(IV), Bis(cylopentadienyl)zirconium(IV)difluoride, Bis(cylopentadienyl)zirconium(IV)dichloride, bis(cylopentadienyl)zirconium(IV)dihydride, [(Et3P)Ru(2,6-dimesitylthiophenolate)][B[3,5-(CF3)2C6H3]4], (C5Me5)Ru(R3P)x(NCMe)3−x]+ (wherein R is selected from a linear, branched, or cyclic C1 to C10 alkyl group and a C5 to C10 aryl group; x=0, 1, 2, 3), tris(triphenylphosphine) rhodium(I)carbonyl hydride, di-p-chloro-tetracarbonyldirhodium(I), tris(triphenylphosphine) rhodium(I) chloride (Wilkinson's Catalyst), hexarhodium hexadecacarbonyl, tris(triphenylphosphine)rhodium(I) carbonyl hydride, bis(triphenylphosphine)rhodium(I) carbonyl chloride, [RhCl(cyclooctadiene)]2, tris(dibenzylideneacetone)dipalladium(0), tetrakis(triphenylphosphine)palladium(0), palladium(II) acetate, palladium(II) chloride, palladium(II) iodide, cesium carbonate, (C5H5)2SmH, (C5Me5)2SmH, (NHC)Yb(N(SiMe3)2)2 [NHC=1,3-bis(2,4,6-trimethylphenyl)imidazol-2-ylidene)], tungsten hexacarbonyl, dirhenium decacarbonyl, triosmium dodecacarbonyl, tetrairidium dodecacarbonyl, (acetylacetonato) dicarbonyliridium(I), (POCOP)IrHCl [(POCOP)=2,6-(R2PO)2C6H3, (R is selected from isopropyl (iPr), normal butyl (nBu), and methyl (Me)], Ir(Me)2(C5Me5)L [wherein L is selected from PMe3 and PPh3], [Ir(cyclooctadiene)OMe]2, platinum(0)-1,3-divinyl-1,1,3,3-tetramethyldisiloxane (Karstedt's Catalyst), H2PtCl6.nH2O (chloroplatinic acid), bis(tri-tert-butylphosphine)platinum(0), PtO2, and Pt(cyclooctadiene)2.
In one embodiment of the method described herein, a cyclic deposition process such as CCVD, ALD, or PEALD may be employed, wherein at least one silicon-containing precursor selected from an organoaminosilane precursor having the formula described herein and optionally a nitrogen-containing source such as, for example, ammonia, hydrazine, monoalkylhydrazine, dialkylhydrazine, nitrogen, nitrogen/hydrogen, ammonia plasma, nitrogen plasma, nitrogen/argon plasma, nitrogen/helium plasma, nitrogen/hydrogen plasma, organic amines (e.g. methylamine, ethylamine, iso-propylamine, tert-butylamine), and/or a plasma derived from an organic amine are employed.
In certain embodiments, the gas lines connecting from the precursor canisters to the reaction chamber are heated to one or more temperatures depending upon the process requirements and the container of the organoaminosilane precursor having the formulae A through E described herein is kept at one or more temperatures for bubbling. In other embodiments, a solution comprising the at least one silicon-containing precursor having the formula described herein is injected into a vaporizer kept at one or more temperatures for direct liquid injection.
A flow of argon and/or other gas may be employed as a carrier gas to help deliver the vapor of the at least one organoaminosilane precursor to the reaction chamber during the precursor pulsing. In certain embodiments, the reaction chamber process pressure is about 10 torr or less, preferably about 1 torr.
In a typical ALD or CCVD process, a substrate such as, without limitation, a silicon oxide, carbon doped silicon oxide, flexible substrate, or metal nitride substrate is heated on a heater stage in a reaction chamber that is exposed to the silicon-containing precursor initially to allow the organoaminosilane to chemically adsorb onto the surface of the substrate. A purge gas such as nitrogen, argon, or other inert gas purges away unabsorbed excess organoaminosilane from the process chamber. After sufficient purging, an oxygen-containing source may be introduced into reaction chamber to react with the absorbed surface followed by another gas purge to remove reaction by-products from the chamber. The process cycle can be repeated to achieve the desired film thickness. In other embodiments, pumping under vacuum can be used to remove unabsorbed excess organoaminosilane from the process chamber, after sufficient evacuation under pumping, an oxygen-containing source may be introduced into reaction chamber to react with the absorbed surface followed by another pumping down purge to remove reaction by-products from the chamber. In yet another embodiment, the organoaminosilane and the oxygen-containing source can be co-flowed into reaction chamber to react on the substrate surface to deposit silicon oxide, carbon doped silicon oxide. In a certain embodiment of cyclic CVD, the purge step is not used.
In this or other embodiments, it is understood that the steps of the methods described herein may be performed in a variety of orders, may be performed sequentially or concurrently (e.g., during at least a portion of another step), and any combination thereof. The respective step of supplying the precursors and the nitrogen-containing source gases may be performed by varying the duration of the time for supplying them to change the stoichiometric composition of the resulting silicon-containing film.
In another embodiment of the method disclosed herein, the films containing both silicon and nitrogen are formed using an ALD, PEALD, CCVD or PECCVD deposition method that comprises the steps of:
a. providing a substrate in an ALD reactor;
b. introducing into the ALD reactor at least one organoaminosilane precursor a compound represented by one of following Formulae A through E below:
Figure US10460929-20191029-C00023

wherein R1 is selected from a linear or branched C1 to C10 alkyl group, a linear or branched C3 to C10 alkenyl group, a linear or branched C3 to C10 alkynyl group, a C3 to C10 cyclic alkyl group, and a C5 to C10 aryl group; wherein R2 is selected from hydrogen, a linear or branched C1 to C10 alkyl group, a linear or branched C3 to C10 alkenyl group, a linear or branched C3 to C10 alkynyl group, a C3 to C10 cyclic alkyl group, and a C5 to C10 aryl group, R3 and R4 are each independently selected from a linear or branched C1 to C10 alkylene group, a linear or branched C3 to C6 alkenylene group, a linear or branched C3 to C6 alkynylene group, a C3 to C10 cyclic alkylene group, a C3 to C10 hetero-cyclic alkylene group, a C5 to C10 arylene group, and a C5 to C10 hetero-arylene group; n in Formula A equals 1 or 2; m in Formula A equals 0, 1, 2, or 3; p and q in Formula E equal 1 or 2; and optionally wherein R3 in Formula D forms a ring selected from a four-membered, five-membered or six-membered ring with the two silicon atoms and at least one nitrogen atom;
c. chemisorbing the at least one organoaminosilane precursor onto a substrate;
d. purging away the unreacted at least one organoaminosilane precursor using a purge gas;
e. providing a nitrogen-containing source to the organoaminosilane precursor onto the heated substrate to react with the sorbed at least one organoaminosilane precursor; and
f. optionally purging or pumping away any unreacted nitrogen-containing source.
In another aspect, there is provided a method of forming a film selected from a silicon oxide and a carbon doped silicon oxide film via a PEALD or a PECCVD deposition process, the method comprising the steps of:
a. providing a substrate in a reactor;
b. introducing into the reactor oxygen along with at least one organoaminosilane precursor a compound represented by one of following Formulae A through E below:
Figure US10460929-20191029-C00024

wherein R1 is selected from a linear or branched C1 to C10 alkyl group, a linear or branched C3 to C10 alkenyl group, a linear or branched C3 to C10 alkynyl group, a C3 to C10 cyclic alkyl group, and a C5 to C10 aryl group; wherein R2 is selected from hydrogen, a linear or branched C1 to C10 alkyl group, a linear or branched C3 to C10 alkenyl group, a linear or branched C3 to C10 alkynyl group, a C3 to C10 cyclic alkyl group, and a C5 to C10 aryl group, R3 and R4 are each independently selected from a linear or branched C1 to C10 alkylene group, a linear or branched C3 to C6 alkenylene group, a linear or branched C3 to C6 alkynylene group, a C3 to C10 cyclic alkylene group, a C3 to C10 hetero-cyclic alkylene group, a C5 to C10 arylene group, and a C5 to C10 hetero-arylene group; n in Formula A equals 1 or 2; m in Formula A equals 0, 1, 2, or 3; p and q in Formula E equal 1 or 2; and optionally wherein R3 in Formula D forms a ring selected from a four-membered, five-membered or six-membered ring with the two silicon atoms and at least one nitrogen atom;
c. purging the reactor with a purge gas along with oxygen;
d. applying RF plasma;
e. purging the reactor with a purge gas or pumping the reactor to remove unreacted organoaminosilane and any by-products; and wherein steps b through e are repeated until a desired thickness of the film is obtained.
In another embodiment of the method disclosed herein, the silicon-containing films is formed using a ALD deposition method that comprises the steps of:
a. providing a substrate in a reactor;
b. introducing into the reactor at least one organoaminosilane precursor a compound represented by one of following Formulae A through E below:
Figure US10460929-20191029-C00025

wherein R1 is selected from a linear or branched C1 to C10 alkyl group, a linear or branched C3 to C10 alkenyl group, a linear or branched C3 to C10 alkynyl group, a C3 to C10 cyclic alkyl group, and a C5 to C10 aryl group; wherein R2 is selected from hydrogen, a linear or branched C1 to C10 alkyl group, a linear or branched C3 to C10 alkenyl group, a linear or branched C3 to C10 alkynyl group, a C3 to C10 cyclic alkyl group, and a C5 to C10 aryl group, R3 and R4 are each independently selected from a linear or branched C1 to C10 alkylene group, a linear or branched C3 to C6 alkenylene group, a linear or branched C3 to C6 alkynylene group, a C3 to C10 cyclic alkylene group, a C3 to C10 hetero-cyclic alkylene group, a C5 to C10 arylene group, and a C5 to C10 hetero-arylene group; n in Formula A equals 1 or 2; m in Formula A equals 0, 1, 2, or 3; p and q in Formula E equal 1 or 2; 1 and optionally wherein R3 in Formula D forms a ring selected from a four-membered, five-membered or six-membered ring with the two silicon atoms and at least one nitrogen atom;
c. chemisorbing the at least one organoaminosilane precursor onto a substrate;
d. purging away the unreacted at least one organoaminosilane precursor using a purge gas;
e. providing an oxygen-containing source to the organoaminosilane precursor onto the heated substrate to react with the sorbed at least one organoaminosilane precursor; and
f. optionally purging or pumping away any unreacted oxygen-containing source.
In another aspect, there is provided a method of forming a silicon nitride or silicon carbonitride film via PEALD or PECCVD process, the method comprising the steps of:
a. providing a substrate in a reactor;
b. introducing into the reactor a nitrogen-containing source and at least one organoaminosilane precursor a compound represented by one of following Formulae A through E below:
Figure US10460929-20191029-C00026

wherein R1 is selected from a linear or branched C1 to C10 alkyl group, a linear or branched C3 to C10 alkenyl group, a linear or branched C3 to C10 alkynyl group, a C3 to C10 cyclic alkyl group, and a C5 to C10 aryl group; wherein R2 is selected from hydrogen, a linear or branched C1 to C10 alkyl group, a linear or branched C3 to C10 alkenyl group, a linear or branched C3 to C10 alkynyl group, a C3 to C10 cyclic alkyl group, and a C5 to C10 aryl group, R3 and R4 are each independently selected from a linear or branched C1 to C10 alkylene group, a linear or branched C3 to C6 alkenylene group, a linear or branched C3 to C6 alkynylene group, a C3 to C10 cyclic alkylene group, a C3 to C10 hetero-cyclic alkylene group, a C5 to C10 arylene group, and a C5 to C10 hetero-arylene group; n in Formula A equals 1 or 2; m in Formula A equals 0, 1, 2, or 3; p and q in Formula E equal 1 or 2; and optionally wherein R3 in Formula D forms a ring selected from a four-membered, five-membered or six-membered ring with the two silicon atoms and at least one nitrogen atom;
c. purging the reactor with a purge gas along with the nitrogen-containing source;
d. applying RF plasma; and
e. purging the reactor with a purge gas or pumping the reactor to remove unreacted organoaminosilane and any by-products; and wherein steps b through e are repeated until a desired thickness of the film is obtained.
The above steps define one cycle for the method described herein; and the cycle can be repeated until the desired thickness of a silicon-containing film is obtained. In this or other embodiments, it is understood that the steps of the methods described herein may be performed in a variety of orders, may be performed sequentially or concurrently (e.g., during at least a portion of another step), and any combination thereof. The respective step of supplying the precursors and oxygen-containing source may be performed by varying the duration of the time for supplying them to change the stoichiometric composition of the resulting silicon-containing film, although always using oxygen in less than a stoichiometric amount relative to the available silicon.
For multi-component silicon-containing films, other precursors such as silicon-containing precursors, nitrogen-containing precursors, reducing agents, or other reagents can be alternately introduced into the reactor chamber.
In a further embodiment of the method described herein, the silicon-containing film is deposited using a thermal CVD process. In this embodiment, the method comprises:
a. placing one or more substrates into a reactor which is heated to one or more temperatures ranging from ambient temperature to about 700° C.;
b. introducing at least one organoaminosilane precursor a compound represented by one of following Formulae A through E below:
Figure US10460929-20191029-C00027

wherein R1 is selected from a linear or branched C1 to C10 alkyl group, a linear or branched C3 to C10 alkenyl group, a linear or branched C3 to C10 alkynyl group, a C3 to C10 cyclic alkyl group, and a C5 to C10 aryl group; wherein R2 is selected from hydrogen, a linear or branched C1 to C10 alkyl group, a linear or branched C3 to C10 alkenyl group, a linear or branched C3 to C10 alkynyl group, a C3 to C10 cyclic alkyl group, and a C5 to C10 aryl group, R3 and R4 are each independently selected from a linear or branched C1 to C10 alkylene group, a linear or branched C3 to C6 alkenylene group, a linear or branched C3 to C6 alkynylene group, a C3 to C10 cyclic alkylene group, a C3 to C10 hetero-cyclic alkylene group, a C5 to C10 arylene group, and a C5 to C10 hetero-arylene group; n in Formula A equals 1 or 2; m in Formula A equals 0, 1, 2, or 3; p and q in Formula E equal 1 or 2; and optionally wherein R3 in Formula D forms a ring selected from a four-membered, five-membered or six-membered ring with the two silicon atoms and at least one nitrogen atom; and
c. providing an oxygen-containing source into the reactor to at least partially react with the at least one organoaminosilane precursor and deposit a silicon-containing film onto the one or more substrates. In certain embodiments of the CVD method, the reactor is maintained at a pressure ranging from 10 mTorr to 760 Torr during the introducing step. The above steps define one cycle for the method described herein; and the cycle can be repeated until the desired thickness of a silicon-containing film is obtained. In this or other embodiments, it is understood that the steps of the methods described herein may be performed in a variety of orders, may be performed sequentially or concurrently (e.g., during at least a portion of another step), and any combination thereof. The respective step of supplying the precursors and oxygen-containing source may be performed by varying the duration of the time for supplying them to change the stoichiometric composition of the resulting silicon-containing film, although always using oxygen in less than a stoichiometric amount relative to the available silicon.
In a further embodiment of the method described herein, an amorphous or crystalline silicon film is deposited using the Formulae A through E precursor described herein. In this embodiment, the method comprises:
a. placing one or more substrates into a reactor which is heated to a one or more temperatures ranging from ambient temperature to about 700° C.;
b. introducing at least one organoaminosilane precursor a compound represented by one of following Formulae A through E below:
Figure US10460929-20191029-C00028

wherein R1 is selected from a linear or branched C1 to C10 alkyl group, a linear or branched C3 to C10 alkenyl group, a linear or branched C3 to C10 alkynyl group, a C3 to C10 cyclic alkyl group, and a C5 to C10 aryl group; wherein R2 is selected from hydrogen, a linear or branched C1 to C10 alkyl group, a linear or branched C3 to C10 alkenyl group, a linear or branched C3 to C10 alkynyl group, a C3 to C10 cyclic alkyl group, and a C5 to C10 aryl group, R3 and R4 are each independently selected from a linear or branched C1 to C10 alkylene group, a linear or branched C3 to C6 alkenylene group, a linear or branched C3 to C6 alkynylene group, a C3 to C10 cyclic alkylene group, a C3 to C10 hetero-cyclic alkylene group, a C5 to C10 arylene group, and a C5 to C10 hetero-arylene group; n in Formula A equals 1 or 2; m in Formula A equals 0, 1, 2, or 3; p and q in Formula E equal 1 or 2; and optionally wherein R3 in Formula D forms a ring selected from a four-membered, five-membered or six-membered ring with the two silicon atoms and at least one nitrogen atom; and
c. providing a reducing agent source into the reactor to at least partially react with the at least one organoaminosilane precursor and deposit a silicon-containing film onto the one or more substrates. The reducing agent is selected from the group consisting of hydrogen, hydrogen plasma, hydrogen chloride. In certain embodiments of the CVD method, the reactor is maintained at a pressure ranging from 10 mTorr to 760 Torr during the introducing step. The above steps define one cycle for the method described herein; and the cycle can be repeated until the desired thickness of a film is obtained.
For multi-component silicon-containing films, other precursors such as silicon-containing precursors, nitrogen-containing precursors, oxygen-containing sources, reducing agents, and/or other reagents can be alternately introduced into the reactor chamber.
In a further embodiment of the method described herein, the silicon-containing film is deposited using a thermal CVD process. In this embodiment, the method comprises:
a. placing one or more substrates into a reactor which is heated to one or more temperatures ranging from ambient temperature to about 700° C.;
b. introducing at least one organoaminosilane precursor a compound represented by one of following Formulae A through E below:
Figure US10460929-20191029-C00029

wherein R1 is selected from a linear or branched C1 to C10 alkyl group, a linear or branched C3 to C10 alkenyl group, a linear or branched C3 to C10 alkynyl group, a C3 to C10 cyclic alkyl group, and a C5 to C10 aryl group; wherein R2 is selected from hydrogen, a linear or branched C1 to C10 alkyl group, a linear or branched C3 to C10 alkenyl group, a linear or branched C3 to C10 alkynyl group, a C3 to C10 cyclic alkyl group, and a C5 to C10 aryl group, R3 and R4 are each independently selected from a linear or branched C1 to C10 alkylene group, a linear or branched C3 to C6 alkenylene group, a linear or branched C3 to C6 alkynylene group, a C3 to C10 cyclic alkylene group, a C3 to C10 hetero-cyclic alkylene group, a C5 to C10 arylene group, and a C5 to C10 hetero-arylene group; n in Formula A equals 1 or 2; m in Formula A equals 0, 1, 2, or 3; p and q in Formula E equal 1 or 2; and optionally wherein R3 in Formula D forms a ring selected from a four-membered, five-membered or six-membered ring with the two silicon atoms and at least one nitrogen atom; and
c. providing a nitrogen-containing source into the reactor to at least partially react with the at least one organoaminosilane precursor and deposit a silicon-containing film onto the one or more substrates. In certain embodiments of the CVD method, the reactor is maintained at a pressure ranging from 10 mTorr to 760 Torr during the introducing step.
In a further embodiment of the method described herein, the organoaminosilane precursors are used for depositing a silicon containing film which is an amorphous film, a crystalline silicon film, or a mixture thereof. In these embodiments, the silicon containing films is formed using a deposition method selected from ALD or cyclic CVD that comprises the steps of:
placing a substrates into a reactor which is heated to a temperature ranging from ambient temperature to about 700° C. and maintained at a pressure of 1 Torr or less;
introducing at least one organoaminosilane precursor a compound represented by one of following Formulae A through E below:
Figure US10460929-20191029-C00030

wherein R1 is selected from a linear or branched C1 to C10 alkyl group, a linear or branched C3 to C10 alkenyl group, a linear or branched C3 to C10 alkynyl group, a C3 to C10 cyclic alkyl group, and a C5 to C10 aryl group; wherein R2 is selected from hydrogen, a linear or branched C1 to C10 alkyl group, a linear or branched C3 to C10 alkenyl group, a linear or branched C3 to C10 alkynyl group, a C3 to C10 cyclic alkyl group, and a C5 to C10 aryl group, R3 and R4 are each independently selected from a linear or branched C1 to C10 alkylene group, a linear or branched C3 to C6 alkenylene group, a linear or branched C3 to C6 alkynylene group, a C3 to C10 cyclic alkylene group, a C3 to C10 hetero-cyclic alkylene group, a C5 to C10 arylene group, and a C5 to C10 hetero-arylene group; n in Formula A equals 1 or 2; m in Formula A equals 0, 1, 2, or 3; p and q in Formula E equal 1 or 2; and optionally wherein R3 in Formula D forms a ring selected from a four-membered, five-membered or six-membered ring with the two silicon atoms and at least one nitrogen atom;
providing a reducing agent into the reactor to at least partially react with the at least one organoaminosilane precursor and deposit a silicon containing film onto the one or more substrates wherein the reducing agent is at least one selected from the group consisting of hydrogen, hydrogen plasma, or hydrogen chloride. The above steps define one cycle for the method described herein; and the cycle can be repeated until the desired thickness of a silicon containing film is obtained. The desired thickness of the film can range from 1 Å to 10,000 Å.
In another aspect, there is provided a method of forming a silicon-containing film onto at least a surface of a substrate using a deposition process selected from a plasma enhanced atomic layer (PEALD) process and a plasma enhanced cyclic chemical vapor deposition (PECCVD) process, the method comprising:
a. providing a substrate in an ALD reactor;
b. providing in the ALD reactor at least one organoaminosilane precursor comprising a compound represented by one of following Formulae A through E below:
Figure US10460929-20191029-C00031

wherein R1 is selected from a linear or branched C1 to C10 alkyl group, a linear or branched C3 to C10 alkenyl group, a linear or branched C3 to C10 alkynyl group, a C3 to C10 cyclic alkyl group, and a C5 to C10 aryl group; wherein R2 is selected from hydrogen, a linear or branched C1 to C10 alkyl group, a linear or branched C3 to C10 alkenyl group, a linear or branched C3 to C10 alkynyl group, a C3 to C10 cyclic alkyl group, and a C5 to C10 aryl group, R3 and R4 are each independently selected from a linear or branched C1 to C10 alkylene group, a linear or branched C3 to C6 alkenylene group, a linear or branched C3 to C6 alkynylene group, a C3 to C10 cyclic alkylene group, a C3 to C10 hetero-cyclic alkylene group, a C5 to C10 arylene group, and a C5 to C10 hetero-arylene group; n in Formula A equals 1 or 2; m in Formula A equals 0, 1, 2, or 3; p and q in Formula E equal 1 or 2; and optionally wherein R3 in Formula D forms a ring selected from a four-membered, five-membered or six-membered ring with the two silicon atoms and at least one nitrogen atom;
c. purging the ALD reactor with an inert gas;
d. providing a plasma source in the ALD reactor;
e. purging the ALD reactor with an inert gas; and wherein the steps b through e are repeated until a desired thickness of the silicon-containing film is obtained. The plasma source is selected from the group consisting of hydrogen plasma, argon plasma, helium plasma, neon plasma, xenon plasma, and mixtures thereof. The silicon-containing film is selected from the group consisting of silicon carbonitride, silicon carbide, silicon nitride, silicon carbonitride, and silicon carboxynitride.
In yet another aspect, there is provided a method of depositing amorphous or crystalline silicon film via an atomic layer deposition or cyclic chemical vapor deposition process or chemical vapor deposition at temperature lower than conventional silicon precursors, the method comprising the steps of:
a. providing a substrate in a reactor;
b. introducing into the reactor at least one organoaminosilane precursor a compound represented by one of following Formulae A through E below:
Figure US10460929-20191029-C00032

wherein R1 is selected from a linear or branched C1 to C10 alkyl group, a linear or branched C3 to C10 alkenyl group, a linear or branched C3 to C10 alkynyl group, a C3 to C10 cyclic alkyl group, and a C5 to C10 aryl group; wherein R2 is selected from hydrogen, a linear or branched C1 to C10 alkyl group, a linear or branched C3 to C10 alkenyl group, a linear or branched C3 to C10 alkynyl group, a C3 to C10 cyclic alkyl group, and a C5 to C10 aryl group, R3 and R4 are each independently selected from a linear or branched C1 to C10 alkylene group, a linear or branched C3 to C6 alkenylene group, a linear or branched C3 to C6 alkynylene group, a C3 to C10 cyclic alkylene group, a C3 to C10 hetero-cyclic alkylene group, a C5 to C10 arylene group, and a C5 to C10 hetero-arylene group; n in Formula A equals 1 or 2; m in Formula A equals 0, 1, 2, or 3; p and q in Formula E equal 1 or 2; and optionally wherein R3 in Formula D forms a ring selected from a four-membered, five-membered or six-membered ring with the two silicon atoms and at least one nitrogen atom;
c. purging the reactor with a purge gas wherein steps b through c are repeated until a desired thickness of the silicon film is obtained.
It is believed that Formulae A through E precursors can generate H2Si: di-radicals or H3Si. radical upon heating which can promote formation oligomers containing Si—Si bonds or anchor on the surface of a substrate. Those oligomers or anchored SiH2 or SiH3 can further form amorphous silicon films. In this or other embodiments, those oligomers function as a seed layer for subsequent deposition of silicon or silicon oxide films.
In certain embodiments, the organoaminosilane precursors having Formulae A through E described herein can also be used as a dopant for metal containing films, such as but not limited to, metal oxide films or metal nitride films. In these embodiments, the metal containing film is deposited using an ALD or CVD process such as those processes described herein using metal alkoxide, metal amide, or volatile organometallic precursors. Examples of suitable metal alkoxide precursors that may be used with the method disclosed herein include, but are not limited to, group 3 to 6 metal alkoxide, group 3 to 6 metal complexes having both alkoxy and alkyl substituted cyclopentadienyl ligands, group 3 to 6 metal complexes having both alkoxy and alkyl substituted pyrrolyl ligands, group 3 to 6 metal complexes having both alkoxy and diketonate ligands; group 3 to 6 metal complexes having both alkoxy and ketoester ligands; Examples of suitable metal amide precursors that may be used with the method disclosed herein include, but are not limited to, tetrakis(dimethylamino)zirconium (TDMAZ), tetrakis(diethylamino)zirconium (TDEAZ), tetrakis(ethylmethylamino)zirconium (TEMAZ), tetrakis(dimethylamino)hafnium (TDMAH), tetrakis(diethylamino)hafnium (TDEAH), and tetrakis(ethylmethylamino)hafnium (TEMAH), tetrakis(dimethylamino)titanium (TDMAT), tetrakis(diethylamino)titanium (TDEAT), tetrakis(ethylmethylamino)titanium (TEMAT), tert-butylimino tri(diethylamino)tantalum (TBTDET), tert-butylimino tri(dimethylamino)tantalum (TBTDMT), tert-butylimino tri(ethylmethylamino)tantalum (TBTEMT), ethylimino tri(diethylamino)tantalum (EITDET), ethylimino tri(dimethylamino)tantalum (EITDMT), ethylimino tri(ethylmethylamino)tantalum (EITEMT), tert-amylimino tri(dimethylamino)tantalum (TAIMAT), tert-amylimino tri(diethylamino)tantalum, pentakis(dimethylamino)tantalum, tert-amylimino tri(ethylmethylamino)tantalum, bis(tert-butylimino)bis(dimethylamino)tungsten (BTBMW), bis(tert-butylimino)bis(diethylamino)tungsten, bis(tert-butylimino)bis(ethylmethylamino)tungsten, and combinations thereof. Examples of suitable organometallic precursors that may be used with the method disclosed herein include, but are not limited to, group 3 metal cyclopentadienyls or alkyl cyclopentadienyls. Exemplary Group 3 to 6 metal herein include, but not limited to, Y, La, Ce, Pr, Nd, Sm, Eu, Gd, Tb, Dy, Er, Yb, Lu, Ti, Hf, Zr, V, Nb, Ta, Cr, Mo, and W.
In certain embodiments, the resultant silicon-containing films or coatings can be exposed to a post-deposition treatment such as, but not limited to, a plasma treatment, chemical treatment, ultraviolet light exposure, electron beam exposure, and/or other treatments to affect one or more properties of the film.
In certain embodiments, the silicon-containing films described herein have a dielectric constant of 6 or less. In these or other embodiments, the films can have a dielectric constant of about 5 or below, or about 4 or below, or about 3.5 or below. However, it is envisioned that films having other dielectric constants (e.g., higher or lower) can be formed depending upon the desired end-use of the film. An example of the silicon containing or silicon-containing film that is formed using the organoaminosilane precursors and processes described herein has the formulation SixOyCzNvHw wherein Si ranges from about 10% to about 40%; 0 ranges from about 0% to about 65%; C ranges from about 0% to about 75% or from about 0% to about 50%; N ranges from about 0% to about 75% or from about 0% to 50%; and H ranges from about 0% to about 50% atomic percent weight % wherein x+y+z+v+w=100 atomic weight percent, as determined for example, by XPS or other means.
As mentioned previously, the method described herein may be used to deposit a silicon-containing film on at least a portion of a substrate. Examples of suitable substrates include but are not limited to, silicon, SiO2, Si3N4, OSG, FSG, silicon carbide, hydrogenated silicon carbide, silicon nitride, hydrogenated silicon nitride, silicon carbonitride, hydrogenated silicon carbonitride, boronitride, antireflective coatings, photoresists, a flexible substrate, organic polymers, porous organic and inorganic materials, metals such as copper and aluminum, and diffusion barrier layers such as but not limited to TiN, Ti(C)N, TaN, Ta(C)N, Ta, W, or WN. The films are compatible with a variety of subsequent processing steps such as, for example, chemical mechanical planarization (CMP) and anisotropic etching processes.
The deposited films have applications, which include, but are not limited to, computer chips, optical devices, magnetic information storages, coatings on a supporting material or substrate, microelectromechanical systems (MEMS), nanoelectromechanical systems, thin film transistor (TFT), light emitting diodes (LED), organic light emitting diodes (OLED), IGZO, and liquid crystal displays (LCD).
The following examples illustrate the method for preparing organoaminosilane precursors as well as depositing silicon-containing films described herein and are not intended to limit it in any way.
EXAMPLES
In the following examples, unless stated otherwise, properties were obtained from sample films that were deposited onto medium resistivity (8-12 Ωcm) single crystal silicon wafer substrates.
Example 1: Synthesis of 1-di-iso-propylamino-1,4-disilabutane
In a 3-necked round bottom flask equipped with a mechanic stirrer, a condenser, and an addition funnel, a solution of 1 equivalent 1,4-disilabutane in hexane was cooled to −20° C. with a cold bath. With stirring, a solution of 0.5 equivalent of lithium diisopropylamide in tetrahydrofuran (THF) was added dropwise through the addition funnel. After the addition was completed, the reaction mixture was allowed to warm up to room temperature. The reaction mixture was stirred at room temperature overnight, followed by filtration. A white precipitate, lithium hydride, formed from the reaction as a byproduct was filtered out. The solvent in the filtrate and excess 1,4-disilabutane was removed by distillation. The product, 1-di-iso-propylamino-1,4-disilabutane, was obtained by vacuum distillation. Gas chromatography (GC) showed that it was >98% pure 1-di-iso-propylamino-1,4-disilabutane. GC-MS showed the following peaks: 189 (M+), 188 (M−1), 174 (M−15), 159, 144, 130, 102.
Example 2: Synthesis of 1-t-butyl-1-aza-2-5-disilacyclopentane
In a 3-necked round bottom flask equipped with a mechanic stirrer, a condenser, and an addition funnel, a solution of 1 equivalent of 1,4-disilabutane in hexane was cooled to −20° C. with a cold bath. With stirring, a solution of 0.5 equivalent of lithium t-butylamide in THF was added dropwise through the addition funnel. After the addition was completed, the reaction mixture was allowed to warm up to room temperature. The reaction mixture was stirred at room temperature overnight, followed by filtration. A white precipitate, lithium hydride, formed from the reaction as a byproduct was filtered out. The solvent in the filtrate and the excess 1,4-disilabutane were removed by distillation. The product, 1-t-butyl-1-aza-2-5-disilacyclopentane, was obtained by vacuum distillation. Gas chromatography (GC) showed that it was >98% pure. GC-MS showed the following peaks: 159 (M+), 158 (M−1), 144 (M−15), 128, 114, 100.
Example 3: Synthesis of 1,4-bis(di-iso-propylamino)-1,4-disilabutane
In a 3-necked round bottom flask equipped with a mechanic stirrer, a condenser, and an addition funnel, a solution of 0.5 equivalent 1,4-disilabutane in hexane was cooled to −20° C. with a cold bath. With stirring, a solution of 1 equivalent of lithium di-iso-propylamide in THF was added dropwise through the addition funnel. After the addition was completed, the reaction mixture was allowed to warm up to room temperature. The reaction mixture was stirred at room temperature overnight, followed by filtration. A white precipitate, lithium hydride, formed from the reaction as a byproduct was filtered out. The solvent in the filtrate was removed by distillation. The product, 1,4-bis(di-iso-propylamino)-1,4-disilabutane, was obtained by vacuum distillation. B.P. 124° C./1 torr. GC-MS showed the following peaks: 288 (M+), 287 (M−1), 243, 229, 207, 188, 144, 130. 1H NMR: 4.59 (s, 4H), 3.03 (m, 4H), 1.08 (d, 24H), 0.73 (t, 4H). 13C NMR: 47.76, 24.42, 7.76.
Example 4: Synthesis of 1-diethylamino-1,4-disilabutane and 1,4-bis(diethylamino)-1,4-disilabutane
In a scintillation vial, 2 equivalents 1,4-disilabutane and 1 equivalent diethylamine were combined. To this, 1 mol % of triruthenium dodecacarbonyl catalyst was added as a solution in THF, and the mixture was stirred overnight. The two major products observed in solution were 1-diethylamino-1,4-disilabutane and 1,4-bis(diethylamino)-1,4-disilabutane. GC-MS showed the following peaks: (a) 1-diethylamino-1,4-disilabutane: 161 (M+), 146 (M−15), 130, 116, 102, 89, 72; (b) 1,4-bis(diethylamino)-1,4-disilabutane: 232 (M+), 217 (M−15), 203, 187, 173, 160, 146, 130, 116.
Additional organoaminosilane precursors of Formula A to E were made via similar fashion as Examples 1 to 4 and were characterized by mass spectroscopy (MS). The molecular weight (MW), the structure, and corresponding major MS fragmentation peaks of each organoaminosilane precursor are provided in Table 1 to confirm their identification.
TABLE 1
Organoaminosilanes Having Formula A, B, C, D, and E.
No. Precursor Name MW Structure MS Peaks
1 1-dimethylamino-1,4- disilabutane 133.34
Figure US10460929-20191029-C00033
133, 116, 105, 86, 74, 58, 44
2 1,4-bis(dimethylamino)- 1,4-disilabutane 176.41
Figure US10460929-20191029-C00034
176, 161, 145, 132, 116, 100, 89, 74
3 1-diethylamino-1,4- disilabutane 161.41
Figure US10460929-20191029-C00035
161, 146, 130, 116, 102, 89, 72
4 1,4-bis(diethylamino)-1,4- disilabutane 232.52
Figure US10460929-20191029-C00036
232, 217, 203, 187, 173, 160, 146, 130, 116
5 1-dipropylamino-1,4- disilabutane 189.45
Figure US10460929-20191029-C00037
189, 174, 161, 144, 131, 116, 100, 89
6 1,4-bis(dipropylamino)- 1,4-disilabutane 288.63
Figure US10460929-20191029-C00038
288, 273, 260, 230, 189, 174, 161, 145, 128
7 1-di-iso-propylamino-1,4- disilabutane 189.45
Figure US10460929-20191029-C00039
189, 188. 174, 159, 144, 130, 102
8 1,4-bis(di-iso- propylamino)-1,4- disilabutane 288.63
Figure US10460929-20191029-C00040
288, 287, 243, 229, 207, 188, 144, 130
9 1-(propyl-iso- propylamino)-1,4- disilabutane 189.45
Figure US10460929-20191029-C00041
189, 174, 160, 144, 130, 116, 102, 86
10 1,4-bis(propyl-iso- propylamino)-1,4- disilabutane 288.63
Figure US10460929-20191029-C00042
288, 274, 260, 244, 230, 216, 201, 188, 173, 160, 144, 128
11 1-dibutylamino-1,4- disilabutane 217.50
Figure US10460929-20191029-C00043
217, 202, 189, 175, 159, 145, 132, 116, 102, 89
12 1,4-bis(dibutylamino)-1,4- disilabutane 344.73
Figure US10460929-20191029-C00044
345, 330, 314, 302, 286, 217, 202, 175, 159, 116, 102
13 1-di-iso-butylamino-1,4- disilabutane 217.50
Figure US10460929-20191029-C00045
217, 202, 175, 159, 143, 116
14 1,4-bis(di-iso-butylamino)- 1,4-disilabutane 344.73
Figure US10460929-20191029-C00046
344, 329, 302, 286, 217, 202, 187, 175
15 1-di-sec-butylamino-1,4- disilabutane 217.50
Figure US10460929-20191029-C00047
217, 202, 189, 172, 158, 144, 132, 114, 102
16 1-(sec-butyl-iso- propylamino)-1,4- disilabutane 203.48
Figure US10460929-20191029-C00048
203, 188, 174, 158, 144, 130, 119, 102
17 1,4-bis(sec-butyl-iso- propylamino)-1,4- disilabutane 316.68
Figure US10460929-20191029-C00049
316, 301, 281, 257, 243, 229, 215, 202, 186, 172, 158
18 1-(dicyclohexylamino)-1,4- disilabutane 269.58
Figure US10460929-20191029-C00050
269, 254, 239, 227, 211, 199, 187, 129, 116
19 1-(cyclohexyl-iso- propylamino)-1,4- disilabutane 229.51
Figure US10460929-20191029-C00051
229, 214, 199, 187, 171, 159, 145, 131, 116, 102
20 1,4-bis(cyclohexyl-iso- propylamino)-1,4- disilabutane 368.76
Figure US10460929-20191029-C00052
368, 353, 340, 327, 229, 185, 171, 159, 145, 130, 116
21 1-(2-pyridyl-methylamino)- 1,4-disilabutane 196.40
Figure US10460929-20191029-C00053
196, 181, 165, 151, 137, 121, 108
22 1,4-bis(2-pyridyl- methylamino)-1,4- disilabutane 302.53
Figure US10460929-20191029-C00054
302, 287, 274, 258, 244, 223, 210, 196, 180, 166
23 1-pyrrolyl-1,4-disilabutane 155.35
Figure US10460929-20191029-C00055
155, 140, 124, 112, 96, 86
24 1-(2,5-dimethylpyrrolyl)- 1,4-disilabutane 183.40
Figure US10460929-20191029-C00056
183, 168, 154, 136, 124, 110
25 1-(phenylmethylamino)- 1,4-disilabutane 195.41
Figure US10460929-20191029-C00057
195, 180, 165, 149, 137, 119, 107, 193
26 1,4- bis(phenylmethylamino)- 1,4-disilabutane 300.55
Figure US10460929-20191029-C00058
300, 285, 271, 255, 242, 226, 208, 193, 180, 165
27 1-(2-methylpiperidino)- 1,4-disilabutane 187.43
Figure US10460929-20191029-C00059
187, 172, 156, 141, 128, 113, 100, 84
28 1,4-bis(2- methylpiperidino)-1,4- disilabutane 284.59
Figure US10460929-20191029-C00060
284, 269, 254, 240, 226, 208, 185, 173, 157, 143
29 1-(2,6-dimethylpiperidino)- 1,4-disilabutane 201.46
Figure US10460929-20191029-C00061
201, 186, 171, 155, 143, 130, 116, 102
30 1,4-dimethyl-1,4-diaza- 5,8-disilacyclooctane 174.39
Figure US10460929-20191029-C00062
174, 160, 143, 130, 115, 100, 86, 72
31 1-(2,6- dimethylmorpholino)-1,4- disilabutane 203.43
Figure US10460929-20191029-C00063
203, 188, 173, 161, 145, 130, 116, 102
32 1,4-bis(2,6- dimethylmorpholino)-1,4- disilabutane 316.59
Figure US10460929-20191029-C00064
316, 301, 286, 274, 258, 244, 232, 216, 203, 188
33 1-(2-methylindolino)-1,4- disilabutane 221.45
Figure US10460929-20191029-C00065
221, 206, 191, 176, 161, 146, 132, 117, 105
34 1,4-bis(2-methylindolino)- 1,4-disilabutane 352.63
Figure US10460929-20191029-C00066
352, 337, 324, 308, 394, 280, 264, 250, 235, 221, 207, 191
35 1-iso-propylamino-1,4- disilabutane 147.37
Figure US10460929-20191029-C00067
147, 132, 116, 100, 88, 72
36 1,4-bis(iso-propylamino)- 1,4-disilabutane 204.46
Figure US10460929-20191029-C00068
204, 189, 172, 160, 144, 130, 117, 102
37 1-iso-propyl-1-aza-2,5- disilacyclopentane 145.35
Figure US10460929-20191029-C00069
145, 130, 114, 100, 86
38 5-iso-propyl-5-aza- 1,4,6,9-tetrasilanonane 235.62
Figure US10460929-20191029-C00070
235, 220, 205, 191, 177, 159, 147, 130, 116, 102
39 1,6-di-iso-propyl-1,6- diaza-2,5,7,10- tetrasilacyclodecane 290.70
Figure US10460929-20191029-C00071
290, 275, 260, 246, 232, 218, 202, 190, 174, 159
40 1-tert-butyl-1-aza-2,5- disilacyclopentane 159.38
Figure US10460929-20191029-C00072
159, 158, 144, 128, 114, 100
41 5-tert-butyl-5-aza-1,4,6,9- tetrasilanonane 249.65
Figure US10460929-20191029-C00073
249, 234, 228, 215, 192, 176, 158, 144, 132, 117
42 1,6-di-tert-butyl-1,6-diaza- 2,5,7,10- tetrasilacyclodecane 318.76
Figure US10460929-20191029-C00074
318, 303, 287, 271, 261, 247, 229, 213, 203, 187
Example 5: Atomic Layer Deposition of Silicon-containing Film using 1-di-iso-propylamino-1-4-disilabutane and Ozone
The following depositions were performed on a laboratory scale ALD processing tool at two temperature conditions: 55° C. and 100° C. The silicon precursor was delivered to the chamber by vapor draw. All gases (e.g., purge and reactant gas or precursor and oxygen source) were preheated accordingly prior to entering the deposition zone. Gases and precursor flow rates were controlled with ALD diaphragm valves with high speed actuation. The substrates used in the deposition were 12-inch long silicon strips. A thermocouple attached on the sample holder to confirm substrate temperature during deposition. Depositions were performed using ozone (6-19% wt) as oxygen source gas.
A typical ALD cycle comprises the following steps:
    • a. providing a substrate in an ALD reactor;
    • b. providing in the ALD reactor at least one organoaminosilane precursor for 6 seconds
    • c. purging the ALD reactor with an inert gas for 6 seconds;
    • d. providing ozone in the ALD reactor for 4 seconds;
    • e. purging the ALD reactor with an inert gas for 6 seconds;
      Steps b through e are repeated until a desired thickness of the film is obtained. Thickness and refractive indices of the films were measured using a FilmTek 2000SE ellipsometer by fitting the reflection data from the film to a pre-set physical model (e.g., the Lorentz Oscillator model). Wet etch rate was performed using 1% solution of 49% hydrofluoric (HF) acid in deionized water. Thermal oxide wafers were used as reference for each batch to confirm solution concentration. Typical thermal oxide wafer wet etch rate for 1% HF in H2O solution is 0.5 Å/s. Film thickness before and after etch was used to calculate wet etch rate. The thickness non-uniformity was calculated from 6-point measurements using the following equation: % non-uniformity=((max−min)/(2*mean)). Film elemental composition and density are characterized by X-Ray Photoelectron Spectroscopy (XPS). The growth rate (GPC) is determined by the thickness of the resultant film divided by total number of cycles.
TABLE 2
Process parameters, growth per cycle (GPC) and refractive
index for silicon-containing film using 1-di-iso-propylamino-
1-4-disilabutane and ozone
Deposition Ozone Growth Per
Temperature concentration Cycle Refractive
Sample ID (° C.) (% wt) (Å/cycle) Index
Ex. Film 1 100 14 2.7 1.480
Ex. Film 2 100 6 2.4 1.467
Ex. Film 3 100 19 2.8 1.466
Ex. Film 4 55 14 2.6 1.486
Ex. Film 5a 100 14 2.7 1.465
aEx. Film 5 used a 60 second (s) evacuation time after the organoaminosilane precursor dose.
TABLE 3
Film composition measured by XPS for silicon-containing film
using 1-di-iso-propylamino-1-4-disilabutane and ozone
dHF WER
Sample ID % O % C % Si (Å/s)
Ex. Film 1 67.6 0.8 32.1 3.3
Ex. Film 2 62.2 5.0 32.7 1.9
Ex. Film 3 66.8 1.0 32.2 4.1
Ex. Film 4 55.0 9.6 33.3 1.6
Ex. Film 5 66.5 0.9 32.6 N/A
FIG. 1 provides growth rate per cycle vs. temperature for the 1-di-isopropylamino-1,4,-disilabutane films (average value from Ex. Film 1, 3, 5 at 100° C.) and Ex. Film 4 as well as films deposited via a thermal ALD process using the following organoaminosilanes: bis(diethylamino)silane (BDEAS: I. Suzuki, K. Yanagita, and C. Dussarrat, ECS Trans. 3 (15), 119 (2007) and M. W. O'Neill, H. R. Bowen, A. Derecskei-Kovacs, K. S. Cuthill, B. Han and M. Xiao, Electrochemistry Society Interface Winter 2011, 33 (2011)), bis(tert-butylamino)silane (BTBAS: M. W. O'Neill, H. R. Bowen, A. Derecskei-Kovacs, K. S. Cuthill, B. Han and M. Xiao, Electrochemistry Society Interface Winter 2011, 33 (2011)), bis(ethylmethylamino)silane (BEMAS: S. J. Won, H-S. Jung, S. Suh, Y. J. Choi, N.-I. Lee, C. S. Hwang, H. J. Kim, J. Vac. Sci. Technol. A 30(1), 01 Å126 (2012)), tris(dimethylamino)silane(TRDMAS: L. Han, and Z. Chen, Z. ECS Journal of Solid State Science and Technology 2(II): N228-N236 (2013)), di-sec-butylaminosilane (DSBAS: A. Mallikarjunan, A. Derecskei-kovacs, H. Chandra, B. Han, M. Xiao, X. Lei, M. L. O. Neill, H. Liang, H. Bo, Z. Qingfan, H. Cheng, 13th International Conference on Atomic Layer Deposition (2013)). As shown in FIG. 1, the silicon-containing films deposited using the organoaminosilanes described herein exhibited higher growth rates relative to the other, referenced organoaminosilane precursors. Further, the deposition temperature can be extended to one or more temperatures below 100° C., such as Ex. Film 4 which was deposited at a temperature of 55° C. Carbon concentration in the film range from 0.3 wt % to 9.6 wt % depending on the ozone concentration, suggesting it is possible to adjust the physical properties of the resultant silicon-containing films.
Example 6: Plasma Enhanced Atomic Layer Deposition of Silicon-containing Film using 1-di-iso-propylamino-1-4-disilabutane and Nitrogen/Argon Plasma
A deposition of silicon containing film was performed using 1-di-iso-propylamino-1,4-disilabutane and a nitrogen/argon plasma. The silicon wafer was heated to 100° C. or 300° C., respectively. Deposition process was performed using 300 mm production tool, ASM Stellar 3000, repeated 1000 times, using the following process conditions:
    • a. providing a substrate in an ALD reactor
    • b. introducing organoaminosilane precursor: 1-di-iso-propylamino-1,4-disilabutane
      • delivery conditions: Ar carrier gas 200 sccm, precursor container was kept at room temperature
      • chamber pressure: 2 Torr
      • precursor pulse: 1 second
    • c. inert gas purge
      • argon flow: 300 sccm
      • chamber pressure: 2 Torr
      • purge time: 5 seconds
    • d. nitrogen/argon plasma
      • argon flow: 500 sccm
      • nitrogen flow: 200 sccm
      • chamber pressure: 2 Torr
      • plasma power: 500 W
      • plasma time: 5 seconds
    • e. purge plasma
      • argon flow: 300 sccm
      • chamber pressure: 2 Torr
      • purge time: 0.5 seconds
Deposition rate, refractive index, density as well as wet etch rate in dilute HF of the resultant films are listed below in Table 4. Referring to the data in Table 4, the oxygen is believed to come from post-deposition air exposure when samples were sending for XPS analysis.
TABLE 4
Deposition rate, refractive index of deposited films
and film properties using 1-di-
iso-propylamino-1-4-disilabutane and nitrogen/argon plasma
Deposition O WER
Wafer temp Rate Refractive C content Density in dHF
(° C.) (Å/cycle) index (%) (%) (g/cc) (Å/s)
100 0.41 1.73 9.6 16.3 2.0 >33
300 0.15 2.02 4.9 2.4 2.9 0.8
Example 7: Plasma Enhanced Atomic Layer Deposition of Silicon-containing Film using 1-di-iso-propylamino-1-4-disilabutane and Argon Plasma
A deposition of silicon containing film was performed using 1-di-iso-propylamino-1,4-disilabutane and argon plasma. The silicon wafer was heated to 100° C. or 300° C., respectively. Deposition process was performed using 300 mm production tool, ASM Stellar 3000, repeated 1000 times, using the following process conditions:
    • a. providing a substrate in an ALD reactor
    • b. introducing organoaminosilane precursor: 1-di-iso-propylamino-1,4-disilabutane
      • delivery conditions: Ar carrier gas 200 sccm, precursor container was kept at room temperature
      • chamber pressure: 2 Torr
      • precursor pulse: 1 second
    • c. inert gas purge
      • argon flow: 300 sccm
      • chamber pressure: 2 Torr
      • purge time: 2 seconds
    • d. argon plasma
      • argon flow: 500 sccm
      • chamber pressure: 2 Torr
      • plasma power: 500 W
      • plasma time: 5 seconds
    • e. purge plasma
      • argon flow: 300 sccm
      • chamber pressure: 2 Torr
      • purge time: 2 seconds
Deposition rate, refractive index, film composition, density as well as wet etch rate of the resultant films in dilute HF are listed below in Table 5. Referring to the data in Table 5, the oxygen is believed to come from post-deposition air exposure when samples were sending for XPS analysis.
TABLE 5
Deposition rate, refractive index of deposited
films and film properties 1-di-iso-
propylamino-1-4-disilabutane and argon plasma
WER
Wafer Deposition in
temp Rate Refractive C O N Si Density dHF
(° C.) (Å/cycle) index (%) (%) (%) (%) (g/cc) (Å/s)
100 0.18 1.96 50.4 9.4 19.8 20.5 1.88 <0.05
300 0.21 2.01 61.9 8.0 12.7 17.4 1.92 <0.05
The wet etch rate in dilute HF of less than 0.05 Å/s, which is much lower than that of typical thermal oxide film (0.5 Å/s) under the same conditions, demonstrating the organoaminosilanes described herein affect the resultant properties of the silicon-containing films deposited therefrom.

Claims (5)

The invention claimed is:
1. A composition comprising at least one organoaminosilane compound represented by:
Figure US10460929-20191029-C00075
wherein R1 is selected from the group consisting of a linear or branched C1 to C10 alkyl group, a linear or branched C3 to C10 alkenyl group, a linear or branched C3 to C10 alkynyl group, a C3 to C10 cyclic alkyl group, and a C5 to C10 aryl group; R2 is selected from the group consisting of hydrogen, a linear or branched C1 to C10 alkyl group, a linear or branched C3 to C10 alkenyl group, a linear or branched C3 to C10 alkynyl group, a C3 to C10 cyclic alkyl group, and a C5 to C10 aryl group; R3 are each independently selected from the group consisting of a linear or branched C1 to C10 alkylene group, a linear or branched C3 to C6 alkenylene group, a linear or branched C3 to C6 alkynylene group, a C3 to C10 cyclic alkylene group, a C3 to C10 hetero-cyclic alkylene group, a C5 to C10 arylene group, and a C5 to C10 hetero-arylene group; n in Formula A equals 1; m in Formula A equals 0; and, wherein the compound is made by a method comprising the steps of:
reacting an amine having a formula selected from R1R2NH and R1NH2 wherein R1 in the amine is selected from the group consisting of a linear or branched C1 to C10 alkyl group, a linear or branched C3 to C10 alkenyl group, a linear or branched C3 to C10 alkynyl group, a C3 to C10 cyclic alkyl group, and a C5 to C10 aryl group; R2 in the amine is selected from the group consisting of hydrogen, a linear or branched C1 to C10 alkyl group, a linear or branched C3 to C10 alkenyl group, a linear or branched C3 to C10 alkynyl group, a C3 to C10 cyclic alkyl group, and a C5 to C10 aryl group, with a silicon source comprising at least one compound selected from the group consisting of
Figure US10460929-20191029-C00076
wherein R3 and R4 in the silicon source are independently selected from the group consisting of a linear or branched C1 to C10 alkylene group, a linear or branched C3 to C6 alkenylene group, a linear or branched C3 to C6 alkynylene group, a C3 to C10 cyclic alkylene group, a C3 to C10 hetero-cyclic alkylene group, a C5 to C10 arylene group, and a C5 to C10 hetero-arylene group in the presence of a catalyst under reaction conditions sufficient for at least a portion of the silicon source and at least a portion of the amine to react and provide the organoaminosilane, and wherein the organoaminosilane is greater than 98 wt. % pure.
2. The composition of claim 1 wherein the compound is free of halides.
3. The composition of claim 1 further comprising at least one member selected from the group consisting of nitrogen sources, oxygen sources, solvents, purge gases and reducing agents.
4. The composition of claim 1 wherein the compound is di-iso-propylamino-1,4-disilabutane.
5. The composition of claim 1 wherein the compound is at least one member selected from the group consisting of 1-dimethylamino-1,3-disilapropane, di-iso-propylamino-1,3-disilapropane, 1-di-sec-butylamino-1,3-disilapropane, 1-di-iso-butylamino-1,3-disilapropane, 1-di-tert-pentylamino-1,3-disilapropane, 1-diethylamino-1,3-disilapropane, 1-piperidino-1,3-disilapropane, 1-2,6-dimethylpiperidino-1,3-disilapropane, 1-pyrrolidino-1,3-disilapropane, 1-dimethylamino-1,4-disilabutane, 1-dipropylamino-1,4-disilabutane, 1-di-iso-propylamino-1,4-disilabutane, 1-(propyl-iso-propylamino)-1,4-disilabutane, 1-dibutylamino-1,4-disilabutane, 1-di-iso-butylamino-1,4-disilabutane, 1-di-sec-butylamino-1,4-disilabutane, 1-(sec-butyl-iso-propylamino)-1,4-disilabutane, 1-(dicyclohexylamino)-1,4-disilabutane, 1-(cyclohexyl-iso-propylamino)-1,4-disilabutane, 1-(2-pyridyl-methylamino)-1,4-disilabutane, 1-pyrrolyl-1,4-disilabutane, 1-(2,5-dimethylpyrrolyl)-1,4-disilabutane, 1-(phenylmethylamino)-1,4-disilabutane, 1-(2-methylpiperidino)-1,4-disilabutane, 1-(2,6-dimethylpiperidino)-1,4-disilabutane, 1-(2,6-dimethylmorpholino)-1,4-disilabutane, 1-(2-methylindolino)-1,4-disilabutane, and 1-iso-propylamino-1,4-disilabutane.
US15/479,893 2013-09-20 2017-04-05 Organoaminosilane precursors and methods for depositing films comprising same Active US10460929B2 (en)

Priority Applications (1)

Application Number Priority Date Filing Date Title
US15/479,893 US10460929B2 (en) 2013-09-20 2017-04-05 Organoaminosilane precursors and methods for depositing films comprising same

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US201361880261P 2013-09-20 2013-09-20
US14/483,751 US10453675B2 (en) 2013-09-20 2014-09-11 Organoaminosilane precursors and methods for depositing films comprising same
US15/479,893 US10460929B2 (en) 2013-09-20 2017-04-05 Organoaminosilane precursors and methods for depositing films comprising same

Related Parent Applications (1)

Application Number Title Priority Date Filing Date
US14/483,751 Continuation US10453675B2 (en) 2013-09-20 2014-09-11 Organoaminosilane precursors and methods for depositing films comprising same

Publications (2)

Publication Number Publication Date
US20170207084A1 US20170207084A1 (en) 2017-07-20
US10460929B2 true US10460929B2 (en) 2019-10-29

Family

ID=51660307

Family Applications (4)

Application Number Title Priority Date Filing Date
US14/483,751 Active US10453675B2 (en) 2013-09-20 2014-09-11 Organoaminosilane precursors and methods for depositing films comprising same
US15/479,893 Active US10460929B2 (en) 2013-09-20 2017-04-05 Organoaminosilane precursors and methods for depositing films comprising same
US16/580,782 Active US11139162B2 (en) 2013-09-20 2019-09-24 Organoaminosilane precursors and methods for depositing films comprising same
US17/469,211 Pending US20210407793A1 (en) 2013-09-20 2021-09-08 Organoaminosilane precursors and methods for depositing films comprising same

Family Applications Before (1)

Application Number Title Priority Date Filing Date
US14/483,751 Active US10453675B2 (en) 2013-09-20 2014-09-11 Organoaminosilane precursors and methods for depositing films comprising same

Family Applications After (2)

Application Number Title Priority Date Filing Date
US16/580,782 Active US11139162B2 (en) 2013-09-20 2019-09-24 Organoaminosilane precursors and methods for depositing films comprising same
US17/469,211 Pending US20210407793A1 (en) 2013-09-20 2021-09-08 Organoaminosilane precursors and methods for depositing films comprising same

Country Status (6)

Country Link
US (4) US10453675B2 (en)
EP (4) EP3594219B1 (en)
JP (6) JP2015096489A (en)
KR (3) KR101749705B1 (en)
CN (1) CN104672265B (en)
TW (4) TWI636988B (en)

Families Citing this family (243)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20130023129A1 (en) 2011-07-20 2013-01-24 Asm America, Inc. Pressure transmitter for a semiconductor processing environment
KR20150036114A (en) 2012-07-20 2015-04-07 레르 리키드 쏘시에떼 아노님 뿌르 레뜌드 에렉스뿔라따시옹 데 프로세데 조르즈 클로드 Organosilane precursors for ald/cvd silicon-containing film applications
US10714315B2 (en) 2012-10-12 2020-07-14 Asm Ip Holdings B.V. Semiconductor reaction chamber showerhead
TW201509799A (en) 2013-07-19 2015-03-16 Air Liquide Hexacoordinate silicon-containing precursors for ALD/CVD silicon-containing film applications
US9382268B1 (en) 2013-07-19 2016-07-05 American Air Liquide, Inc. Sulfur containing organosilane precursors for ALD/CVD silicon-containing film applications
US10453675B2 (en) * 2013-09-20 2019-10-22 Versum Materials Us, Llc Organoaminosilane precursors and methods for depositing films comprising same
US9233990B2 (en) 2014-02-28 2016-01-12 Air Products And Chemicals, Inc. Organoaminosilanes and methods for making same
WO2016007708A1 (en) * 2014-07-10 2016-01-14 L'air Liquide, Societe Anonyme Pour L'etude Et L'exploitation Des Procedes Georges Claude Alkylamino-substituted carbosilane precursors
JP6578353B2 (en) * 2014-09-23 2019-09-18 レール・リキード−ソシエテ・アノニム・プール・レテュード・エ・レクスプロワタシオン・デ・プロセデ・ジョルジュ・クロード Carbosilane-substituted amine precursor for SI-containing film deposition and method thereof
US10941490B2 (en) 2014-10-07 2021-03-09 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
WO2016094711A2 (en) 2014-12-13 2016-06-16 L'air Liquide, Societe Anonyme Pour L'etude Et L'exploitation Des Procedes Georges Claude Organosilane precursors for ald/cvd silicon-containing film applications and methods of using the same
US10276355B2 (en) 2015-03-12 2019-04-30 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
US10566187B2 (en) 2015-03-20 2020-02-18 Lam Research Corporation Ultrathin atomic layer deposition film accuracy thickness control
JP6484478B2 (en) * 2015-03-25 2019-03-13 株式会社Kokusai Electric Semiconductor device manufacturing method, substrate processing apparatus, and program
TWI706957B (en) * 2015-03-30 2020-10-11 法商液態空氣喬治斯克勞帝方法研究開發股份有限公司 Catalyst dehydrogenative coupling of carbosilanes with ammonia, amnines and amidines
US10763103B2 (en) * 2015-03-31 2020-09-01 Versum Materials Us, Llc Boron-containing compounds, compositions, and methods for the deposition of a boron containing films
US11268190B2 (en) * 2015-06-16 2022-03-08 Versum Materials Us, Llc Processes for depositing silicon-containing films using halidosilane compounds
US9815858B2 (en) * 2015-06-16 2017-11-14 Gelest Technologies, Inc. Hydridosilapyrroles, hydridosilaazapyrroles, thiasilacyclopentanes, method for preparation thereof, and reaction products therefrom
US10458018B2 (en) 2015-06-26 2019-10-29 Asm Ip Holding B.V. Structures including metal carbide material, devices including the structures, and methods of forming same
US10211308B2 (en) 2015-10-21 2019-02-19 Asm Ip Holding B.V. NbMC layers
US9786492B2 (en) * 2015-11-12 2017-10-10 Asm Ip Holding B.V. Formation of SiOCN thin films
US9786491B2 (en) 2015-11-12 2017-10-10 Asm Ip Holding B.V. Formation of SiOCN thin films
KR101786230B1 (en) * 2015-12-01 2017-10-18 주식회사 포스코 Metal thin plate with excellent corrosion resistance and etching ability and method for manufacturing the same
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
US10529554B2 (en) 2016-02-19 2020-01-07 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
TWI753794B (en) * 2016-03-23 2022-01-21 法商液態空氣喬治斯克勞帝方法研究開發股份有限公司 Si-containing film forming compositions and methods of making and using the same
US10367080B2 (en) 2016-05-02 2019-07-30 Asm Ip Holding B.V. Method of forming a germanium oxynitride film
KR102378021B1 (en) 2016-05-06 2022-03-23 에이에스엠 아이피 홀딩 비.브이. Formation of SiOC thin films
US9859151B1 (en) 2016-07-08 2018-01-02 Asm Ip Holding B.V. Selective film deposition method to form air gaps
US10612137B2 (en) 2016-07-08 2020-04-07 Asm Ip Holdings B.V. Organic reactants for atomic layer deposition
US20180033614A1 (en) * 2016-07-27 2018-02-01 Versum Materials Us, Llc Compositions and Methods Using Same for Carbon Doped Silicon Containing Films
US9887082B1 (en) 2016-07-28 2018-02-06 Asm Ip Holding B.V. Method and apparatus for filling a gap
KR102532607B1 (en) 2016-07-28 2023-05-15 에이에스엠 아이피 홀딩 비.브이. Substrate processing apparatus and method of operating the same
US9812320B1 (en) 2016-07-28 2017-11-07 Asm Ip Holding B.V. Method and apparatus for filling a gap
TWI746624B (en) * 2016-09-01 2021-11-21 美商Asm Ip控股公司 Method for protecting layer by forming hydrocarbon-based extremely thin film
US10703915B2 (en) * 2016-09-19 2020-07-07 Versum Materials Us, Llc Compositions and methods for the deposition of silicon oxide films
EP3519353B1 (en) * 2016-09-28 2021-03-17 Dow Silicones Corporation Chlorodisilazane
US10464953B2 (en) * 2016-10-14 2019-11-05 Versum Materials Us, Llc Carbon bridged aminosilane compounds for high growth rate silicon-containing films
CN109890997A (en) * 2016-10-25 2019-06-14 巴斯夫欧洲公司 The method for generating thin silicon-containing film
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
US10714350B2 (en) 2016-11-01 2020-07-14 ASM IP Holdings, B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US11735413B2 (en) 2016-11-01 2023-08-22 Versum Materials Us, Llc Precursors and flowable CVD methods for making low-k films to fill surface features
US10249489B2 (en) * 2016-11-02 2019-04-02 Versum Materials Us, Llc Use of silyl bridged alkyl compounds for dense OSG films
KR102546317B1 (en) 2016-11-15 2023-06-21 에이에스엠 아이피 홀딩 비.브이. Gas supply unit and substrate processing apparatus including the same
KR20180068582A (en) 2016-12-14 2018-06-22 에이에스엠 아이피 홀딩 비.브이. Substrate processing apparatus
US11581186B2 (en) 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
US11447861B2 (en) 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
US10269558B2 (en) 2016-12-22 2019-04-23 Asm Ip Holding B.V. Method of forming a structure on a substrate
US11390950B2 (en) 2017-01-10 2022-07-19 Asm Ip Holding B.V. Reactor system and method to reduce residue buildup during a film deposition process
US10822458B2 (en) * 2017-02-08 2020-11-03 Versum Materials Us, Llc Organoamino-functionalized linear and cyclic oligosiloxanes for deposition of silicon-containing films
US11591692B2 (en) 2017-02-08 2023-02-28 Versum Materials Us, Llc Organoamino-polysiloxanes for deposition of silicon-containing films
US10176984B2 (en) 2017-02-14 2019-01-08 Lam Research Corporation Selective deposition of silicon oxide
US10468261B2 (en) 2017-02-15 2019-11-05 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
US10242866B2 (en) 2017-03-08 2019-03-26 Lam Research Corporation Selective deposition of silicon nitride on silicon oxide using catalytic control
KR102338066B1 (en) * 2017-03-17 2021-12-10 버슘머트리얼즈 유에스, 엘엘씨 Selective Deposition on Silicon-Containing Surfaces
US10847529B2 (en) 2017-04-13 2020-11-24 Asm Ip Holding B.V. Substrate processing method and device manufactured by the same
US10559461B2 (en) 2017-04-19 2020-02-11 Lam Research Corporation Selective deposition with atomic layer etch reset
JP7249952B2 (en) 2017-05-05 2023-03-31 エーエスエム アイピー ホールディング ビー.ブイ. Plasma-enhanced deposition process for controlled formation of oxygen-containing thin films
US10770286B2 (en) 2017-05-08 2020-09-08 Asm Ip Holdings B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
KR20190009245A (en) 2017-07-18 2019-01-28 에이에스엠 아이피 홀딩 비.브이. Methods for forming a semiconductor device structure and related semiconductor device structures
US11374112B2 (en) 2017-07-19 2022-06-28 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US10590535B2 (en) 2017-07-26 2020-03-17 Asm Ip Holdings B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
TWI784022B (en) * 2017-07-31 2022-11-21 中國大陸商南大光電半導體材料有限公司 1,1,1-tris(dimethylamino)disilane and method of preparing same
US10770336B2 (en) 2017-08-08 2020-09-08 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US10692741B2 (en) 2017-08-08 2020-06-23 Asm Ip Holdings B.V. Radiation shield
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
KR102491945B1 (en) 2017-08-30 2023-01-26 에이에스엠 아이피 홀딩 비.브이. Substrate processing apparatus
US11056344B2 (en) 2017-08-30 2021-07-06 Asm Ip Holding B.V. Layer forming method
US11049714B2 (en) * 2017-09-19 2021-06-29 Versum Materials Us, Llc Silyl substituted organoamines as precursors for high growth rate silicon-containing films
US10658205B2 (en) 2017-09-28 2020-05-19 Asm Ip Holdings B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
US10403504B2 (en) 2017-10-05 2019-09-03 Asm Ip Holding B.V. Method for selectively depositing a metallic film on a substrate
US10460930B2 (en) 2017-11-22 2019-10-29 Lam Research Corporation Selective growth of SiO2 on dielectric surfaces in the presence of copper
CN111316417B (en) 2017-11-27 2023-12-22 阿斯莫Ip控股公司 Storage device for storing wafer cassettes for use with batch ovens
US11639811B2 (en) 2017-11-27 2023-05-02 Asm Ip Holding B.V. Apparatus including a clean mini environment
TWI761636B (en) 2017-12-04 2022-04-21 荷蘭商Asm Ip控股公司 PLASMA ENHANCED ATOMIC LAYER DEPOSITION PROCESS AND METHOD OF DEPOSITING SiOC THIN FILM
US10872771B2 (en) 2018-01-16 2020-12-22 Asm Ip Holding B. V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
CN111630203A (en) 2018-01-19 2020-09-04 Asm Ip私人控股有限公司 Method for depositing gap filling layer by plasma auxiliary deposition
TW202325889A (en) 2018-01-19 2023-07-01 荷蘭商Asm 智慧財產控股公司 Deposition method
US11098069B2 (en) 2018-01-30 2021-08-24 Versum Materials Us, Llc Organoamino-functionalized cyclic oligosiloxanes for deposition of silicon-containing films
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
US10896820B2 (en) 2018-02-14 2021-01-19 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
CN116732497A (en) 2018-02-14 2023-09-12 Asm Ip私人控股有限公司 Method for depositing ruthenium-containing films on substrates by cyclical deposition processes
KR102636427B1 (en) 2018-02-20 2024-02-13 에이에스엠 아이피 홀딩 비.브이. Substrate processing method and apparatus
US10975470B2 (en) 2018-02-23 2021-04-13 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
US11404275B2 (en) 2018-03-02 2022-08-02 Lam Research Corporation Selective deposition using hydrolysis
US11629406B2 (en) 2018-03-09 2023-04-18 Asm Ip Holding B.V. Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate
US11114283B2 (en) 2018-03-16 2021-09-07 Asm Ip Holding B.V. Reactor, system including the reactor, and methods of manufacturing and using same
KR102646467B1 (en) 2018-03-27 2024-03-11 에이에스엠 아이피 홀딩 비.브이. Method of forming an electrode on a substrate and a semiconductor device structure including an electrode
US11230766B2 (en) 2018-03-29 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
SG10201903201XA (en) 2018-04-11 2019-11-28 Versum Materials Us Llc Organoamino-functionalized cyclic oligosiloxanes for deposition of silicon-containing films
TWI811348B (en) 2018-05-08 2023-08-11 荷蘭商Asm 智慧財產控股公司 Methods for depositing an oxide film on a substrate by a cyclical deposition process and related device structures
KR102596988B1 (en) 2018-05-28 2023-10-31 에이에스엠 아이피 홀딩 비.브이. Method of processing a substrate and a device manufactured by the same
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
TW202013553A (en) 2018-06-04 2020-04-01 荷蘭商Asm 智慧財產控股公司 Wafer handling chamber with moisture reduction
US11286562B2 (en) 2018-06-08 2022-03-29 Asm Ip Holding B.V. Gas-phase chemical reactor and method of using same
KR102568797B1 (en) 2018-06-21 2023-08-21 에이에스엠 아이피 홀딩 비.브이. Substrate processing system
US10797133B2 (en) 2018-06-21 2020-10-06 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
KR20210027265A (en) 2018-06-27 2021-03-10 에이에스엠 아이피 홀딩 비.브이. Periodic deposition method for forming metal-containing material and film and structure comprising metal-containing material
WO2020002995A1 (en) 2018-06-27 2020-01-02 Asm Ip Holding B.V. Cyclic deposition methods for forming metal-containing material and films and structures including the metal-containing material
US10612136B2 (en) 2018-06-29 2020-04-07 ASM IP Holding, B.V. Temperature-controlled flange and reactor system including same
US10388513B1 (en) 2018-07-03 2019-08-20 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10755922B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US10985010B2 (en) * 2018-08-29 2021-04-20 Versum Materials Us, Llc Methods for making silicon and nitrogen containing films
KR20200030162A (en) 2018-09-11 2020-03-20 에이에스엠 아이피 홀딩 비.브이. Method for deposition of a thin film
US11024523B2 (en) 2018-09-11 2021-06-01 Asm Ip Holding B.V. Substrate processing apparatus and method
CN110970344A (en) 2018-10-01 2020-04-07 Asm Ip控股有限公司 Substrate holding apparatus, system including the same, and method of using the same
US11232963B2 (en) 2018-10-03 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102592699B1 (en) 2018-10-08 2023-10-23 에이에스엠 아이피 홀딩 비.브이. Substrate support unit and apparatuses for depositing thin film and processing the substrate including the same
KR102546322B1 (en) 2018-10-19 2023-06-21 에이에스엠 아이피 홀딩 비.브이. Substrate processing apparatus and substrate processing method
KR102605121B1 (en) 2018-10-19 2023-11-23 에이에스엠 아이피 홀딩 비.브이. Substrate processing apparatus and substrate processing method
US11087997B2 (en) 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
US10943791B2 (en) * 2018-10-31 2021-03-09 Taiwan Semiconductor Manufacturing Co., Ltd. Pattern formation method and method for manufacturing a semiconductor device
KR20200051105A (en) 2018-11-02 2020-05-13 에이에스엠 아이피 홀딩 비.브이. Substrate support unit and substrate processing apparatus including the same
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US10847366B2 (en) 2018-11-16 2020-11-24 Asm Ip Holding B.V. Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process
US10818758B2 (en) 2018-11-16 2020-10-27 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US11217444B2 (en) 2018-11-30 2022-01-04 Asm Ip Holding B.V. Method for forming an ultraviolet radiation responsive metal oxide-containing film
KR102636428B1 (en) 2018-12-04 2024-02-13 에이에스엠 아이피 홀딩 비.브이. A method for cleaning a substrate processing apparatus
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
TW202037745A (en) 2018-12-14 2020-10-16 荷蘭商Asm Ip私人控股有限公司 Method of forming device structure, structure formed by the method and system for performing the method
TWI819180B (en) 2019-01-17 2023-10-21 荷蘭商Asm 智慧財產控股公司 Methods of forming a transition metal containing film on a substrate by a cyclical deposition process
KR20200091543A (en) 2019-01-22 2020-07-31 에이에스엠 아이피 홀딩 비.브이. Semiconductor processing device
CN111524788B (en) 2019-02-01 2023-11-24 Asm Ip私人控股有限公司 Method for topologically selective film formation of silicon oxide
KR20210114546A (en) * 2019-02-05 2021-09-23 버슘머트리얼즈 유에스, 엘엘씨 Deposition of carbon-doped silicon oxide
TW202104632A (en) 2019-02-20 2021-02-01 荷蘭商Asm Ip私人控股有限公司 Cyclical deposition method and apparatus for filling a recess formed within a substrate surface
KR102638425B1 (en) 2019-02-20 2024-02-21 에이에스엠 아이피 홀딩 비.브이. Method and apparatus for filling a recess formed within a substrate surface
KR20200102357A (en) 2019-02-20 2020-08-31 에이에스엠 아이피 홀딩 비.브이. Apparatus and methods for plug fill deposition in 3-d nand applications
KR102626263B1 (en) 2019-02-20 2024-01-16 에이에스엠 아이피 홀딩 비.브이. Cyclical deposition method including treatment step and apparatus for same
TW202100794A (en) 2019-02-22 2021-01-01 荷蘭商Asm Ip私人控股有限公司 Substrate processing apparatus and method for processing substrate
KR20200108242A (en) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. Method for Selective Deposition of Silicon Nitride Layer and Structure Including Selectively-Deposited Silicon Nitride Layer
KR20200108243A (en) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. Structure Including SiOC Layer and Method of Forming Same
US11742198B2 (en) 2019-03-08 2023-08-29 Asm Ip Holding B.V. Structure including SiOCN layer and method of forming same
KR20200116033A (en) 2019-03-28 2020-10-08 에이에스엠 아이피 홀딩 비.브이. Door opener and substrate processing apparatus provided therewith
KR20200116855A (en) 2019-04-01 2020-10-13 에이에스엠 아이피 홀딩 비.브이. Method of manufacturing semiconductor device
US20210017198A1 (en) 2019-04-05 2021-01-21 Versum Materials Us, Llc Organoamino-Functionalized Cyclic Oligosiloxanes For Deposition Of Silicon-Containing Films
KR20200123380A (en) 2019-04-19 2020-10-29 에이에스엠 아이피 홀딩 비.브이. Layer forming method and apparatus
KR20200125453A (en) 2019-04-24 2020-11-04 에이에스엠 아이피 홀딩 비.브이. Gas-phase reactor system and method of using same
JP2022530419A (en) * 2019-04-25 2022-06-29 バーサム マテリアルズ ユーエス,リミティド ライアビリティ カンパニー Organic amino disilazanes for high temperature atomic layer deposition of silicon oxide thin films
KR20200130118A (en) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. Method for Reforming Amorphous Carbon Polymer Film
KR20200130121A (en) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. Chemical source vessel with dip tube
KR20200130652A (en) 2019-05-10 2020-11-19 에이에스엠 아이피 홀딩 비.브이. Method of depositing material onto a surface and structure formed according to the method
JP2020188255A (en) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. Wafer boat handling device, vertical batch furnace, and method
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
USD935572S1 (en) 2019-05-24 2021-11-09 Asm Ip Holding B.V. Gas channel plate
KR20200141002A (en) 2019-06-06 2020-12-17 에이에스엠 아이피 홀딩 비.브이. Method of using a gas-phase reactor system including analyzing exhausted gas
KR20200143254A (en) 2019-06-11 2020-12-23 에이에스엠 아이피 홀딩 비.브이. Method of forming an electronic structure using an reforming gas, system for performing the method, and structure formed using the method
USD944946S1 (en) 2019-06-14 2022-03-01 Asm Ip Holding B.V. Shower plate
USD931978S1 (en) 2019-06-27 2021-09-28 Asm Ip Holding B.V. Showerhead vacuum transport
KR20210005515A (en) 2019-07-03 2021-01-14 에이에스엠 아이피 홀딩 비.브이. Temperature control assembly for substrate processing apparatus and method of using same
JP2021015791A (en) 2019-07-09 2021-02-12 エーエスエム アイピー ホールディング ビー.ブイ. Plasma device and substrate processing method using coaxial waveguide
CN112216646A (en) 2019-07-10 2021-01-12 Asm Ip私人控股有限公司 Substrate supporting assembly and substrate processing device comprising same
KR20210010307A (en) 2019-07-16 2021-01-27 에이에스엠 아이피 홀딩 비.브이. Substrate processing apparatus
KR20210010816A (en) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. Radical assist ignition plasma system and method
KR20210010820A (en) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. Methods of forming silicon germanium structures
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
TW202121506A (en) 2019-07-19 2021-06-01 荷蘭商Asm Ip私人控股有限公司 Method of forming topology-controlled amorphous carbon polymer film
CN112309843A (en) 2019-07-29 2021-02-02 Asm Ip私人控股有限公司 Selective deposition method for achieving high dopant doping
CN112309900A (en) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 Substrate processing apparatus
CN112309899A (en) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 Substrate processing apparatus
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
KR20210018759A (en) 2019-08-05 2021-02-18 에이에스엠 아이피 홀딩 비.브이. Liquid level sensor for a chemical source vessel
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
JP2021031769A (en) 2019-08-21 2021-03-01 エーエスエム アイピー ホールディング ビー.ブイ. Production apparatus of mixed gas of film deposition raw material and film deposition apparatus
USD930782S1 (en) 2019-08-22 2021-09-14 Asm Ip Holding B.V. Gas distributor
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
USD949319S1 (en) 2019-08-22 2022-04-19 Asm Ip Holding B.V. Exhaust duct
USD940837S1 (en) 2019-08-22 2022-01-11 Asm Ip Holding B.V. Electrode
KR20210024423A (en) 2019-08-22 2021-03-05 에이에스엠 아이피 홀딩 비.브이. Method for forming a structure with a hole
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
KR20210024420A (en) 2019-08-23 2021-03-05 에이에스엠 아이피 홀딩 비.브이. Method for depositing silicon oxide film having improved quality by peald using bis(diethylamino)silane
KR20210029090A (en) 2019-09-04 2021-03-15 에이에스엠 아이피 홀딩 비.브이. Methods for selective deposition using a sacrificial capping layer
KR20210029663A (en) 2019-09-05 2021-03-16 에이에스엠 아이피 홀딩 비.브이. Substrate processing apparatus
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
CN112593212B (en) 2019-10-02 2023-12-22 Asm Ip私人控股有限公司 Method for forming topologically selective silicon oxide film by cyclic plasma enhanced deposition process
TW202129060A (en) 2019-10-08 2021-08-01 荷蘭商Asm Ip控股公司 Substrate processing device, and substrate processing method
TW202115273A (en) 2019-10-10 2021-04-16 荷蘭商Asm Ip私人控股有限公司 Method of forming a photoresist underlayer and structure including same
KR20210045930A (en) 2019-10-16 2021-04-27 에이에스엠 아이피 홀딩 비.브이. Method of Topology-Selective Film Formation of Silicon Oxide
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
KR20210047808A (en) 2019-10-21 2021-04-30 에이에스엠 아이피 홀딩 비.브이. Apparatus and methods for selectively etching films
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
KR20210054983A (en) 2019-11-05 2021-05-14 에이에스엠 아이피 홀딩 비.브이. Structures with doped semiconductor layers and methods and systems for forming same
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
KR20210062561A (en) 2019-11-20 2021-05-31 에이에스엠 아이피 홀딩 비.브이. Method of depositing carbon-containing material on a surface of a substrate, structure formed using the method, and system for forming the structure
US11450529B2 (en) 2019-11-26 2022-09-20 Asm Ip Holding B.V. Methods for selectively forming a target film on a substrate comprising a first dielectric surface and a second metallic surface
CN112951697A (en) 2019-11-26 2021-06-11 Asm Ip私人控股有限公司 Substrate processing apparatus
CN112885693A (en) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 Substrate processing apparatus
CN112885692A (en) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 Substrate processing apparatus
JP2021090042A (en) 2019-12-02 2021-06-10 エーエスエム アイピー ホールディング ビー.ブイ. Substrate processing apparatus and substrate processing method
KR20210070898A (en) 2019-12-04 2021-06-15 에이에스엠 아이피 홀딩 비.브이. Substrate processing apparatus
TW202125596A (en) 2019-12-17 2021-07-01 荷蘭商Asm Ip私人控股有限公司 Method of forming vanadium nitride layer and structure including the vanadium nitride layer
US11527403B2 (en) 2019-12-19 2022-12-13 Asm Ip Holding B.V. Methods for filling a gap feature on a substrate surface and related semiconductor structures
US11499014B2 (en) 2019-12-31 2022-11-15 L'Air Liquide, Société Anonyme pour l'Etude et l'Exploitation des Procédés Georges Claude Cureable formulations for forming low-k dielectric silicon-containing films using polycarbosilazane
KR20210095050A (en) 2020-01-20 2021-07-30 에이에스엠 아이피 홀딩 비.브이. Method of forming thin film and method of modifying surface of thin film
TW202130846A (en) 2020-02-03 2021-08-16 荷蘭商Asm Ip私人控股有限公司 Method of forming structures including a vanadium or indium layer
TW202146882A (en) 2020-02-04 2021-12-16 荷蘭商Asm Ip私人控股有限公司 Method of verifying an article, apparatus for verifying an article, and system for verifying a reaction chamber
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
TW202146715A (en) 2020-02-17 2021-12-16 荷蘭商Asm Ip私人控股有限公司 Method for growing phosphorous-doped silicon layer and system of the same
US20210265158A1 (en) * 2020-02-25 2021-08-26 Asm Ip Holding B.V. Method of forming low-k material layer, structure including the layer, and system for forming same
KR20210116240A (en) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. Substrate handling device with adjustable joints
US11876356B2 (en) 2020-03-11 2024-01-16 Asm Ip Holding B.V. Lockout tagout assembly and system and method of using same
KR20220163999A (en) 2020-04-02 2022-12-12 버슘머트리얼즈 유에스, 엘엘씨 Organoamino-functionalized cyclic oligosiloxanes for deposition of silicon-containing films
KR20210124042A (en) 2020-04-02 2021-10-14 에이에스엠 아이피 홀딩 비.브이. Thin film forming method
TW202146689A (en) 2020-04-03 2021-12-16 荷蘭商Asm Ip控股公司 Method for forming barrier layer and method for manufacturing semiconductor device
TW202145344A (en) 2020-04-08 2021-12-01 荷蘭商Asm Ip私人控股有限公司 Apparatus and methods for selectively etching silcon oxide films
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
KR20210132600A (en) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. Methods and systems for depositing a layer comprising vanadium, nitrogen, and a further element
KR20210132605A (en) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. Vertical batch furnace assembly comprising a cooling gas supply
KR20210132576A (en) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. Method of forming vanadium nitride-containing layer and structure comprising the same
KR20210134869A (en) 2020-05-01 2021-11-11 에이에스엠 아이피 홀딩 비.브이. Fast FOUP swapping with a FOUP handler
KR20210141379A (en) 2020-05-13 2021-11-23 에이에스엠 아이피 홀딩 비.브이. Laser alignment fixture for a reactor system
KR20210143653A (en) 2020-05-19 2021-11-29 에이에스엠 아이피 홀딩 비.브이. Substrate processing apparatus
KR20210145078A (en) 2020-05-21 2021-12-01 에이에스엠 아이피 홀딩 비.브이. Structures including multiple carbon layers and methods of forming and using same
TW202201602A (en) 2020-05-29 2022-01-01 荷蘭商Asm Ip私人控股有限公司 Substrate processing device
TW202218133A (en) 2020-06-24 2022-05-01 荷蘭商Asm Ip私人控股有限公司 Method for forming a layer provided with silicon
TW202217953A (en) 2020-06-30 2022-05-01 荷蘭商Asm Ip私人控股有限公司 Substrate processing method
KR20220010438A (en) 2020-07-17 2022-01-25 에이에스엠 아이피 홀딩 비.브이. Structures and methods for use in photolithography
TW202204662A (en) 2020-07-20 2022-02-01 荷蘭商Asm Ip私人控股有限公司 Method and system for depositing molybdenum layers
KR20220027026A (en) 2020-08-26 2022-03-07 에이에스엠 아이피 홀딩 비.브이. Method and system for forming metal silicon oxide and metal silicon oxynitride
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
TW202229613A (en) 2020-10-14 2022-08-01 荷蘭商Asm Ip私人控股有限公司 Method of depositing material on stepped structure
TW202217037A (en) 2020-10-22 2022-05-01 荷蘭商Asm Ip私人控股有限公司 Method of depositing vanadium metal, structure, device and a deposition assembly
TW202223136A (en) 2020-10-28 2022-06-16 荷蘭商Asm Ip私人控股有限公司 Method for forming layer on substrate, and semiconductor processing system
KR20220076343A (en) 2020-11-30 2022-06-08 에이에스엠 아이피 홀딩 비.브이. an injector configured for arrangement within a reaction chamber of a substrate processing apparatus
CN114639631A (en) 2020-12-16 2022-06-17 Asm Ip私人控股有限公司 Fixing device for measuring jumping and swinging
TW202231903A (en) 2020-12-22 2022-08-16 荷蘭商Asm Ip私人控股有限公司 Transition metal deposition method, transition metal layer, and deposition assembly for depositing transition metal on substrate
JP2024505193A (en) * 2021-01-26 2024-02-05 インテグリス・インコーポレーテッド High-throughput deposition method
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
WO2023027816A1 (en) * 2021-08-25 2023-03-02 Entegris, Inc. Silicon precursors
US20230080718A1 (en) * 2021-08-30 2023-03-16 Entegris, Inc. Silicon precursor materials, silicon-containing films, and related methods
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate
WO2023220650A1 (en) * 2022-05-13 2023-11-16 Versum Materials Us, Llc Compositions and methods using same for carbon doped silicon containing films

Citations (16)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5622784A (en) 1986-01-21 1997-04-22 Seiko Epson Corporation Synthetic resin ophthalmic lens having an inorganic coating
JP2002158223A (en) 2000-11-17 2002-05-31 Tri Chemical Laboratory Inc Material and method for forming film and element
JP2003151972A (en) 2001-11-15 2003-05-23 Tri Chemical Laboratory Inc Oxide film, forming method of the oxide film, semiconductor element
US7125582B2 (en) 2003-07-30 2006-10-24 Intel Corporation Low-temperature silicon nitride deposition
EP1724373A1 (en) 2005-05-16 2006-11-22 Air Products and Chemicals, Inc. Precursors for cvd silicon carbo-nitride films
WO2009058732A1 (en) 2007-10-29 2009-05-07 Integrated Surface Technologies, Inc. Surface coating
US7875312B2 (en) * 2006-05-23 2011-01-25 Air Products And Chemicals, Inc. Process for producing silicon oxide films for organoaminosilane precursors
CN102247821A (en) 2010-05-21 2011-11-23 月旭材料科技(上海)有限公司 High pH tolerance chromatographic filler and preparation method thereof
US20120003500A1 (en) 2009-02-16 2012-01-05 Mitsubishi Plastics, Inc. Process for producing multilayered gas-barrier film
JP2012025773A (en) 2011-10-14 2012-02-09 Maruzen Pharmaceut Co Ltd Lipase inhibitor
US20120128897A1 (en) 2010-06-02 2012-05-24 Air Products And Chemicals, Inc. Organoaminosilane Precursors and Methods for Depositing Films Comprising Same
KR20130034001A (en) 2011-09-27 2013-04-04 에어 프로덕츠 앤드 케미칼스, 인코오포레이티드 Halogenated organoaminosilane precursors and methods for depositing films comprising same
US20130224964A1 (en) 2012-02-28 2013-08-29 Asm Ip Holding B.V. Method for Forming Dielectric Film Containing Si-C bonds by Atomic Layer Deposition Using Precursor Containing Si-C-Si bond
WO2014201033A1 (en) 2013-06-11 2014-12-18 Waters Technologies Corporation Chromatographic columns and separation devices comprising a superficially porous material; and use thereof for supercritical fluid chromatography and other chromatography
US20150147871A1 (en) 2013-06-26 2015-05-28 Air Products And Chemicals, Inc. Aza-polysilane precursors and methods for depositing films comprising same
WO2016007708A1 (en) 2014-07-10 2016-01-14 L'air Liquide, Societe Anonyme Pour L'etude Et L'exploitation Des Procedes Georges Claude Alkylamino-substituted carbosilane precursors

Family Cites Families (8)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN1154633C (en) * 1997-03-31 2004-06-23 大金工业株式会社 Process for producing perfluorovinyl ethersulfonic acid derivatives and copolymer of same
IT1301973B1 (en) * 1998-07-31 2000-07-20 Ausimont Spa HYDRO-FLUOROALKYLVINYLETERS AND PROCEDURE FOR THEIR OBTAINING
JP2004018454A (en) * 2002-06-14 2004-01-22 Daikin Ind Ltd Method for producing fluorine-containing fluorosulfonylalkyl vinyl ether
US8771807B2 (en) * 2011-05-24 2014-07-08 Air Products And Chemicals, Inc. Organoaminosilane precursors and methods for making and using same
JP5969253B2 (en) * 2012-02-10 2016-08-17 東京応化工業株式会社 Surface treatment agent and surface treatment method
US10453675B2 (en) * 2013-09-20 2019-10-22 Versum Materials Us, Llc Organoaminosilane precursors and methods for depositing films comprising same
US9233990B2 (en) * 2014-02-28 2016-01-12 Air Products And Chemicals, Inc. Organoaminosilanes and methods for making same
JP6578353B2 (en) * 2014-09-23 2019-09-18 レール・リキード−ソシエテ・アノニム・プール・レテュード・エ・レクスプロワタシオン・デ・プロセデ・ジョルジュ・クロード Carbosilane-substituted amine precursor for SI-containing film deposition and method thereof

Patent Citations (19)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5622784A (en) 1986-01-21 1997-04-22 Seiko Epson Corporation Synthetic resin ophthalmic lens having an inorganic coating
JP2002158223A (en) 2000-11-17 2002-05-31 Tri Chemical Laboratory Inc Material and method for forming film and element
JP4196246B2 (en) 2000-11-17 2008-12-17 株式会社トリケミカル研究所 Film forming material, film forming method, and element
JP2003151972A (en) 2001-11-15 2003-05-23 Tri Chemical Laboratory Inc Oxide film, forming method of the oxide film, semiconductor element
US7125582B2 (en) 2003-07-30 2006-10-24 Intel Corporation Low-temperature silicon nitride deposition
EP1724373A1 (en) 2005-05-16 2006-11-22 Air Products and Chemicals, Inc. Precursors for cvd silicon carbo-nitride films
TW201114941A (en) 2006-05-23 2011-05-01 Air Prod & Chem Process for producing silicon oxide films from organoaminosilane precursors
US7875312B2 (en) * 2006-05-23 2011-01-25 Air Products And Chemicals, Inc. Process for producing silicon oxide films for organoaminosilane precursors
WO2009058732A1 (en) 2007-10-29 2009-05-07 Integrated Surface Technologies, Inc. Surface coating
US20120003500A1 (en) 2009-02-16 2012-01-05 Mitsubishi Plastics, Inc. Process for producing multilayered gas-barrier film
CN102247821A (en) 2010-05-21 2011-11-23 月旭材料科技(上海)有限公司 High pH tolerance chromatographic filler and preparation method thereof
US20120128897A1 (en) 2010-06-02 2012-05-24 Air Products And Chemicals, Inc. Organoaminosilane Precursors and Methods for Depositing Films Comprising Same
KR20130034001A (en) 2011-09-27 2013-04-04 에어 프로덕츠 앤드 케미칼스, 인코오포레이티드 Halogenated organoaminosilane precursors and methods for depositing films comprising same
TW201319077A (en) 2011-09-27 2013-05-16 Air Prod & Chem Halogenated organoaminosilane precursors and methods for depositing films comprising same
JP2012025773A (en) 2011-10-14 2012-02-09 Maruzen Pharmaceut Co Ltd Lipase inhibitor
US20130224964A1 (en) 2012-02-28 2013-08-29 Asm Ip Holding B.V. Method for Forming Dielectric Film Containing Si-C bonds by Atomic Layer Deposition Using Precursor Containing Si-C-Si bond
WO2014201033A1 (en) 2013-06-11 2014-12-18 Waters Technologies Corporation Chromatographic columns and separation devices comprising a superficially porous material; and use thereof for supercritical fluid chromatography and other chromatography
US20150147871A1 (en) 2013-06-26 2015-05-28 Air Products And Chemicals, Inc. Aza-polysilane precursors and methods for depositing films comprising same
WO2016007708A1 (en) 2014-07-10 2016-01-14 L'air Liquide, Societe Anonyme Pour L'etude Et L'exploitation Des Procedes Georges Claude Alkylamino-substituted carbosilane precursors

Non-Patent Citations (27)

* Cited by examiner, † Cited by third party
Title
Anu Mallikarjunan, et al, "Designing High Performance Precursors for Atmoic Layer Deposition of Silicon Oxide", Air Products and Chemicals, Inc., 199.
CHEMICAL ABSTRACTS, Columbus, Ohio, US; LIENHART K, ROCHOW E G: "Aminosilanes and silazanes with the Si-CH2-Si grouping" XP002766906
Chiara, J. L., "N-silylarylamines", Chemical Abstracts Service, Columbus, Ohio, US, 2007, XP002762594, retrieved from STN, Database accession No. 2007:1251060 Abstract.
DATABASE CA [online] CHEMICAL ABSTRACTS SERVICE, COLUMBUS, OHIO, US; 6 October 2016 (2016-10-06), CHIARA, J. L.: "N-silylarylamines", XP002762594, retrieved from STN
DATABASE CA [online] CHEMICAL ABSTRACTS SERVICE, COLUMBUS, OHIO, US; WENDT H, ODENWALD I, SCHEER P, MATERN E, FRITZ G: "Formation of organosilicon compounds. 116. The influence of chlorination, hydrogenation, and crosslinking on the thermal behavior of the polymeric (-Me2Si-CH2-)n", XP002766905, retrieved from STN
Han Zhou, et al, "Highly Stable Ultrathin Carbosiloxane Films by Molecular Layer Deposition", The Journal of Physical Chemistry, 2013, 19967-19973.
Hubert Schmidbaur, et al, "Diffrences in Reactivity of 1,4-Disilabutane and n-Tetrasilane towards Secondary Amines", Anorganisch-chemisches Institut der Technischen Universitat Munchen, 1990, 1679-1683.
I. Suzuki, et al, "Extra Low-temperature SiO2 Deposition Using Aminosilanes", ECS Transactions, 2007, 119-128.
Kuntsmann, Th., et al., "Novel brominated carbosilane precursors for low-temperature heteroepitaxy of .beta.-SiC and their comparison with methyltrichlorosilane", Chemistry of Materials, vol. 7, No. 9, 1995, pp. 1675-1679.
Lei Han, et al, "High-Quality Thin SiO2 Films Grown by Atomic Layer Deposition Using Tris(dimethylamino)silane (TDMAS) and Ozone", ECS Journal of Solid State Science and Technology, 2013, N228-N236.
Lienhard, K., et al., "Aminosilanes and silazanes with the Si-Ch2-Si grouping", Chemical Abstracts Service, Columbus, Ohio, US, 2007, XP002766906, retrieved from STN, Database accession No. 1963:482331 "Abstract".
Mark L. O'Neill, et al, "Impact of Aminosilane Precursor Structure on Silicon Oxides by Atomic Layer Deposition", The Electorchemical Society, Winter 2011, 33-37.
Mitzel, Norbert W., et al., "Cyclic Silylhydrazines and Their Borane Adducts", 1995 American Chemical Society, Inorg. Chem. 1995, 34, pp. 4840-4845.
Mitzel, Norbert W., et al., "Two Different Cyclization Modes in the Formation of Silylhydrazines", 1993 American Chemical Society, Organometallics 1993, 12, pp. 413-416.
N. Auner, et al, "Silaethane II: Darstellung und Charakterisierung von 1,3-Disilacyclobutanen", Journal of Organometallic Chemistry, 1980, 151-177.
N. Auner, et al, "Silaheterocyclen IX. Darstellung und Charakterisierung von 2,4-Dineopentyl-1,3-disilacyclobutanen", Journal of Organometallic Chemistry, 1990, 33-56.
Norbert W. Mitzel, et al, "Synthesis of Volatile Cyclic Silylamines and the Molecular Structures of Two 1-Aza-2,5-disilacyclopentane Derivatives", Inorg. Chem., 1997, 4360-4368.
R. Schrock, et al, "Cyclic and open-chain derivatives of bis(trihydrosilyl)benzenes", J. Chem. Soc., 1996, 4193-4196.
Registry STN, Nov. 16, 1984, RN:5626-01-7.
Registry STN, Nov. 16, 1984, RN:5649-53-6.
RN 5626-01-7 (Entered STN Nov. 16, 1984). *
RN: 51058-37-8 Registry, STN: Nov. 16, 1984.
Robert Schrock, et al., "Disiloxanes, Disilazanes and Related Compounds Derived from 1,8-Disilylnaphthalene", Chemische Berichte, 1996, 495-501.
S. Papetti, et al, "A New Series of Organoboranes. V. Some Chemstry of Cyclic Silyl Carboranes", Inorganic Chemistry, 1964, 1444-1447.
Seok-Jun Won, et al, "Growth and electrical properties of silicon oxide grown by atomic layer deposition using Bis (ethyl-methyl-amino)silane and ozone", J. Vac. Sci. Technol., 2012, 01A126-1-01A126-7.
W. Uhlig, "Functionalization and Cross-Linking of Poly(silylenmethylenes)", Zeitschrift fur Naturforschung, 1997, 577-586.
Wendt, H., et al., "Formation of organosilicon compounds. 116. The influence of chlorination, hydrogenation and crosslinking on the thermal behavior of the polymeric (-Me2Si-Ch2-)n", Chemical Abstracts Service, Columbus, Ohio, US, 2007, XP002766905, retrieved from STN, Database accession No. 1997:298219 "Abstract".

Also Published As

Publication number Publication date
US10453675B2 (en) 2019-10-22
US11139162B2 (en) 2021-10-05
JP2018150312A (en) 2018-09-27
EP3095788B1 (en) 2019-07-03
EP3594219B1 (en) 2023-01-25
JP2015096489A (en) 2015-05-21
KR101749705B1 (en) 2017-06-23
EP3095788A3 (en) 2017-04-05
JP6928035B2 (en) 2021-09-01
EP3594219A1 (en) 2020-01-15
JP2019194226A (en) 2019-11-07
EP2860182B1 (en) 2020-01-22
KR20170073562A (en) 2017-06-28
JP2017125017A (en) 2017-07-20
TW201609767A (en) 2016-03-16
US20200051811A1 (en) 2020-02-13
KR20150032816A (en) 2015-03-30
JP6777680B2 (en) 2020-10-28
US20170207084A1 (en) 2017-07-20
US20150087139A1 (en) 2015-03-26
TW201629074A (en) 2016-08-16
CN104672265B (en) 2020-10-30
TWI582100B (en) 2017-05-11
JP2021185150A (en) 2021-12-09
CN104672265A (en) 2015-06-03
TW201722968A (en) 2017-07-01
TWI636988B (en) 2018-10-01
JP6588480B2 (en) 2019-10-09
EP2860182A2 (en) 2015-04-15
TWI535729B (en) 2016-06-01
EP3339312B1 (en) 2021-05-12
TWI652278B (en) 2019-03-01
KR20160080099A (en) 2016-07-07
EP2860182A3 (en) 2015-08-05
EP3339312A1 (en) 2018-06-27
US20210407793A1 (en) 2021-12-30
TW201512210A (en) 2015-04-01
EP3095788A2 (en) 2016-11-23
JP2023145538A (en) 2023-10-11

Similar Documents

Publication Publication Date Title
US11139162B2 (en) Organoaminosilane precursors and methods for depositing films comprising same
US10283350B2 (en) Methods for depositing films with organoaminodisilane precursors
EP2818474B1 (en) Aza-polysilane precursors and methods for depositing films comprising same
US9978585B2 (en) Organoaminodisilane precursors and methods for depositing films comprising same

Legal Events

Date Code Title Description
AS Assignment

Owner name: VERSUM MATERIALS US, LLC., PENNSYLVANIA

Free format text: ASSIGNMENT OF ASSIGNORS INTEREST;ASSIGNORS:ONEILL, MARK LEONARD;XIAO, MANCHAO;LEI, XINJIAN;AND OTHERS;SIGNING DATES FROM 20170428 TO 20170503;REEL/FRAME:042601/0087

STPP Information on status: patent application and granting procedure in general

Free format text: DOCKETED NEW CASE - READY FOR EXAMINATION

STPP Information on status: patent application and granting procedure in general

Free format text: NON FINAL ACTION MAILED

STPP Information on status: patent application and granting procedure in general

Free format text: RESPONSE TO NON-FINAL OFFICE ACTION ENTERED AND FORWARDED TO EXAMINER

STPP Information on status: patent application and granting procedure in general

Free format text: NOTICE OF ALLOWANCE MAILED -- APPLICATION RECEIVED IN OFFICE OF PUBLICATIONS

STPP Information on status: patent application and granting procedure in general

Free format text: PUBLICATIONS -- ISSUE FEE PAYMENT VERIFIED

STCF Information on status: patent grant

Free format text: PATENTED CASE

MAFP Maintenance fee payment

Free format text: PAYMENT OF MAINTENANCE FEE, 4TH YEAR, LARGE ENTITY (ORIGINAL EVENT CODE: M1551); ENTITY STATUS OF PATENT OWNER: LARGE ENTITY

Year of fee payment: 4