KR20160080099A - 유기아미노실란 전구체 및 이를 포함하는 필름을 증착시키는 방법 - Google Patents

유기아미노실란 전구체 및 이를 포함하는 필름을 증착시키는 방법 Download PDF

Info

Publication number
KR20160080099A
KR20160080099A KR1020160079301A KR20160079301A KR20160080099A KR 20160080099 A KR20160080099 A KR 20160080099A KR 1020160079301 A KR1020160079301 A KR 1020160079301A KR 20160079301 A KR20160079301 A KR 20160079301A KR 20160080099 A KR20160080099 A KR 20160080099A
Authority
KR
South Korea
Prior art keywords
group
branched
linear
formula
disilabutane
Prior art date
Application number
KR1020160079301A
Other languages
English (en)
Inventor
마크 레오나르드 오'네일
만차오 시아오
신지안 레이
리차드 호
하리핀 찬드라
매튜 알. 맥도날드
메일리앙 왕
Original Assignee
에어 프로덕츠 앤드 케미칼스, 인코오포레이티드
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 에어 프로덕츠 앤드 케미칼스, 인코오포레이티드 filed Critical 에어 프로덕츠 앤드 케미칼스, 인코오포레이티드
Publication of KR20160080099A publication Critical patent/KR20160080099A/ko

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02205Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition
    • H01L21/02208Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si
    • H01L21/02219Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si the compound comprising silicon and nitrogen
    • CCHEMISTRY; METALLURGY
    • C07ORGANIC CHEMISTRY
    • C07FACYCLIC, CARBOCYCLIC OR HETEROCYCLIC COMPOUNDS CONTAINING ELEMENTS OTHER THAN CARBON, HYDROGEN, HALOGEN, OXYGEN, NITROGEN, SULFUR, SELENIUM OR TELLURIUM
    • C07F7/00Compounds containing elements of Groups 4 or 14 of the Periodic Table
    • C07F7/02Silicon compounds
    • C07F7/08Compounds having one or more C—Si linkages
    • C07F7/10Compounds having one or more C—Si linkages containing nitrogen having a Si-N linkage
    • CCHEMISTRY; METALLURGY
    • C07ORGANIC CHEMISTRY
    • C07FACYCLIC, CARBOCYCLIC OR HETEROCYCLIC COMPOUNDS CONTAINING ELEMENTS OTHER THAN CARBON, HYDROGEN, HALOGEN, OXYGEN, NITROGEN, SULFUR, SELENIUM OR TELLURIUM
    • C07F9/00Compounds containing elements of Groups 5 or 15 of the Periodic Table
    • C07F9/66Arsenic compounds
    • C07F9/70Organo-arsenic compounds
    • C07F9/74Aromatic compounds
    • C07F9/78Aromatic compounds containing amino groups
    • CCHEMISTRY; METALLURGY
    • C09DYES; PAINTS; POLISHES; NATURAL RESINS; ADHESIVES; COMPOSITIONS NOT OTHERWISE PROVIDED FOR; APPLICATIONS OF MATERIALS NOT OTHERWISE PROVIDED FOR
    • C09DCOATING COMPOSITIONS, e.g. PAINTS, VARNISHES OR LACQUERS; FILLING PASTES; CHEMICAL PAINT OR INK REMOVERS; INKS; CORRECTING FLUIDS; WOODSTAINS; PASTES OR SOLIDS FOR COLOURING OR PRINTING; USE OF MATERIALS THEREFOR
    • C09D5/00Coating compositions, e.g. paints, varnishes or lacquers, characterised by their physical nature or the effects produced; Filling pastes
    • C09D5/24Electrically-conducting paints
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/06Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of metallic material
    • C23C16/18Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of metallic material from metallo-organic compounds
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/24Deposition of silicon only
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45553Atomic layer deposition [ALD] characterized by the use of precursors specially adapted for ALD
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/50Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges
    • C23C16/513Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges using plasma jets
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/02126Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material containing Si, O, and at least one of H, N, C, F, or other non-metal elements, e.g. SiOC, SiOC:H or SiONC
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/02126Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material containing Si, O, and at least one of H, N, C, F, or other non-metal elements, e.g. SiOC, SiOC:H or SiONC
    • H01L21/0214Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material containing Si, O, and at least one of H, N, C, F, or other non-metal elements, e.g. SiOC, SiOC:H or SiONC the material being a silicon oxynitride, e.g. SiON or SiON:H
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/02164Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material being a silicon oxide, e.g. SiO2
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/02167Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material being a silicon carbide not containing oxygen, e.g. SiC, SiC:H or silicon carbonitrides
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/0217Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material being a silicon nitride not containing oxygen, e.g. SixNy or SixByNz
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02205Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition
    • H01L21/02208Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si
    • H01L21/02211Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si the compound being a silane, e.g. disilane, methylsilane or chlorosilane
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/02274Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition in the presence of a plasma [PECVD]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/0228Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition deposition by cyclic CVD, e.g. ALD, ALE, pulsed CVD
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02518Deposited layers
    • H01L21/02521Materials
    • H01L21/02524Group 14 semiconducting materials
    • H01L21/02532Silicon, silicon germanium, germanium
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02518Deposited layers
    • H01L21/02587Structure
    • H01L21/0259Microstructure
    • H01L21/02592Microstructure amorphous
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02518Deposited layers
    • H01L21/02587Structure
    • H01L21/0259Microstructure
    • H01L21/02598Microstructure monocrystalline
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02612Formation types
    • H01L21/02617Deposition types
    • H01L21/0262Reduction or decomposition of gaseous compounds, e.g. CVD

Landscapes

  • Engineering & Computer Science (AREA)
  • Chemical & Material Sciences (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Organic Chemistry (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Materials Engineering (AREA)
  • Mechanical Engineering (AREA)
  • Metallurgy (AREA)
  • General Chemical & Material Sciences (AREA)
  • Plasma & Fusion (AREA)
  • Crystallography & Structural Chemistry (AREA)
  • Inorganic Chemistry (AREA)
  • Life Sciences & Earth Sciences (AREA)
  • Wood Science & Technology (AREA)
  • Chemical Vapour Deposition (AREA)
  • Formation Of Insulating Films (AREA)
  • Electrodes Of Semiconductors (AREA)
  • Low-Molecular Organic Synthesis Reactions Using Catalysts (AREA)

Abstract

본원에서는 규소-함유 필름을 형성시키는 전구체 및 방법이 기재된다. 한 가지 양태에서, 전구체는 하기 화학식(A) 내지 화학식(E) 중 하나로 표현되는 화합물을 포함한다:
Figure pat00059

한 가지 특정 구체예에서, 유기아미노실란 전구체는 규소-함유 필름 저온(예, 350℃ 또는 그 미만), 원자층 증착(ALD) 또는 플라즈마 강화 원자층 증착(PEALD)에 효과적이다. 또한, 본원에서는 아민, 할라이드(예, Cl, F, I, Br), 고분자량 종(higher molecular weight species), 및 미량의 금속으로부터 선택된 하나 이상이 실질적으로 없는 본원에 기재된 유기아미노실란을 포함하는 조성물이 기재된다.

Description

유기아미노실란 전구체 및 이를 포함하는 필름을 증착시키는 방법{ORGANOAMINOSILANE PRECURSORS AND METHODS FOR DEPOSITING FILMS COMPRISING SAME}
관련 출원의 상호 참조
본 출원은 2013년 9월 20일자 출원된 미국 가출원 제61/880,261호의 우선권을 주장한다. 상기 가출원의 내용은 그 전문이 본원에 참조로 포함된다.
비정질 규소, 결정질 규소, 실리콘 니트라이드, 실리콘 옥사이드, 카본 도핑된 실리콘 옥사이드, 실리콘 카보-니트라이드, 및 실리콘 옥시니트라이드 필름을 포함하나, 이로 제한되는 것은 아닌 규소-함유 필름의 증착에 사용될 수 있는 전구체, 특히 유기아미노실란, 및 이의 조성물이 본원에 기재된다. 또 다른 양태에서, 집적회로 소자의 제작시 규소-함유 필름을 증착시키기 위한 전구체의 용도가 본원에 기재된다. 상기 또는 그 밖의 양태에서, 유기아미노실란 전구체는 원자층 증착("ALD"), 화학적 기상 증착("CVD"), 플라즈마 강화 화학적 기상 증착("PECVD"), 저압 화학적 기상 증착("LPCVD"), 및 대기압 화학적 기상 증착을 포함하나, 이로 제한되는 것은 아닌 다양한 증착 공정에 사용될 수 있다.
여러 부류의 화합물들이 실리콘 옥사이드, 카본 도핑된 실리콘 옥사이드 또는 실리콘 니트라이드 필름와 같은, 그러나 이로 제한되는 것은 아닌 규소-함유 필름을 위한 전구체로서 사용될 수 있다. 전구체로서 사용하기에 적합한 이러한 화합물들의 예로는 실란, 클로로실란, 폴리실라잔, 아미노실란, 및 아지도실란을 포함한다. 헬륨, 수소, 질소 등과 같은, 그러나 이로 제한되는 것은 아닌 불활성 캐리어 가스 또는 희석제가 또한 전구체를 반응 챔버에 전달하는데 사용된다.
저압 화학적 기상 증착(LPCVD) 공정은 규소-함유 필름의 증착을 위한 반도체 산업에 의해 보다 광범위하게 용인되는 방법 중 하나이다. 암모니아를 사용하는 저압 화학적 기상 증착(LPCVD)은 적절한 성장률 및 균일성을 얻기 위해 750℃ 초과의 증착 온도를 필요로 할 수 있다. 보다 높은 증착 온도가 개선된 필름 특성을 제공하기 위해 전형적으로 사용된다. 실리콘 니트라이드 또는 그 밖의 규소-함유 필름을 성장시키기 위한 보다 보편적인 산업 방법 중 하나는 전구체 실란, 디클로로실란, 및/또는 암모니아를 사용하여 >750℃ 온도의 핫월 반응기(hot wall reactor)에서 저압 화학적 기상 증착을 거치는 것이다. 그러나, 이 방법을 사용하는 것에는 여러 단점이 존재한다. 예를 들어, 특정 전구체, 예컨대 실란은 인화성을 지닌다. 이는 취급 및 사용시 문제점을 제공할 수 있다. 또한, 실란 및 디클로로실란으로부터 증착된 필름은 특정 불순물을 함유할 수 있다. 예를 들어, 디클로로실란을 사용하여 증착된 필름은 증착 공정 동안에 부산물로서 형성되는 특정 불순물, 예컨대 염소 및 암모늄 클로라이드를 함유할 수 있다. 실란을 사용하여 증착된 필름은 수소를 함유할 수 있다.
실리콘 니트라이드 필름, 예컨대 BTBAS 및 클로로실란을 증착시키는데 사용되는 전구체는 일반적으로 550℃ 초과의 온도에서 필름을 증착한다. 반도체 소자의 최소화 및 낮은 열적 부담(thermal budget)의 경향은 보다 낮은 공정 온도 및 보다 높은 증착율을 요구한다. 규소 필름이 증착되는 온도는 격자내 이온 확산을 막기 위해 감소해야 하는데, 금속화층을 포함하는 기판들 및 다수의 III-V족 및 II-VI족 소자에 대해 특히 그러해야 한다. 따라서, 550℃의 온도 또는 그 미만, 또는 심지어 실온에서 CVD, ALD 또는 그 밖의 공정을 통해 증착을 가능하게 하도록 충분히 화학적으로 반응성인 규소-함유 필름, 예컨대 실리콘 옥사이드, 카본 도핑된 실리콘 옥사이드, 실리콘 옥시니트라이드, 또는 실리콘 니트라이드 필름을 증착시키기 위한 전구체를 제공하는 것이 당 분야에 필요하다.
미국 공개 번호 제2013/224964호에는 원자층 증착(ALD)에 의해 반도체 기판 상에 Si-C 결합을 지닌 유전 필름을 형성시키는 방법으로서, (i) 기판의 표면 상에 전구체를 흡착시키는 단계; (ii) 상기 표면 상에서 흡착제 전구체와 반응성 가스를 반응시키는 단계; 및 (iii) 단계 (i) 및 (ii)를 반복하여 기판 상에 적어도 Si-C 결합을 지닌 유전 필름을 형성시키는 단계를 포함하는 방법이 기술되어 있다. 전구체는 그 분자 내에 Si-C-Si 결합을 지니며, 반응 가스는 산소-비함유 및 할로겐 비함유이고, 적어도 희가스로 구성된다.
일본 특허 제JP2002158223호는 화학식: {R3(R4)N}3Si-{C(R1)R2}n-Si{N(R5)R6}3(여기서, R1, R2 = H, 탄화수소기, 또는 X (할로겐 원자)-치환된 탄화수소기(R1 및 R2는 동일할 수 있음)이고, n = 1-5의 정수이고, R3, R4, R5 및 R6 = H, 탄화수소기 또는 X (할로겐 원자)-치환된 탄화수소기(R3, R4, R5 및 R6은 동일할 수 있음)임)을 지닌 Si-타입 물질을 사용하여 형성되는 절연 필름을 기술하고 있다. 절연 필름은 CVD에 의해 기판 상에 형성될 수 있다.
미국 특허 제7,125,582호는 Si 공급원(source) 전구체 및 질소(N) 공급원 전구체를 550℃ 이하의 온도에서 배합하고, Si 니트라이드 필름을 형성시키는 것을 포함하는 방법 및 시스템을 기술하고 있다.
"휘발성 사이클릭 실릴아민의 합성 및 두 개의 1-아자-2,5-디실라사이클로펜탄 유도체의 분자 구조"를 표제로 하는 문헌(Mitzel, N. W. et al., Inorg. Chem., Vol 36(20) (1997), pp. 4360-4368)에서는 α,ω-비스(브로모실릴)알칸, BrH2Si(CH2)nSiH2Br(n = 2 및 3인 경우)를 제조하는 합성법을 기술하고 있다. 상기 문헌에서, 1,2-비스(브로모실릴)에탄은 암모니아와 반응하여 1,4-비스(1-아자-2,5-디실라사이클로펜탄-1-일)-1,4-디실라부탄, 미량의 1,6-디아자-2,5,7,10,11,14-헥사실라바이사이클로[4.4.4]테트라데칸 및 비휘발성 생성물을 생성한다.
"2차 아민에 대한 1,4-디실라부탄 및 n-테트라실란의 반응성 차이점"를 표제로 하는 문헌(Z. Naturforsch., B: Chem. Sci. FIELD Full Journal Title:Zeitschrift fuer Naturforschung, B: Chemical Sciences 45(12): 1679-83)에서는 1,4-디실라부탄 H3SiCH2CH2SiH3 (I) 및 n-테트라실란 H3SiSiH2SiH2SiH3을 사용하여 아미노실란을 제조하는 합성법을 기술하고 있다.
발명의 요약
본원에는 유기아미노실란 전구체, 이러한 전구체를 포함하는 조성물, 및 이러한 전구체를 사용하여 비정질 규소, 결정질 규소, 실리콘 옥사이드, 카본 도핑된 실리콘 옥사이드, 실리콘 니트라이드, 실리콘 옥시니트라이드, 실리콘 카르바이드, 실리콘 카르보니트라이드, 및 이들의 조합물과 같은, 그러나 이로 제한되는 것은 아닌 실리콘을 포함하는 필름을 기판의 적어도 일부에 형성시키는 방법이 기술된다. 일 특정 구체예에서, 유기아미노실란 전구체는 실리콘 옥사이드 또는 카본 도핑된 실리콘 옥사이드 필름의 저온(예를 들어, 350℃ 또는 그 미만) 원자층 증착 (ALD) 또는 플라즈마 강화 원자층 증착 (PEALD)에 효과적이다. 또한, 본원에는 본원에서 기술된 유기아미노실란을 포함하는 조성물로서, 유기아미노실란이 아민, 할라이드(예를 들어, Cl, F, I, Br), 보다 고분자량의 화학종, 및 미량 금속으로부터 선택된 하나 이상을 실질적으로 함유하지 않는 조성물이 기술된다. 이들 또는 그 밖의 구체예에서, 조성물은 용매를 추가로 포함할 수 있다. 또한, 본원에는 가공되어야 하는 대상, 예를 들어, 반도체 웨이퍼 상에 실리콘을 포함하는 필름 또는 코팅을 형성시키는 방법이 기술된다. 본원에서 기술되는 방법의 일 구체예에서, 규소 및 산소를 포함하는 필름은 기판 상에 실리콘 옥사이드, 카본 도핑된 실리콘 옥사이드 필름을 생성시키는 조건 하에 증착 챔버 내에서 유기아미노실란 전구체 및 산소-함유 공급원을 사용하여 기판 상에 증착된다. 본원에서 기술되는 방법의 또 다른 구체예에서, 규소 및 질소를 포함하는 필름은 기판 상에 실리콘 니트라이드 필름을 생성시키기 위한 조건 하에 증착 챔버 내에서 유기아미노실란 전구체 및 질소 함유 전구체를 사용하여 기판 상에 증착된다. 추가의 구체예에서, 본원에서 기술되는 유기아미노실란 전구체는 또한 금속 옥사이드 필름 또는 금속 니트라이드 필름과 같은, 그러나 이로 제한되는 것은 아닌, 금속 함유 필름을 위한 도펀트(dopant)로서 사용될 수 있다. 본원에서 기술되는 조성물 및 방법에서, 본원에서 기술되는 화학식을 갖는 유기아미노실란이 적어도 하나의 실리콘 함유 전구체로서 사용된다.
일 양태에서, 본원에서 기술되는 유기아미노실란 전구체는 하기 화학식(A) 내지 화학식(E) 중 하나로 표현되는 화합물을 포함한다:
Figure pat00001
상기 식에서,
R1은 선형 또는 분지형 C1 내지 C10 알킬기, 선형 또는 분지형 C3 내지 C10 알케닐기, 선형 또는 분지형 C3 내지 C10 알키닐기, C3 내지 C10 사이클릭 알킬기, 및 C5 내지 C10 아릴기로부터 선택되고;
R2는 수소, 선형 또는 분지형 C1 내지 C10 알킬기, 선형 또는 분지형 C3 내지 C10 알케닐기, 선형 또는 분지형 C3 내지 C10 알키닐기, C3 내지 C10 사이클릭 알킬기, 및 C5 내지 C10 아릴기로부터 선택되고,
R3과 R4는 각각 독립적으로 선형 또는 분지형 C1 내지 C10 알킬렌기, 선형 또는 분지형 C3 내지 C6 알케닐렌기, 선형 또는 분지형 C3 내지 C6 알키닐렌기, C3 내지 C10 사이클릭 알킬렌기, C3 내지 C10 헤테로-사이클릭 알킬렌기, C5 내지 C10 아릴렌기, 및 C5 내지 C10 헤테로-아릴렌기로부터 선택되고;
화학식(A)에서의 n은 1 또는 2이고;
화학식(A)에서의 m은 0, 1, 2 또는 3이고;
임의로 화학식(D)에서의 R3은 두 개의 규소 원자와 하나 이상의 질소 원자를 지닌 4원, 5원 또는 6원 고리로부터 선택된 고리를 형성하고;
화학식(E)에서의 p와 q는 1 또는 2이다.
또 다른 양태에서, (a) 하나 이상의 유기아미노실란 전구체, 즉, 하기 화학식(A) 내지 화학식(E) 중 하나로 표현되는 화합물 및 (b) 용매를 포함하는 조성물이 제공된다:
Figure pat00002
상기 식에서,
R1은 선형 또는 분지형 C1 내지 C10 알킬기, 선형 또는 분지형 C3 내지 C10 알케닐기, 선형 또는 분지형 C3 내지 C10 알키닐기, C3 내지 C10 사이클릭 알킬기, 및 C5 내지 C10 아릴기로부터 선택되고;
R2는 수소, 선형 또는 분지형 C1 내지 C10 알킬기, 선형 또는 분지형 C3 내지 C10 알케닐기, 선형 또는 분지형 C3 내지 C10 알키닐기, C3 내지 C10 사이클릭 알킬기, 및 C5 내지 C10 아릴기로부터 선택되고,
R3과 R4는 각각 독립적으로 선형 또는 분지형 C1 내지 C10 알킬렌기, 선형 또는 분지형 C3 내지 C6 알케닐렌기, 선형 또는 분지형 C3 내지 C6 알키닐렌기, C3 내지 C10 사이클릭 알킬렌기, C3 내지 C10 헤테로-사이클릭 알킬렌기, C5 내지 C10 아릴렌기, 및 C5 내지 C10 헤테로-아릴렌기로부터 선택되고;
화학식(A)에서의 n은 1 또는 2이고;
화학식(A)에서의 m은 0, 1, 2 또는 3이고;
화학식(E)에서의 p와 q는 1 또는 2이고;
임의로 화학식(D)에서의 R3은 두 개의 규소 원자와 하나 이상의 질소 원자를 지닌 4원, 5원 또는 6원 고리로부터 선택된 고리를 형성한다.
본원에서 기술된 조성물의 특정의 구체예에서, 예시적 용매로는 비제한적으로, 에테르, 3차 아민, 알킬 탄화수소, 방향족 탄화수소, 3차 아미노에테르, 및 이들의 조합물을 포함할 수 있다. 특정의 구체예에서, 유기아미노실란의 비점과 용매의 비점 간의 차이는 40℃ 또는 그 미만이다.
또 다른 양태에서, 기판의 하나 이상의 표면 상에 규소-함유 필름을 형성시키는 방법으로서,
반응 챔버에 기판의 하나 이상의 표면을 제공하는 단계; 및 하나 이상의 유기아미노실란 전구체, 즉, 하기 화학식(A) 내지 화학식(E) 중 하나로 표현되는 화합물을 사용하는 화학적 기상 증착 공정 및 원자층 증착 공정으로부터 선택된 증착 공정에 의해 하나 이상의 표면 상에 규소-함유 필름을 형성시키는 단계를 포함하는 방법이 제공된다:
Figure pat00003
상기 식에서,
R1은 선형 또는 분지형 C1 내지 C10 알킬기, 선형 또는 분지형 C3 내지 C10 알케닐기, 선형 또는 분지형 C3 내지 C10 알키닐기, C3 내지 C10 사이클릭 알킬기, 및 C5 내지 C10 아릴기로부터 선택되고;
R2는 수소, 선형 또는 분지형 C1 내지 C10 알킬기, 선형 또는 분지형 C3 내지 C10 알케닐기, 선형 또는 분지형 C3 내지 C10 알키닐기, C3 내지 C10 사이클릭 알킬기, 및 C5 내지 C10 아릴기로부터 선택되고,
R3과 R4는 각각 독립적으로 선형 또는 분지형 C1 내지 C10 알킬렌기, 선형 또는 분지형 C3 내지 C6 알케닐렌기, 선형 또는 분지형 C3 내지 C6 알키닐렌기, C3 내지 C10 사이클릭 알킬렌기, C3 내지 C10 헤테로-사이클릭 알킬렌기, C5 내지 C10 아릴렌기, 및 C5 내지 C10 헤테로-아릴렌기로부터 선택되고;
화학식(A)에서의 n은 1 또는 2이고;
화학식(A)에서의 m은 0, 1, 2 또는 3이고;
화학식(E)에서의 p와 q는 1 또는 2이고;
임의로 화학식(D)에서의 R3은 두 개의 규소 원자와 하나 이상의 질소 원자를 지닌 4원, 5원 또는 6원 고리로부터 선택된 고리를 형성한다.
특정의 구체예에서, R1과 R2는 동일하다. 다른 구체예에서, R1과 R2는 상이하다. 상기 또는 다른 구체예에서, R1과 R2는 함께 연결되어 고리를 형성할 수 있다. 추가의 구체예에서, R1과 R2는 함께 연결되지 않아서 고리를 형성하지 않는다.
또 다른 양태에서, 원자층 증착 (ALD) 공정 또는 ALD-유사 공정을 통해서 실리콘 옥사이드, 또는 카본 도핑된 실리콘 옥사이드 필름을 형성시키는 방법으로서,
a. 기판을 반응기에 제공하는 단계;
b. 반응기에 하기 화학식(A) 내지 화학식(E)으로 표현되는 화합물을 포함하는 하나 이상의 유기아미노실란 전구체를 제공하는 단계;
c. 반응기를 퍼지 가스로 퍼징하는 단계;
d. 산소-함유 공급원을 반응기에 제공하는 단계; 및
e. 반응기를 퍼지 가스로 퍼징하는 단계를 포함하며,
요망되는 필름 두께가 얻어질 때까지 단계 b 내지 단계 e가 반복되는 방법이 제공된다:
Figure pat00004
상기 식에서,
R1은 선형 또는 분지형 C1 내지 C10 알킬기, 선형 또는 분지형 C3 내지 C10 알케닐기, 선형 또는 분지형 C3 내지 C10 알키닐기, C3 내지 C10 사이클릭 알킬기, 및 C5 내지 C10 아릴기로부터 선택되고;
R2는 수소, 선형 또는 분지형 C1 내지 C10 알킬기, 선형 또는 분지형 C3 내지 C10 알케닐기, 선형 또는 분지형 C3 내지 C10 알키닐기, C3 내지 C10 사이클릭 알킬기, 및 C5 내지 C10 아릴기로부터 선택되고,
R3과 R4는 각각 독립적으로 선형 또는 분지형 C1 내지 C10 알킬렌기, 선형 또는 분지형 C3 내지 C6 알케닐렌기, 선형 또는 분지형 C3 내지 C6 알키닐렌기, C3 내지 C10 사이클릭 알킬렌기, C3 내지 C10 헤테로-사이클릭 알킬렌기, C5 내지 C10 아릴렌기, 및 C5 내지 C10 헤테로-아릴렌기로부터 선택되고;
화학식(A)에서의 n은 1 또는 2이고;
화학식(A)에서의 m은 0, 1, 2 또는 3이고;
화학식(E)에서의 p와 q는 1 또는 2이고;
임의로 화학식(D)에서의 R3은 두 개의 규소 원자와 하나 이상의 질소 원자를 지닌 4원, 5원 또는 6원 고리로부터 선택된 고리를 형성한다.
추가의 양태에서, CVD 공정을 사용하여 기판의 하나 이상의 표면 상에 실리콘 옥사이드 필름 및 카본 도핑된 실리콘 옥사이드로부터 선택된 필름을 형성시키는 방법으로서,
a. 기판을 반응기에 제공하는 단계;
b. 하나 이상의 유기아미노실란 전구체, 즉, 하기 화학식(A) 내지 화학식(E) 중 하나로 표현되는 화합물을 반응기에 도입하는 단계; 및
c. 산소-함유 공급원을 제공하여 하나 이상의 표면 상에 필름을 증착시키는 단계를 포함하는 방법이 제공된다:
Figure pat00005
상기 식에서,
R1은 선형 또는 분지형 C1 내지 C10 알킬기, 선형 또는 분지형 C3 내지 C10 알케닐기, 선형 또는 분지형 C3 내지 C10 알키닐기, C3 내지 C10 사이클릭 알킬기, 및 C5 내지 C10 아릴기로부터 선택되고;
R2는 수소, 선형 또는 분지형 C1 내지 C10 알킬기, 선형 또는 분지형 C3 내지 C10 알케닐기, 선형 또는 분지형 C3 내지 C10 알키닐기, C3 내지 C10 사이클릭 알킬기, 및 C5 내지 C10 아릴기로부터 선택되고,
R3과 R4는 각각 독립적으로 선형 또는 분지형 C1 내지 C10 알킬렌기, 선형 또는 분지형 C3 내지 C6 알케닐렌기, 선형 또는 분지형 C3 내지 C6 알키닐렌기, C3 내지 C10 사이클릭 알킬렌기, C3 내지 C10 헤테로-사이클릭 알킬렌기, C5 내지 C10 아릴렌기, 및 C5 내지 C10 헤테로-아릴렌기로부터 선택되고;
화학식(A)에서의 n은 1 또는 2이고;
화학식(A)에서의 m은 0, 1, 2 또는 3이고;
화학식(E)에서의 p와 q는 1 또는 2이고;
임의로 화학식(D)에서의 R3은 두 개의 규소 원자와 하나 이상의 질소 원자를 지닌 4원, 5원 또는 6원 고리로부터 선택된 고리를 형성한다.
상기 방법의 특정의 구체예에서, R1과 R2는 동일하다. 다른 구체예에서, R1과 R2는 상이하다. 상기 또는 다른 구체예에서, R1과 R2는 함께 연결되어 고리를 형성할 수 있다. 또 다른 추가의 구체예에서, R1과 R2는 함께 연결되지 않아서 고리를 형성하지 않는다.
또 다른 양태에서, 원자층 증착 공정을 통해 실리콘 니트라이드 또는 실리콘 카르보니트라이드 필름을 형성시키는 방법으로서,
a. 기판을 반응기에 제공하는 단계;
b. 하나 이상의 유기아미노실란 전구체, 즉, 하기 화학식(A) 내지 화학식(E) 중 하나로 표현되는 화합물을 반응기에 도입하는 단계;
c. 반응기를 퍼지 가스로 퍼징하는 단계;
d. 질소-함유 공급원을 반응기에 도입하는 단계; 및
e. 반응기를 퍼지 가스로 퍼징하는 단계를 포함하며,
요망되는 실리콘 니트라이드 필름 두께가 얻어질 때까지 단계 b 내지 단계 e가 반복되는 방법이 제공된다:
Figure pat00006
상기 식에서,
R1은 선형 또는 분지형 C1 내지 C10 알킬기, 선형 또는 분지형 C3 내지 C10 알케닐기, 선형 또는 분지형 C3 내지 C10 알키닐기, C3 내지 C10 사이클릭 알킬기, 및 C5 내지 C10 아릴기로부터 선택되고;
R2는 수소, 선형 또는 분지형 C1 내지 C10 알킬기, 선형 또는 분지형 C3 내지 C10 알케닐기, 선형 또는 분지형 C3 내지 C10 알키닐기, C3 내지 C10 사이클릭 알킬기, 및 C5 내지 C10 아릴기로부터 선택되고,
R3과 R4는 각각 독립적으로 선형 또는 분지형 C1 내지 C10 알킬렌기, 선형 또는 분지형 C3 내지 C6 알케닐렌기, 선형 또는 분지형 C3 내지 C6 알키닐렌기, C3 내지 C10 사이클릭 알킬렌기, C3 내지 C10 헤테로-사이클릭 알킬렌기, C5 내지 C10 아릴렌기, 및 C5 내지 C10 헤테로-아릴렌기로부터 선택되고;
화학식(A)에서의 n은 1 또는 2이고;
화학식(A)에서의 m은 0, 1, 2 또는 3이고;
화학식(E)에서의 p와 q는 1 또는 2이고;
임의로 화학식(D)에서의 R3은 두 개의 규소 원자와 하나 이상의 질소 원자를 지닌 4원, 5원 또는 6원 고리로부터 선택된 고리를 형성한다.
특정의 구체예에서, 화학식(A) 내지 (E)에서 R1과 R2는 동일하다. 다른 구체예에서, R1과 R2는 상이하다. 상기 또는 다른 구체예에서, R1과 R2는 함께 연결되어 고리를 형성할 수 있다. 추가의 구체예에서, R1과 R2는 함께 연결되지 않아서 고리를 형성하지 않는다.
추가의 양태에서, CVD 공정을 사용하여 기판의 하나 이상의 표면 상에 실리콘 니트라이드 또는 카르보니트라이드 필름을 형성시키는 방법으로서,
a. 기판을 반응기에 제공하는 단계;
b. 하나 이상의 유기아미노실란 전구체, 즉, 하기 화학식(A) 내지 화학식(E) 중 하나로 표현되는 화합물을 반응기에 도입하는 단계: 및
c. 질소-함유 공급원을 제공하는 단계를 포함하며,
하나 이상의 유기아미노실란 전구체 및 질소-함유 공급원이 반응하여 하나 이상의 표면 상에 필름을 증착시키는 방법이 제공된다:
Figure pat00007
상기 식에서,
R1은 선형 또는 분지형 C1 내지 C10 알킬기, 선형 또는 분지형 C3 내지 C10 알케닐기, 선형 또는 분지형 C3 내지 C10 알키닐기, C3 내지 C10 사이클릭 알킬기, 및 C5 내지 C10 아릴기로부터 선택되고;
R2는 수소, 선형 또는 분지형 C1 내지 C10 알킬기, 선형 또는 분지형 C3 내지 C10 알케닐기, 선형 또는 분지형 C3 내지 C10 알키닐기, C3 내지 C10 사이클릭 알킬기, 및 C5 내지 C10 아릴기로부터 선택되고,
R3과 R4는 각각 독립적으로 선형 또는 분지형 C1 내지 C10 알킬렌기, 선형 또는 분지형 C3 내지 C6 알케닐렌기, 선형 또는 분지형 C3 내지 C6 알키닐렌기, C3 내지 C10 사이클릭 알킬렌기, C3 내지 C10 헤테로-사이클릭 알킬렌기, C5 내지 C10 아릴렌기, 및 C5 내지 C10 헤테로-아릴렌기로부터 선택되고;
화학식(A)에서의 n은 1 또는 2이고;
화학식(A)에서의 m은 0, 1, 2 또는 3이고;
화학식(E)에서의 p와 q는 1 또는 2이고;
임의로 화학식(D)에서의 R3은 두 개의 규소 원자와 하나 이상의 질소 원자를 지닌 4원, 5원 또는 6원 고리로부터 선택된 고리를 형성한다.
특정의 구체예에서, R1과 R2는 동일하다. 다른 구체예에서, R1과 R2는 상이하다. 상기 또는 다른 구체예에서, R1과 R2는 함께 연결되어 고리를 형성할 수 있다. 또 다른 추가의 구체예에서, R1과 R2는 함께 연결되지 않아서 고리를 형성하지 않는다.
본원에 기재된 방법의 추가의 구체예에서, 공정은 비정질 또는 결정질 규소 필름을 증착시키는 것이다. 이러한 구체예에서, 상기 방법은
하나 이상의 기판을 주위 온도 내지 약 700℃ 범위의 하나 이상의 온도로 가열되는 반응기에 넣는 단계;
하나 이상의 유기아미노실란 전구체, 즉, 하기 화학식(A) 내지 화학식(E) 중 하나로 표현되는 화합물을 도입하는 단계;
환원제 공급원을 반응기에 제공하여 하나 이상의 유기아미노실란 전구체와 일부 또는 전부 반응시키고, 규소-함유 필름을 하나 이상의 기판 상에 증착시키는 단계를 포함한다:
Figure pat00008
상기 식에서,
R1은 선형 또는 분지형 C1 내지 C10 알킬기, 선형 또는 분지형 C3 내지 C10 알케닐기, 선형 또는 분지형 C3 내지 C10 알키닐기, C3 내지 C10 사이클릭 알킬기, 및 C5 내지 C10 아릴기로부터 선택되고;
R2는 수소, 선형 또는 분지형 C1 내지 C10 알킬기, 선형 또는 분지형 C3 내지 C10 알케닐기, 선형 또는 분지형 C3 내지 C10 알키닐기, C3 내지 C10 사이클릭 알킬기, 및 C5 내지 C10 아릴기로부터 선택되고,
R3과 R4는 각각 독립적으로 선형 또는 분지형 C1 내지 C10 알킬렌기, 선형 또는 분지형 C3 내지 C6 알케닐렌기, 선형 또는 분지형 C3 내지 C6 알키닐렌기, C3 내지 C10 사이클릭 알킬렌기, C3 내지 C10 헤테로-사이클릭 알킬렌기, C5 내지 C10 아릴렌기, 및 C5 내지 C10 헤테로-아릴렌기로부터 선택되고;
화학식(A)에서의 n은 1 또는 2이고;
화학식(A)에서의 m은 0, 1, 2 또는 3이고;
화학식(E)에서의 p와 q는 1 또는 2이고;
임의로 화학식(D)에서의 R3은 두 개의 규소 원자와 하나 이상의 질소 원자를 지닌 4원, 5원 또는 6원 고리로부터 선택된 고리를 형성한다.
환원제는 수소, 수소 플라즈마, 및 염화수소로 이루어진 군으로부터 선택된다. CVD 방법의 특정의 구체예에서, 반응기는 동입 단계 동안 10 mTorr 내지 760 Torr 범위의 압력에서 유지된다. 상기 단계들은 본원에서 기술되는 방법에 대해 하나의 사이클을 형성하고, 단계들의 사이클은 요망하는 필름 두께가 얻어질 때까지 반복될 수 있다. 특정의 구체예에서, R1과 R2는 동일하다. 다른 구체예에서, R1과 R2는 상이하다. 상기 또는 다른 구체예에서, R1과 R2는 함께 연결되어 고리를 형성할 수 있다. 또 다른 추가의 구체예에서, R1과 R2는 함께 연결되지 않아서 고리를 형성하지 않는다.
또 다른 양태에서, 원자층 증착 또는 사이클릭 화학적 기상 증착 공정을 통해 비정질 또는 결정질 규소 필름을 증착시키는 방법으로서,
a. 기판을 반응기에 제공하는 단계; 및
b. 하나 이상의 유기아미노실란 전구체, 즉, 하기 화학식(A) 내지 화학식(E) 중 하나로 표현되는 화합물을 반응기에 도입하는 단계를 포함하며,
요망되는 필름 두께가 얻어질 때까지 단계 b가 반복되는 방법이 제공된다:
Figure pat00009
상기 식에서,
R1은 선형 또는 분지형 C1 내지 C10 알킬기, 선형 또는 분지형 C3 내지 C10 알케닐기, 선형 또는 분지형 C3 내지 C10 알키닐기, C3 내지 C10 사이클릭 알킬기, 및 C5 내지 C10 아릴기로부터 선택되고;
R2는 수소, 선형 또는 분지형 C1 내지 C10 알킬기, 선형 또는 분지형 C3 내지 C10 알케닐기, 선형 또는 분지형 C3 내지 C10 알키닐기, C3 내지 C10 사이클릭 알킬기, 및 C5 내지 C10 아릴기로부터 선택되고,
R3과 R4는 각각 독립적으로 선형 또는 분지형 C1 내지 C10 알킬렌기, 선형 또는 분지형 C3 내지 C6 알케닐렌기, 선형 또는 분지형 C3 내지 C6 알키닐렌기, C3 내지 C10 사이클릭 알킬렌기, C3 내지 C10 헤테로-사이클릭 알킬렌기, C5 내지 C10 아릴렌기, 및 C5 내지 C10 헤테로-아릴렌기로부터 선택되고;
화학식(A)에서의 n은 1 또는 2이고;
화학식(A)에서의 m은 0, 1, 2 또는 3이고;
화학식(E)에서의 p와 q는 1 또는 2이고;
임의로 화학식(D)에서의 R3은 두 개의 규소 원자와 하나 이상의 질소 원자를 지닌 4원, 5원 또는 6원 고리로부터 선택된 고리를 형성한다.
특정의 구체예에서, 필름 두께는 1Å 또는 그 초과, 또는 1 내지 10,000Å, 또는 1 내지 1000Å, 또는 1 내지 100Å일 수 있다.
또 다른 양태에서, 화학식(A), (B), (C), (D) 또는 (E)에 의해 표현되는 하나 이상의 전구체의 화학식(A), (B), (C), (D) 또는 (E) 조합물 중 어느 하나를 지닌 하나 이상의 유기아미노실란 전구체를 포함하는 규소-함유 필름을 증착시키기위한 용기가 본원에서 기술된다. 일 특정 구체예에서, 용기는 CVD 또는 ALD 공정을 위한 반응기에 하나 이상의 전구체를 전달하게 하는 적합한 밸브 및 피팅이 장착된 적어도 하나의 가압가능한 용기(바람직하게는 스테인레스강의 용기)를 포함한다.
또 다른 양태에서, 하기 화학식(A) 내지 화학식(E)으로 표현되는 화합물을 포함하는 유기아미노실란을 제조하는 방법으로서,
R1R2NH 및 R1NH2로부터 선택된 화학식을 지니는 아민(여기서, 아민 중의 R1은 선형 또는 분지형 C1 내지 C10 알킬기, 선형 또는 분지형 C3 내지 C10 알케닐기, 선형 또는 분지형 C3 내지 C10 알키닐기, C3 내지 C10 사이클릭 알킬기, 및 C5 내지 C10 아릴기로부터 선택되고, 아민 중의 R2는 수소, 선형 또는 분지형 C1 내지 C10 알킬기, 선형 또는 분지형 C3 내지 C10 알케닐기, 선형 또는 분지형 C3 내지 C10 알키닐기, C3 내지 C10 사이클릭 알킬기, 및 C5 내지 C10 아릴기로부터 선택된다)을 다음 화합물,
Figure pat00010
로부터 선택된 하나 이상의 화합물을 포함하는 규소 공급원(여기서, 규소 공급원 중의 R3 및 R4는 선형 또는 분지형 C1 내지 C10 알킬렌기, 선형 또는 분지형 C3 내지 C6 알케닐렌기, 선형 또는 분지형 C3 내지 C6 알키닐렌기, C3 내지 C10 사이클릭 알킬렌기, C3 내지 C10 헤테로-사이클릭 알킬렌기, C5 내지 C10 아릴렌기, 및 C5 내지 C10 헤테로-아릴렌기로부터 독립적으로 선택된다)과 반응시키는데, 규소 공급원의 일부 또는 전부와 아민의 일부 또는 전부가 반응하여 유기아미노실란을 제공하기에 충분한 반응 조건하에서 촉매의 존재하에 반응시키는 단계를 포함하는 방법이 제공된다:
Figure pat00011
상기 식에서,
R1은 선형 또는 분지형 C1 내지 C10 알킬기, 선형 또는 분지형 C3 내지 C10 알케닐기, 선형 또는 분지형 C3 내지 C10 알키닐기, C3 내지 C10 사이클릭 알킬기, 및 C5 내지 C10 아릴기로부터 선택되고;
R2는 수소, 선형 또는 분지형 C1 내지 C10 알킬기, 선형 또는 분지형 C3 내지 C10 알케닐기, 선형 또는 분지형 C3 내지 C10 알키닐기, C3 내지 C10 사이클릭 알킬기, 및 C5 내지 C10 아릴기로부터 선택되고;
R3과 R4는 각각 독립적으로 선형 또는 분지형 C1 내지 C10 알킬렌기, 선형 또는 분지형 C3 내지 C6 알케닐렌기, 선형 또는 분지형 C3 내지 C6 알키닐렌기, C3 내지 C10 사이클릭 알킬렌기, C3 내지 C10 헤테로-사이클릭 알킬렌기, C5 내지 C10 아릴렌기, 및 C5 내지 C10 헤테로-아릴렌기로부터 선택되고;
화학식(A)에서의 n은 1 또는 2이고;
화학식(A)에서의 m은 0, 1, 2 또는 3이고;
화학식(E)에서의 p와 q는 1 또는 2이고;
임의로 화학식(D)에서의 R3은 두 개의 규소 원자와 하나 이상의 질소 원자를 지닌 4원, 5원 또는 6원 고리로부터 선택된 고리를 형성한다.
도 1은 비스(디에틸아미노)실란(BDEAS), 비스(3차-부틸아미노)실란 (BTBAS), 비스(에틸메틸아미노)실란(BEMAS), 트리스(디메틸아미노)실란(TRDMAS), 및 디-2차-부틸아미노실란(DSBAS)과 같은 참조 문헌에 제시된 그 밖의 유기 아미노산의 증착율과 비교한 본원에서 기술되는 유기아미노실란, 즉, 1-디-이소-프로필아미노-1,4-디실라부탄의 상대적 증착율을 나타낸다.
본원에 기재된 유기아미노실란은, 이로 제한되지 않지만, 비정질 규소, 규소-풍부 카르보니트라이드, 결정질 규소, 실리콘 옥사이드, 실리콘 옥시카바이드, 실리콘 니트라이드, 실리콘 옥시니트라이드, 및 실리콘 옥시카르보니트라이드와 같은 화학량론적 및 비-화학량론적 규소 함유 필름을 형성시키기 위한 전구체로서 사용된다. 이러한 전구체는 또한, 예를 들어, 금속 함유 필름을 위한 도펀트로서 사용될 수 있다. 반도체 공정에 사용되는 유기아미노실란 전구체는 전형적으로, 반도체 장치를 위한 CVD 또는 ALD 공정을 통해 규소 함유 필름을 증착시키기 위한 가스로서 증발되고 증착 챔버 또는 반응기에 전달되는 고순도 휘발성 액형 전구체 화학물질이다. 증착을 위한 전구체 물질의 선택은 요망되는 생성된 규소-함유 물질 또는 필름에 좌우된다. 예를 들어, 전구체 물질은 화학적 구성요소중의 이의 함량, 화학적 구성요소중의 이의 화학량론적 비율, 및/또는 CVD하에 형성되는 생성된 규소 함유 필름 또는 코팅에 대하여 선택될 수 있다. 전구체 물질은 또한 다양한 다른 특징, 예컨대, 비용, 비교적 낮은 독성, 취급 특징, 실온에서 액상을 유지시키는 능력, 휘발성, 분자량 및/또는 그 밖의 고려사항에 대하여 선택될 수 있다. 특정의 구체예에서, 본원에 기재된 전구체는 증착 챔버 또는 반응기에 액상 전구체의 전달을 가능하게 하는 여러 수단에 의해서, 바람직하게는 적절한 밸브 빛 부품이 장착된 가압가능한 스테인리스 스틸 용기를 사용함으로써 반응기 시스템에 전달될 수 있다.
본원에 기재된 유기아미노실란 전구체는 이들을 마이크로전자 장치 제작 공정에서 CVD 또는 ALD 전구체로서 이상적으로 적합하게 하는 반응성과 안정성에 대하여 균형을 나타낸다. 반응성과 관련하여, 특정 전구체는 증발되고 반응기로 전달되어 기판 상에 필름으로서 증착되기에 너무 높은 비점을 지닐 수 있다. 더 높은 상대적인 비점을 지니는 전구체는, 전달 용기 및 라인이 응축 또는 입자가 용기, 라인, 또는 이 둘 모두에서 형성되는 것을 방지하기 위해서 주어진 진공하에 전구체의 비점에서 또는 그 초과에서 가열되어야 하는 것을 필요로 한다. 안정성과 관련하여, 그 밖의 전구체가 이들이 분해됨에 따라서 실란(SiH4) 또는 디실란(Si2H6)을 형성시킬 수 있다. 실란은 실온에서 발화성이거나 자연 연소될 수 있는데, 이는 안전 및 취급 문제를 나타낸다. 더욱이, 실란 또는 디실란 및 그 밖의 부산물의 형성에 의해서 천구체의 순도가 감소되고, 화학적 순도에서 1-2% 만큼 적은 변화는 신뢰가능한 반도체 제작을 위하여 허용가능하지 않게 여겨질 수 있다. 특정의 구체예에서, 본원에 기재된 화학식 A 내지 E를 지니는 유기아미노실란 전구체는, 안정한 저장수명에 대한 지표인 6개월 또는 그 초과 또는 1년 또는 그 초과의 기간 동안 저장된 후에 2중량% 또는 그 미만, 또는 1중량% 또는 그 미만, 또는 0.5중량% 또는 그 미만의 부산물을 포함한다. 상기 이점에 더하여, 특정의 구체예에서, 예컨대, ALD, ALD-유사, PEALD, 또는 CCVD 증착법을 사용하여 실리콘 옥사이드 또는 실리콘 니트라이드 또는 실리콘 필름을 증착시키기 위해서, 본원에 기재된 유기아미노실란 전구체는 비교적 낮은 증착 온도, 예를 들어, 500℃ 또는 그 미만, 또는 400℃ 또는 그 미만, 300℃ 또는 그 미만, 200℃ 또는 그 미만, 100℃ 또는 그 미만, 또는 50℃ 또는 그 미만에서 고밀도 물질을 증착시킬 수 있다. 한 가지 특정 구체예에서, 유기아미노실란 전구체는 50℃ 또는 그 미만만큼 낮은 온도 또는 주위 온도 또는 실온(예, 25℃)에서 ALD 또는 PEALD를 통해 규소-함유 필름을 증착시키는데 사용될 수 있다.
한 가지 구체예에서, 본원에 기재된 화학식 A 내지 E 중 어느 하나의 화학식을 지니는 유기아미노실란 및 용매(들)을 포함하는 규소-함유 필름을 형성시키기 위한 조성물이 본원에 기재된다. 어떠한 이론으로 국한시키려 하는 것은 아니지만, 본원에 기재된 조성물은 순수한 유기아미노실란에 비해 하나 이상의 이점을 제공할 수 있다. 이러한 이점은, 반도체 공정에서 유기아미노실란의 보다 우수한 사용, 장기간 저장에 걸쳐서 보다 우수한 안정성, 플래시 증발(flash vaporization)에 의한 보다 청결한 증발, 및/또는 전체적으로 보다 안정한 직접적인 액체 주입(DLI) 화학적 기상 증착 공정을 포함한다. 조성물 중의 유기아미노실란의 중량 백분율은 용매(들)와 균형을 맞춰서 1% 내지 99% 범위일 수 있고, 이러한 용매(들)는 유기아미노실란과 반응하지 않으며 유기아미노실란과 유사한 비점을 지닌다. 후자와 관련하여, 조성물 중의 유기아미노실란과 용매(들)의 비점의 차이는 40℃ 또는 그 미만, 더욱 바람직하게는 20℃ 또는 그 미만, 또는 10℃ 또는 그 미만이다. 예시적인 용매에는 헥산, 옥탄, 톨루엔, 에틸사이클로헥산, 데칸, 도데칸, 비스(2-디메틸아미노에틸) 에테르가 포함되지만, 이로 제한되지 않는다.
한 가지 양태에서, 하나 이상의 유기아미노실란 전구체, 즉, 하기 화학식(A) 내지 화학식(E) 중 하나로 표현되는 화합물이 제공된다:
Figure pat00012
상기 식에서,
R1은 선형 또는 분지형 C1 내지 C10 알킬기, 선형 또는 분지형 C3 내지 C10 알케닐기, 선형 또는 분지형 C3 내지 C10 알키닐기, C3 내지 C10 사이클릭 알킬기, 및 C5 내지 C10 아릴기로부터 선택되고;
R2는 수소, 선형 또는 분지형 C1 내지 C10 알킬기, 선형 또는 분지형 C3 내지 C10 알케닐기, 선형 또는 분지형 C3 내지 C10 알키닐기, C3 내지 C10 사이클릭 알킬기, 및 C5 내지 C10 아릴기로부터 선택되고,
R3과 R4는 서로 독립적으로 선형 또는 분지형 C1 내지 C10 알킬렌기, 선형 또는 분지형 C3 내지 C6 알케닐렌기, 선형 또는 분지형 C3 내지 C6 알키닐렌기, C3 내지 C10 사이클릭 알킬렌기, C3 내지 C10 헤테로-사이클릭 알킬렌기, C5 내지 C10 아릴렌기, 및 C5 내지 C10 헤테로-아릴렌기로부터 선택되고;
화학식(A)에서의 n은 1 또는 2이고;
화학식(A)에서의 m은 0, 1, 2 또는 3이고; 화학식(E)에서의 p와 q는 1 또는 2이고;
임의로 화학식(D)에서의 R3은 두 개의 규소 원자와 하나 이상의 질소 원자를 지닌 4원, 5원 또는 6원 고리로부터 선택된 고리를 형성시킨다.
화학식에서 그리고 명세서 전체에 걸쳐서, 용어 "알킬"은 1개 내지 10개 또는 1개 내지 6개의 탄소 원자를 지니는 선형 또는 분지형 작용기를 나타낸다. 예시적인 알킬기에는 메틸(Me), 에틸(Et), 프로필(Prn), 이소프로필(Pri), 부틸(Bun), 이소부틸(Bui), 2차-부틸(Bus), 3차-부틸(But), 펜틸, 이소-펜틸, 3차-펜틸(Amt), 헥실, 이소-헥실, 및 네오-헥실이 포함되지만, 이로 제한되지 않는다. 특정의 구체예에서, 알킬기는 이에 결합되는 하나 이상의 작용기, 이로 제한되지는 않지만, 예컨대, 알콕시 기, 디알킬아미노 기 또는 이들의 조합을 지닐 수 있다. 다른 구체예에서, 알킬기는 이에 결합되는 하나 이상의 작용기를 지니지 않는다. 화학식 A를 지니고 R1 및 R2(존재 시)로서 알킬기 및 R3으로서 알킬렌기, 예컨대, 메틸렌 -CH2- 또는 에틸렌 -CH2CH2-을 지니는 예시적인 유기아미노실란에는 하기 물질들이 포함되지만, 이로 제한되지 않는다:
Figure pat00013
Figure pat00014
화학식에서 그리고 명세서 전체에 걸쳐서, 용어 "사이클릭 알킬"은 3개 내지 10개 또는 4개 내지 10개의 탄소 원자 또는 5개 내지 10개의 탄소 원자를 지니는 사이클릭 작용기를 나타낸다. 예시적인 알킬기에는 사이클로부틸, 사이클로펜틸, 사이클로헥실, 및 사이클로옥틸 기가 포함하지만, 이로 제한되지 않는다. 화학식 A를 지니고 R1 및 R2(존재 시)로서 사이클릭 알킬기 및 R3로서 알킬렌기, 예컨대, 메틸렌 -CH2- 또는 에틸렌 -CH2CH2-를 지니는 예시적인 유기아미노실란은 하기 물질들을 포함하지만, 이로 제한되지 않는다:
Figure pat00015
화학식에서 그리고 명세서 전체에 걸쳐서, 용어 "아릴"은 5개 내지 12개의 탄소 원자 또는 6개 내지 10개의 탄소 원자를 지니는 방향족 사이클릭 작용기를 나타낸다. 예시적인 아릴기에는 페닐(Ph), 벤질, 클로로벤질, 톨릴, 및 o-자일릴이 포함되지만, 이로 제한되지 않는다. 화학식 A를 지니고 R1 및 R2(존재 시)로서 아릴기 및 R3로서 알킬렌기, 예컨대, 메틸렌 -CH2- 또는 에틸렌 -CH2CH2-를 지니는 예시적인 유기아미노실란에는 하기 물질들이 포함되지만, 이로 제한되지 않는다:
Figure pat00016
특정의 구체예에서, 화학식 A 내지 E에서 알킬기, 알케닐기, 알키닐기, 및/또는 아릴 기 중 하나 이상은 치환되거나, 예를 들어, 수소 원자 대신에 치환된 하나 이상의 원자 또는 원자들의 군을 지닐 수 있다. 예시적인 치환체에는 산소, 황, 할로겐 원자(예, F, Cl, I, 또는 Br), 질소 및 인이 포함되지만, 이로 제한되지 않는다. 다른 구체예에서, 화학식 A 내지 E에서 알킬기, 알케닐기, 알키닐기, 및/또는 아릴기 중 하나 이상은 치환되지 않을 수 있다.
화학식에서 그리고 명세서 전체에 걸쳐서, 사이클릭 알킬은 헤테로-사이클릭 알킬기로 치환되거나 헤테로-사이클릭 알킬기이다. 용어 "헤테로-사이이클릭 알킬"은 3개 내지 10개 또는 4개 내지 10개의 탄소 원자 또는 5개 내지 10개의 탄소 원자뿐만 아니라 하나 이상의 산소 원자 또는 질소 원자 또는 이 둘 모두를 지니는 사이클릭 작용기를 나타낸다. 화학식 A를 지니고 R1 및 R2(존재 시)로서 헤테로-사이클릭 알킬기 및 R3로서 알킬렌기 메틸렌 -CH2-를 지니는 예시적인 유기아미노실란에는 하기 물질들이 포함되지만, 이로 제한되지 않는다:
Figure pat00017
화학식에서 그리고 명세서 전체에 걸쳐서, 아릴은 헤테로-아릴기로 치환되거나 헤테로-아릴기이다. 용어 "헤테로 아릴"은 3개 내지 10개 또는 4개 내지 10개의 탄소 원자 또는 5개 내지 10개의 탄소 원자뿐만 아니라 하나 이상의 산소 원자 또는 질소 원자 또는 이 둘 모두를 지니는 아릴 작용기를 나타낸다. 화학식에서 그리고 명세서 전체에 걸쳐서, 용어 "알케닐 기"는 하나 이상의 탄소-탄소 이중 결합을 지니고 3개 내지 10개 또는 3개 내지 6개 또는 3개 내지 4개의 탄소 원자를 지니는 기를 나타낸다.
화학식에서 그리고 명세서 전체에 걸쳐서, 용어 "알키닐 기"는 하나 이상의 탄소-탄소 삼중 결합을 지니고 3개 내지 10개 또는 3개 내지 6개 또는 3개 내지 4개의 탄소 원자를 지니는 기를 나타낸다.
화학식에서 그리고 명세서 전체에 걸쳐서, 용어 "알킬렌"은 1개 내지 10개 또는 4개 내지 10개의 탄소 원자 또는 5개 내지 10개의 탄소 원자를 지니는 탄화수소 기를 나타내며, 두 개의 규소 원자에 연결된다. 예시적인 알킬렌기에는 메틸렌(-CH2-), 에틸렌(-CH2CH2-), 프로필렌(-CH2CH2CH2-), 및 이소-프로필렌(-CH(Me)CH2-)이 포함되지만, 이로 제한되지 않는다.
화학식에서 그리고 명세서 전체에 걸쳐서, 용어 "아릴렌"은 5개 내지 12개의 탄소 원자 또는 6개 내지 10개의 탄소 원자를 지니는 방향족 사이클릭 작용기를 나타내고, 바람직하게는 두 개의 Si 원자가 아릴렌기의 1,2-위치 또는 1,4-위치에 결합된다.
화학식에서 그리고 명세서 전체에 걸쳐서, 용어 "헤테로-아릴렌"은 5개 내지 12개의 탄소 원자 또는 6개 내지 10개의 탄소 원자를 지니는 방향족 사이클릭 작용기를 나타내고, 바람직하게는 두 개의 Si 원자가 헤테로-아릴렌기의 1,2-위치에 결합된다.
특정의 구체예에서, R3은 화학식 D에서 링킹되어 고리 구조를 형성시킬 수 있다. 예시적인 유기아미노실란에는 하기 물질들이 포함되지만, 이로 제한되지 않는다:
Figure pat00018
또 다른 구체예에서, R3 및 R4는 화학식 E에서 각각 메틸렌 -CH2- 또는, 대안적으로 각각의 에틸렌 -CH2CH2-이다. 예시적인 유기아미노실란에는 하기 물질들이 포함되지만, 이로 제한되지 않는다:
Figure pat00019
규소-함유 필름 또는 코팅을 형성시키는데 이용되는 방법은 증착 공정이다. 본원에 개시된 방법에 적합한 증착 공정의 예에는 사이클릭 CVD(CCVD), MOCVD(금속 유기 CVD), 열 화학적 기상 증착, 플라즈마 강화 화학적 기상 증착("PECVD"), 고밀도 PECVD, 프로톤 보조된 CVD, 플라즈마-프로톤 보조된 CVD("PPECVD"), 초저온 화학적 기상 증착, 화학적 보조된 기상 증착, 고온-필라멘트 화학적 기상 증착, 액형 폴리머 전구체의 CVD, 초임계 유체로부터의 증착, 및 저 에너지 CVD(LECVD)가 포함되지만, 이로 제한되지 않는다. 특정의 구체예에서, 금속 함유 필름은 원자층 증착(ALD), 플라즈마 강화 ALD(PEALD) 또는 플라즈마 강화 사이클릭 CVD(PECCVD) 공정을 통해 증착된다. 본원에 사용되는 용어 "화학적 기상 증착 공정"은, 기판이 요망되는 증착을 생성시키기 위해 기판 표면 상에서 반응되고/거나 분해되는 하나 이상의 휘발성 전구체에 노출되는 어떠한 공정을 지칭한다. 본원에 사용되는 용어 "원자층 증착 공정"은 다양한 조성의 기판 상에 물질의 필름을 증착시키는 자기-제한(예를 들어, 각각의 반응 사이클에서 증착되는 필름 물질의 양이 일정함)의 순차적인 표면 화학을 지칭한다. 본원에 사용되는 전구체, 시약 및 공급원이 종종 "가스성"으로 기재될 수 있지만, 전구체는 불활성 가스의 존재 또는 부재하에 직접적인 증발, 기포발생(bubbling) 또는 승화를 통해 반응기로 이동되는 액체 또는 고체일 수 있는 것으로 이해된다. 일부 경우에, 증발된 전구체는 플라즈마 발생기에 통과될 수 있다. 한 가지 구체예에서, 규소-함유 필름은 ALD 공정을 이용하여 증착된다. 또 다른 구체예에서, 규소-함유 필름은 CCVD 공정을 이용하여 증착된다. 추가의 구체예에서, 규소-함유 필름은 열적 CVD 공정을 이용하여 증착된다. 본원에서 사용되는 용어 "반응기"는 반응 챔버 또는 증착 챔버를 제한 없이 포함한다.
특정의 구체예에서, 본원에 개시된 방법은, 전구체를 반응기에 도입하기 전에 및/또는 그 동안에 분리하는 ALD 또는 CCVD 방법을 이용함으로써 전구체의 사전-반응을 방지한다. 이와 관련하여, ALD 또는 CCVD 공정과 같은 증착 기술이 규소-함유 필름을 증착시키는데 이용된다. 한 가지 구체예에서, 필름은 대안적으로 하나 이상의 규소-함유 전구체, 산소-함유 공급원, 질소-함유 공급원, 또는 그 밖의 전구체 또는 시약에 기판 표면을 노출시킴으로써 ALD 공정을 통해 증착된다. 필름 성장은 표면 화학, 각각의 전구체 및 시약의 펄스 길이, 및 증착 온도의 자기-제한적 제어에 의해 진행된다. 그러나, 기판의 표면이 포화되면, 필름 성장은 중지된다.
특정의 구체예에서, 본원에 기재된 방법은 상기 화학식 A 내지 E를 지니는 유기아미노실란 전구체가 아닌 하나 이상의 추가의 규소-함유 전구체를 추가로 포함한다. 추가의 규소-함유 전구체의 예에는 모노아미노실란(예, 디-이소-프로필아미노실란, 디-2차-부틸아미노실란, 페닐메틸아미노실란); 유기-규소 화합물, 예컨대, 트리실릴아민(TSA); 실록산(예, 헥사메틸 디실록산(HMDSO) 및 디메틸 실록산(DMSO)); 유기실란(예, 메틸실란, 디메틸실란, 디에틸실란, 비닐 트리메틸실란, 트리메틸실란, 테트라메틸실란, 에틸실란, 디실릴메탄, 2,4-디실라펜탄, 1,4-디실라부탄, 2,5-디실라헥산, 2,2-디실릴프로판, 1,3,5-트리실라사이클로헥산 및 이러한 화합물들의 불화된 유도체); 페닐-함유 유기-규소 화합물(예, 디메틸페닐실란 및 디페닐메틸실란); 산소-함유 유기-규소 화합물, 예, 디메틸디메톡시실란; 1,3,5,7-테트라메틸사이클로테트라실록산; 1,1,3,3-테트라메틸디실록산; 1,3,5,7-테트라실라-4-옥소-헵탄; 2,4,6,8-테트라실라-3,7-디옥소-노난; 2,2-디메틸-2,4,6,8-테트라실라-3,7-디옥소-노난; 옥타메틸사이클로테트라실록산; [1,3,5,7,9]-펜타메틸사이클로펜타실록산; 1,3,5,7-테트라실라-2,6-디옥소-사이클로옥탄; 헥사메틸사이클로트리실록산; 1,3-디메틸디실록산; 1,3,5,7,9-펜타메틸사이클로펜타실록산; 헥사메톡시디실록산, 및 이러한 화합물들의 불화된 유도체가 포함되지만, 이로 제한되지 않는다.
증착법에 좌우하여, 특정의 구체예에서, 하나 이상의 규소-함유 전구체는 소정 몰 부피, 또는 약 0.1 내지 약 1000마이크로몰로 반응기에 도입될 수 있다. 이러한 또는 다른 구체예에서, 규소-함유 및/또는 유기아미노실란 전구체는 소정 기간 동안 반응기에 도입될 수 있다. 특정의 구체예에서, 기간은 약 0.001 내지 약 500초의 범위이다.
특정의 구체예에서, 본원에 기재된 방법을 이용하여 증착된 규소-함유 필름은 산소를 포함하는 산소-함유 공급원, 시약 또는 전구체를 사용하여 산소의 존재하에 형성된다. 산소-함유 공급원은 하나 이상의 산소-함유 공급원의 형태로 반응기에 도입될 수 있고/거나 증착 공정에 사용되는 다른 전구체에 부수적으로 존재할 수 있다. 적합한 산소-함유 공급원 가스에는 예를 들어, 물(H2O)(예, 탈이온수, 정수기 물 및/또는 증류수), 산소(O2), 산소 플라즈마, 오존(O3), NO, N2O, NO2, 일산화탄소(CO), 이산화탄소(CO2), 이산화탄소 플라즈마, 및 이들의 조합물이 포함될 수 있다. 특정의 구체예에서, 산소-함유 공급원은 약 1 내지 약 200sccm(분당 표준 입방 센티미터) 또는 약 1 내지 약 1000sccm 범위의 유량으로 반응기에 도입되는 산소-함유 공급원 가스를 포함한다. 산소-함유 공급원은 약 0.1 내지 약 100초 범위의 시간 동안 도입될 수 있다. 한 가지 특정 구체예에서, 산소-함유 공급원은 10℃ 또는 그 초과의 온도를 지니는 물을 포함한다. 필름이 ALD 또는 사이클릭 CVD 공정에 의해 증착되는 구체예에서, 전구체 펄스는 0.01초 초과인 펄스 기간을 지닐 수 있고, 산소-함유 공급원은 0.01초 미만인 펄스 기간을 지닐 수 있으며, 물 펄스 기간은 0.01초 미만인 펄스 기간을 지닐 수 있다. 추가의 또 다른 구체예에서, 펄스들 사이의 퍼지 기간은 0초만큼 작을 수 있거나, 이들 사이의 퍼지 없이 연속적으로 펄싱된다. 산소-함유 공급원 또는 시약은 증착된 규소-함유 필름에서 적어도 일부의 탄소가 보유되도록 규소 전구체에 대해 1 미만:1의 분자의 양으로 제공된다.
특정의 구체예에서, 실리콘-함유 필름은 규소 및 질소를 포함한다. 이러한 구체예에서, 본원에 기술된 방법을 이용하여 증착된 실리콘-함유 필름은 질소-함유 공급원의 존재 하에 형성된다. 질소-함유 공급원은 반응기에 적어도 하나의 질소-함유 공급원의 형태로 도입될 수 있고/거나 증착 공정에서 사용되는 다른 전구체에 부수적으로 존재할 수 있다. 적합한 질소-함유 공급원 가스는 예를 들어 암모니아, 하이드라진, 모노알킬하이드라진, 디알킬하이드라진, 질소, 질소/수소, 암모니아 플라즈마, 질소 플라즈마, 질소/아르곤 플라즈마, 질소/헬륨 플라즈마, 질소/수소 플라즈마, 및 이들의 혼합물을 포함할 수 있다. 특정의 구체예에서, 질소-함유 공급원은 반응기에 약 1 내지 약 2000 표준 입방 센티미터(sccm) 또는 약 1 내지 약 1000 sccm 범위의 유량으로 도입되는 암모니아 플라즈마 또는 수소/질소 플라즈마 또는 질소/아르곤 플라즈마 또는 질소/헬륨 플라즈마 공급원 가스를 포함한다. 질소-함유 공급원은 약 0.01 내지 약 100초 범위의 시간 동안 도입될 수 있다. 필름이 ALD 또는 사이클릭 CVD 공정에 의해 증착되는 구체예에서, 전구체 펄스는 0.01초 초과인 펄스 기간을 가질 수 있으며, 질소-함유 공급원은 0.01초 미만인 펄스 기간을 가질 수 있으며, 물 펄스 기간은 0.01초 미만인 펄스 기간을 가질 수 있다. 또 다른 구체예에서, 펄스들 사이의 퍼지 기간은 0초 정도로 짧을 수 있거나 중간 퍼지(purge in-between) 없이 연속적으로 펄스화된다.
본원에 기술된 증착 방법은 하나 이상의 퍼지 가스를 포함할 수 있다. 퍼지 가스는 소비되지 않은 반응물 및/또는 반응 부산물을 퍼지시키기 위해 사용되는 것으로서, 이는 전구체와 반응하지 않는 불활성 가스이다. 예시적인 퍼지 가스는 아르곤(Ar), 크립톤(Kr), 제논(Xe), 질소(N2), 헬륨(He), 네온, 수소(H2), 및 이들의 혼합물을 포함하지만, 이로 제한되지 않는다. 특정의 구체예에서, 퍼지 가스, 예를 들어 Ar은 반응기에 약 0.1 내지 1000초 동안 약 10 내지 약 2000 sccm 범위의 유량으로 공급되며, 이에 의해 반응기에 잔류할 수 있는 미반응된 물질 및 임의의 부산물을 퍼징시킨다.
전구체, 산소-함유 공급원, 질소-함유 공급원 및/또는 다른 전구체, 공급원 가스, 및/또는 시약들을 공급하기 위한 개개 단계는 얻어진 실리콘-함유 필름의 화학양론적 조성을 변화시키기 위하여 이러한 것들을 공급하기 위한 시간을 변경함으로써 수행될 수 있다.
에너지는 반응을 유도하고 기판 상에 실리콘-함유 필름 또는 코팅을 형성시키기 위하여 전구체, 질소-함유 공급원, 환원제, 다른 전구체 또는 이들의 조합 중 적어도 하나에 적용한다. 이러한 에너지는 열, 플라즈마, 펄스화된 플라즈마, 헬리콘 플라즈마, 고밀도 플라즈마, 유도 결합 플라즈마, X-선, e-빔, 광자, 원격 플라즈마 방법, 및 이들의 조합에 의해 제공될 수 있지만, 이로 제한되지 않는다. 특정의 구체예에서, 2차 RF 주파수 소스는 기판 표면에서 플라즈마 특징을 변경시키기 위해 사용될 수 있다. 증착이 플라즈마를 수반하는 구체예에서, 플라즈마-발생 공정은 플라즈마가 반응기에서 직접 발생되는 직접 플라즈마-발생 공정, 또는 대안적으로 플라즈마가 반응기의 외부에서 발생되고 반응기로 공급되는 원격 플라즈마-발생 공정을 포함할 수 있다.
유기아미노실란 전구체 및/또는 다른 규소-함유 전구체는 다양한 방식으로 CVD 또는 ALD 반응기와 같은 반응 챔버로 전달될 수 있다. 일 구체예에서, 액체 전달 시스템이 사용될 수 있다. 대안적인 구체예에서, 결합된 액체 전달 및 플래시 증발 공정 유닛, 예를 들어 MSP Corporation(Shoreview, MN)에 의해 제작된 터보 기화기는 저휘발성 물질을 체적으로 전달하기 위하여 사용될 수 있는데, 이는 전구체의 열 분해 없이 재현 가능한 이동 및 증착을 초래한다. 액체 전달 포뮬레이션에서, 본원에 기술된 전구체는 순수한 액체 형태로 전달될 수 있거나, 대안적으로, 용매 포뮬레이션 또는 이를 포함하는 조성물에 사용될 수 있다. 이에 따라, 특정 구체예에서, 전구체 포뮬레이션은 기판 상에 필름을 형성시키기 위하여 제공된 최종 용도 적용에서 요망될 수 있고 유리할 수 있는 바와 같이 적합한 특징의 용매 성분(들)을 포함할 수 있다.
화학식(A) 내지 화학식(E)를 갖는 전구체(들)가 용매 및 본원에 기술된 화학식(A) 내지 화학식(E)를 갖는 유기아미노실란 전구체를 포함하는 조성물에서 사용되는 이러한 구체예에 대하여, 선택된 용매 또는 이들의 혼합물은 유기아미노실란과 반응하지 않는다. 조성물 중의 용매의 중량% 양은 0.5 중량% 내지 99.5 중량%, 또는 10 중량% 내지 75 중량%의 범위이다. 이러한 구체예 또는 다른 구체예에서, 용매는 화학식(A) 내지 화학식(E)의 유기아미노실란의 비등점(b.p)과 유사한 b.p을 갖거나, 용매의 b.p와 화학식(A) 내지 화학식(E)의 유기아미노실란의 b.p의 차이는 40℃ 또는 그 미만, 30℃ 또는 그 미만, 또는 20℃ 또는 그 미만, 또는 10℃이다. 대안적으로, 비등점들 간의 차이는 하기 종결점 중 임의의 하나 이상으로부터의 범위이다: 0, 10, 20, 30, 또는 40℃. b.p 차이의 적합한 범위의 예는 비제한적으로, 0 내지 40℃, 20 내지 30℃, 또는 10 내지 30℃를 포함한다. 조성물 중의 적합한 용매의 예는 에테르(예를 들어, 1,4-디옥산, 디부틸 에테르), 3차 아민(예를 들어, 피리딘, 1-메틸피페리딘, 1-에틸피페리딘, N,N'-디메틸피페라진, N,N,N',N'-테트라메틸에틸렌디아민), 니트릴(예를 들어, 벤조니트릴), 알킬 탄화수소(예를 들어, 옥탄, 노난, 도데칸, 에틸사이클로헥산), 방향족 탄화수소(예를 들어, 톨루엔, 메시틸렌), 3차 아미노에테르(예를 들어, 비스(2-디메틸아미노에틸)에테르), 또는 이들의 혼합물을 포함하지만, 이로 제한되지 않는다.
다른 구체예에서, 화학식(A) 내지 화학식(E)를 갖는 하나 이상의 유기아미노실란 전구체를 포함하는 실리콘-함유 필름을 증착시키기 위한 용기가 본원에 기술된다. 하나의 특정 구체예에서, 용기는 CVD 또는 ALD 공정을 위한 반응기에 하나 이상의 전구체의 전달을 가능하게 하기 위해 적절한 밸브 및 피팅(fitting)이 장착된 적어도 하나의 가압 가능한 용기(바람직하게 스테인레스의 용기)를 포함한다. 이러한 구체예 또는 다른 구체예에서, 화학식(A) 내지 화학식(E)를 갖는 유기아미노실란 전구체는 스테인레스 스틸로 이루어진 가압 가능한 용기에 제공되며, 전구체의 순도는 대부분의 반도체 적용을 위해 적합한 98 중량% 이상, 또는 99.5 중량% 이상이다. 특정의 구체예에서, 이러한 용기는 또한 전구체를 요망되는 경우에 하나 이상의 추가 전구체와 혼합하기 위한 수단을 가질 수 있다. 이러한 구체예 또는 다른 구체예에서, 용기(들) 중의 내용물은 추가 전구체와 예비 혼합될 수 있다. 대안적으로, 유기아미노실란 전구체 및/또는 다른 전구체는 별도의 용기에 또는 저장 동안에 유기아미노실란 전구체 및 다른 전구체를 별도로 유지시키기 위한 분리 수단을 갖는 단일 용기에 유지될 수 있다.
또 다른 구체예에서, 본원에 기술된 화학식(A) 내지 화학식(E)를 갖는 유기아미노실란과 같은 유기아미노실란을 제조하는 방법으로서,
R1R2NH 또는 R1NH2(상기 식에서, R1은 선형 또는 분지형 C1 내지 C10 알킬기, 선형 또는 분지형 C3 내지 C10 알케닐기, 선형 또는 분지형 C3 내지 C10 알키닐기, C3 내지 C10 사이클릭 알킬기, 및 C5 내지 C10 아릴기로부터 선택되며, R2는 수소, 선형 또는 분지형 C1 내지 C10 알킬기, 선형 또는 분지형 C3 내지 C10 알케닐기, 선형 또는 분지형 C3 내지 C10 알키닐기, C3 내지 C10 사이클릭 알킬기, 및 C5 내지 C10 아릴기로부터 선택됨) 중 어느 하나인 화학식을 갖는 아민을 다음 구조식,
Figure pat00020
(여기서, R3 및 R4는 선형 또는 분지형 C1 내지 C10 알킬렌기, 선형 또는 분지형 C3 내지 C6 알케닐렌기, 선형 또는 분지형 C3 내지 C6 알키닐렌기, C3 내지 C10 사이클릭 알킬렌기, C3 내지 C10 헤테로-사이클릭 알킬렌기, C5 내지 C10 아릴렌기, 및 C5 내지 C10 헤테로-아릴렌기로부터 선택된다)을 지니는 화합물로부터 선택된 하나 이상의 화합물인 규소 공급원과 반응시키는데, 규소 공급원과 아민을 유기 용매와 함께 또는 유기 용매 없이 반응시켜서 하기 화학식(A) 내지 화학식(E) 중 하나로 표현되는 화합물을 포함하는 유기아미노실란 전구체를 제공하기에 충분한 반응 조건 하에서 촉매의 존재 하에 반응시키는 단계를 포함하는 방법이 제공된다:
Figure pat00021
상기 식에서, 화학식(A)에서의 n은 1 또는 2이고; 화학식(A)에서의 m은 0, 1, 2 또는 3이고; p와 q는 화학식(E)에서 1 또는 2이고; 임의로 화학식(D)에서의 R3은 두 개의 규소 원자와 하나 이상의 질소 원자를 지닌 4원, 5원 또는 6원 고리로부터 선택된 고리를 형성한다.
예시적인 촉매는 트리스(펜타플루오로페닐)보란, BR3(여기서, R은 선형, 분지형, 또는 사이클릭 C1 내지 C10 알킬기, C5 내지 C10 아릴기, 또는 C1 내지 C10 알콕시기로부터 선택됨), 1,3-디이소프로필-4,5-디메틸이미다졸-2-일리덴, 2,2'-바이피리딜, 페난트롤린, Mg[N(SiMe3)2]2, [트리스(4,4-디메틸-2-옥사졸리닐)페닐보레이트]MgMe, [트리스(4,4-디메틸-2-옥사졸리닐)페닐보레이트]MgH, 트리메틸알루미늄, 트리에틸알루미늄, 알루미늄 클로라이드, Ca[N(SiMe3)2]2, 디벤질칼슘, {CH-[CMeNC6H3-2,6-iPr2]2}CaH, 트리루테늄 도데카카르보닐, {CH-[CMeNC6H3-2,6-iPr2]2}Ca[N(SiMe3)2], 비스(사이클로펜타디에닐)디알킬티타늄(IV), 비스(사이클로펜타디에닐)티타늄(IV)디플루오라이드, 비스(사이클로펜타디에닐)티타늄(IV)디클로라이드, 비스(사이클로펜타디에닐)티타늄(IV)디하이드라이드, TiMe2(dmpe)2 [dmpe = 1,2-비스(디메틸포스피노)에탄], (C5H5)2Ti(OAr)2 [Ar = (2,6-(iPr)2C6H3)], (C5H5)2Ti(SiHRR')PMe3 [여기서, R, R'는 각각 독립적으로 수소 원자(H), 메틸기(Me), 및 페닐(Ph) 기로부터 선택됨], 비스(벤젠)크롬(0), 크롬 헥사카르보닐, 디망간 데카카르보닐, [Mn(CO)4Br]2, 철 펜타카르보닐, (C5H5)Fe(CO)2Me, 디코발트 옥타카르보닐, 니켈(II) 아세테이트, 니켈(II) 클로라이드, [(dippe)Ni(μ-H)]2 [dippe = 1,2-비스(디이소프로필포스피노)에탄], (R-인데닐)Ni(PR'3)Me [여기서, R은 1-i-Pr, 1-SiMe3, 및 1,3-(SiMe3)2로부터 선택되며, R'는 메틸(Me) 기 및 페닐(Ph) 기로부터 선택됨], [{Ni(η-CH2:CHSiMe2)2O}2{μ-(η-CH2:CHSiMe2)2O}], 니켈(II) 아세틸아세토네이트, 디(사이클로옥타디엔)2, 구리(II) 플루오라이드, 구리(I) 클로라이드, 구리(II) 클로라이드, 구리(I) 브로마이드, 구리(II) 브로마이드, 구리(I) 아이오다이드, 구리(I) 아세테이트, Cu(PPh3)3Cl, 아연 클로라이드, [트리스(4,4-디메틸-2-옥사졸리닐)페닐보레이트]ZnH, Sr[N(SiMe3)2]2, 비스(사이클로펜타디에닐)디알킬지르코늄(IV), 비스(사이클로펜타디에닐)지르코늄(IV)디플루오라이드, 비스(사이클로펜타디에닐)지르코늄(IV)디클로라이드, 비스(사이클로펜타디에닐)지르코늄(IV)디하이드라이드, [(Et3P)Ru(2,6-디메시틸티오페놀레이트)][B[3,5-(CF3)2C6H3]4], (C5Me5)Ru(R3P)x(NCMe)3 -x]+ (여기서, R은 선형, 분지형, 또는 사이클릭 C1 내지 C10 알킬기 및 C5 내지 C10 아릴기로부터 선택되며; x는 0, 1, 2, 3임), 트리스(트리페닐포스핀)로듐(I)카르보닐 하이드라이드, 디-μ-클로로-테트라카르보닐디로듐(I), 트리스(트리페닐포스핀)로듐(I) 클로라이드(윌킨슨 촉매(Wilkinson's Catalyst)), 헤가로듐 헥사데카카르보닐, 트리스(트리페닐포스핀)로듐(I) 카르보닐 하이드라이드, 비스(트리페닐포스핀)로듐(I) 카르보닐 클로라이드, [RhCl(사이클로옥타디엔)]2, 트리스(디벤질리덴아세톤)디팔라듐(0), 테트라키스(트리페닐포스핀)팔라듐(0), 팔라듐(II) 아세테이트, 팔라듐(II) 클로라이드, 팔라듐(II) 아이오다이드, 세슘 카르보네이트, (C5H5)2SmH, (C5Me5)2SmH, (NHC)Yb(N(SiMe3)2)2 [NHC = 1,3-비스(2,4,6-트리메틸페닐)이미다졸-2-일리덴)], 텅스텐 헥사카르보닐, 디레늄 데카카르보닐, 트리오스뮴 도데카카르보닐, 테트라이리듐 도데카카르보닐, (아세틸아세토네이토)디카르보닐이리듐(I), (POCOP)IrHCl [(POCOP) = 2,6-(R2PO)2C6H3, (R은 이소프로필(iPr), 노말 부틸(nBu), 및 메틸(Me)로부터 선택됨], Ir(Me)2(C5Me5)L [여기서, L은 PMe3 및 PPh3으로부터 선택됨], [Ir(사이클로옥타디엔)OMe]2, 플라티눔(0)-1,3-디비닐-1,1,3,3-테트라메틸디실록산 (Karstedt의 촉매), H2PtCl6·nH2O (클로로백금산), 비스(트리-3차-부틸포스핀)플라티눔(0), PtO2, 및 Pt(사이클로옥타디엔)2를 포함하지만, 이로 제한되지 않는다.
본원에 기술된 방법의 일 구체예에서, 사이클릭 증착 공정, 예를 들어 CCVD, ALD, 또는 PEALD가 이용될 수 있으며, 여기서 본원에 기술된 화학식을 갖는 유기아미노실란 전구체로부터 선택된 적어도 하나의 규소-함유 전구체 및 임의로 질소-함유 공급원, 예를 들어 암모니아, 하이드라진, 모노알킬하이드라진, 디알킬하이드라진, 질소, 질소/수소, 암모니아 플라즈마, 질소 플라즈마, 질소/아르곤 플라즈마, 질소/헬륨 플라즈마, 질소/수소 플라즈마, 유기 아민(예를 들어, 메틸아민, 에틸아민, 이소-프로필아민, 3차-부틸아민), 및/또는 유기 아민으로부터 유도된 플라즈마가 사용된다.
특정의 구체예에서, 전구체 캐니스터에서 반응 챔버로 연결하는 가스 라인은 공정 요건에 따라 하나 이상의 온도로 가열되며, 본원에 기술된 화학식(A) 내지 화학식(E)를 갖는 유기아미노실란 전구체의 용기는 버블링을 위하여 하나 이상의 온도에서 유지된다. 다른 구체예에서, 적어도 하나의 규소-함유 전구체를 포함하는 용액은 직접 액체 주입을 위해 하나 이상의 온도로 유지되는 기화기로 주입된다.
아르곤 및/또는 다른 가스의 흐름은 하나 이상의 유기아미노실란 전구체의 증기를 전구체 펄스화 동안에 반응 챔버로 전달하는데 도움을 주기 위해 운반 가스로서 사용될 수 있다. 특정의 구체예에서, 반응 챔버 공정 압력은 약 10 torr 또는 그 미만, 바람직하게 약 1 torr이다.
통상적인 ALD 또는 CCVD 공정에서, 규소 옥사이드, 탄소 도핑된 규소 옥사이드, 가요성 기판, 또는 금속 니트라이드 기판과 같은(이로 제한되지 않음) 기판은 기판의 표면 상에서 유기아미노실란을 화학적으로 흡착시키기 위해 초기에 규소-함유 전구체에 노출되는 반응 챔버에서의 가열기 스테이지 상에서 가열된다. 퍼지 가스, 예를 들어 질소, 아르곤, 또는 다른 불활성 가스는 공정 챔버로부터 흡착되지 않은 과량의 유기아미노실란을 퍼징한다. 충분히 퍼징한 후에, 산소-함유 공급원은 흡착된 표면과 반응하기 위해 반응 챔버로 도입되고 이후에 챔버로부터 반응 부산물을 제거하기 위해 다른 가스 퍼지가 도입될 수 있다. 공정 사이클은 요망되는 필름 두께를 달성하기 위해 반복될 수 있다. 다른 구체예에서, 진공 하에서의 펌핑은 공정 챔버로부터 흡착되지 않은 과량의 유기아미노실란을 제거하기 위해 사용될 수 있으며, 펌핑 하에서 충분한 배기 후에, 산소-함유 공급원은 흡수된 표면과 반응하기 위해 반응 챔버에 도입되고 이후에 챔버로부터 반응 부산물을 제거하기 위해 다른 펌핑 다운 퍼지(pumping down purge)가 수행될 수 있다. 또 다른 구체예에서, 유기아미노실란 및 산소-함유 공급원은 규소 옥사이드, 탄소 도핑된 규소 옥사이드를 증착시키기 위해 기판 표면 상에서 반응하도록 반응 챔버에 동시에 흘려보낼 수 있다. 사이클릭 CVD의 특정 구체예에서, 퍼지 단계가 사용되지 않는다.
이러한 구체예 또는 다른 구체예에서, 본원에 기술된 방법의 단계들이 다양한 순서로 수행될 수 있고, 순차적으로 또는 동시에(예를 들어, 다른 단계의 적어도 일부 동안) 수행될 수 있고, 이들의 임의의 조합으로 수행될 수 있는 것으로 이해된다. 전구체 및 질소-함유 공급원 가스를 공급하는 개개 단계는 얻어진 실리콘-함유 필름의 화학양론적 조성을 변경시키기 위해 이러한 것을 공급하기 위한 시간의 기간을 변화시킴으로써 수행될 수 있다.
본원에 기술된 방법의 다른 구체예에서, 규소 및 질소 둘 모두를 함유한 필름은 하기 단계를 포함하는 ALD, PEALD, CCVD 또는 PECCVD 증착 방법을 이용하여 형성된다:
a) ALD 반응기에 기판을 제공하는 단계;
b) ALD 반응기에 하나 이상의 유기아미노실란 전구체, 즉, 하기 화학식(A) 내지 화학식(E) 중 하나로 표현되는 화합물을 도입하는 단계;
Figure pat00022
[상기 식에서, R1은 선형 또는 분지형 C1 내지 C10 알킬기, 선형 또는 분지형 C3 내지 C10 알케닐기, 선형 또는 분지형 C3 내지 C10 알키닐기, C3 내지 C10 사이클릭 알킬기, 및 C5 내지 C10 아릴기로부터 선택되며, R2는 수소, 선형 또는 분지형 C1 내지 C10 알킬기, 선형 또는 분지형 C3 내지 C10 알케닐기, 선형 또는 분지형 C3 내지 C10 알키닐기, C3 내지 C10 사이클릭 알킬기, 및 C5 내지 C10 아릴기로부터 선택되며, R3과 R4는 각각 독립적으로 선형 또는 분지형 C1 내지 C10 알킬렌기, 선형 또는 분지형 C3 내지 C6 알케닐렌기, 선형 또는 분지형 C3 내지 C6 알키닐렌기, C3 내지 C10 사이클릭 알킬렌기, C3 내지 C10 헤테로-사이클릭 알킬렌기, C5 내지 C10 아릴렌기, 및 C5 내지 C10 헤테로-아릴렌기로부터 선택되며, 화학식(A)에서의 n은 1 또는 2이고; 화학식(A)에서의 m은 0, 1, 2 또는 3이고; 화학식(E)에서의 p와 q는 1 또는 2이고; 임의로 화학식(D)에서의 R3은 두 개의 규소 원자와 하나 이상의 질소 원자를 지닌 4원, 5원 또는 6원 고리로부터 선택된 고리를 형성함];
c) 기판 상에 하나 이상의 유기아미노실란 전구체를 화학흡착시키는 단계;
d) 퍼지 가스를 이용하여 미반응된 하나 이상의 유기아미노실란 전구체를 퍼징하는 단계;
e) 흡착된 하나 이상의 유기아미노실란 전구체와 반응하기 위하여 가열된 기판 상에서 질소-함유 공급원을 유미아미노실란 전구체에 제공하는 단계; 및
f) 임의로 임의의 미반응된 질소-함유 공급원을 퍼징하거나 펌핑하는 단계.
또 다른 양태에서, 하기 단계를 포함하는 PEALD 또는 PECCVD 증착 공정을 통해 규소 옥사이드 및 탄소 도핑된 규소 옥사이드 필름으로부터 선택된 필름을 형성하는 방법으로서,
a) 기판을 반응기에 제공하는 단계;
b) 반응기에 하나 이상의 유기아미노실란 전구체, 즉, 하기 화학식(A) 내지 화학식(E) 중 하나로 표현되는 화합물과 함께 산소를 도입하는 단계;
Figure pat00023
[상기 식에서, R1은 선형 또는 분지형 C1 내지 C10 알킬기, 선형 또는 분지형 C3 내지 C10 알케닐기, 선형 또는 분지형 C3 내지 C10 알키닐기, C3 내지 C10 사이클릭 알킬기, 및 C5 내지 C10 아릴기로부터 선택되며, R2는 수소, 선형 또는 분지형 C1 내지 C10 알킬기, 선형 또는 분지형 C3 내지 C10 알케닐기, 선형 또는 분지형 C3 내지 C10 알키닐기, C3 내지 C10 사이클릭 알킬기, 및 C5 내지 C10 아릴기로부터 선택되며, R3과 R4는 각각 독립적으로 선형 또는 분지형 C1 내지 C10 알킬렌기, 선형 또는 분지형 C3 내지 C6 알케닐렌기, 선형 또는 분지형 C3 내지 C6 알키닐렌기, C3 내지 C10 사이클릭 알킬렌기, C3 내지 C10 헤테로-사이클릭 알킬렌기, C5 내지 C10 아릴렌기, 및 C5 내지 C10 헤테로-아릴렌기로부터 선택되며, 화학식(A)에서의 n은 1 또는 2이고; 화학식(A)에서의 m은 0, 1, 2 또는 3이고; 화학식(E)에서의 p와 q는 1 또는 2이고; 임의로 화학식(D)에서의 R3은 두 개의 규소 원자와 하나 이상의 질소 원자를 지닌 4원, 5원 또는 6원 고리로부터 선택된 고리를 형성함];
c) 반응기를 산소와 함께 퍼지 가스로 퍼징하는 단계;
d) RF 플라즈마를 적용하는 단계; 및
e) 반응기를 퍼지 가스로 퍼징하거나 반응기를 펌핑하여 미반응된 유기아미노실란 및 임의의 부산물을 제거하는 단계를 포함하며,
단계 b 내지 단계 e가 요망되는 필름 두께를 얻을 때까지 반복되는 방법이 제공된다다.
본원에 기술된 방법의 다른 구체예에서, 실리콘-함유 필름은 하기 단계를 포함하는 ALD 증착 방법을 이용하여 형성된다:
a) 기판을 반응기에 제공하는 단계;
b) 반응기에 하나 이상의 유기아미노실란 전구체, 즉, 하기 화학식(A) 내지 화학식(E) 중 하나로 표현되는 화합물을 도입하는 단계;
Figure pat00024
[상기 식에서, R1은 선형 또는 분지형 C1 내지 C10 알킬기, 선형 또는 분지형 C3 내지 C10 알케닐기, 선형 또는 분지형 C3 내지 C10 알키닐기, C3 내지 C10 사이클릭 알킬기, 및 C5 내지 C10 아릴기로부터 선택되며; R2는 수소, 선형 또는 분지형 C1 내지 C10 알킬기, 선형 또는 분지형 C3 내지 C10 알케닐기, 선형 또는 분지형 C3 내지 C10 알키닐기, C3 내지 C10 사이클릭 알킬기, 및 C5 내지 C10 아릴기로부터 선택되며, R3과 R4는 각각 독립적으로 선형 또는 분지형 C1 내지 C10 알킬렌기, 선형 또는 분지형 C3 내지 C6 알케닐렌기, 선형 또는 분지형 C3 내지 C6 알키닐렌기, C3 내지 C10 사이클릭 알킬렌기, C3 내지 C10 헤테로-사이클릭 알킬렌기, C5 내지 C10 아릴렌기, 및 C5 내지 C10 헤테로-아릴렌기로부터 선택되며; 화학식(A)에서의 n은 1 또는 2이고; 화학식(A)에서의 m은 0, 1, 2 또는 3이고; 화학식 E에서의 p 및 q는 1 또는 2이며; 임의로 화학식(D)에서의 R3은 두 개의 규소 원자와 하나 이상의 질소 원자를 지닌 4원, 5원 또는 6원 고리로부터 선택된 고리를 형성함];
c) 기판 상에 하나 이상의 유기아미노실란 전구체를 화학흡착시키는 단계;
d) 퍼지 가스를 사용하여 미반응된 하나 이상의 유기아미노실란 전구체를 퍼징시키는 단계;
e) 산소-함유 공급원을 가열된 기판 상의 유기아미노실란 전구체에 제공하여 흡착된 하나 이상의 유기아미노실란 전구체와 반응시키는 단계; 및
f) 임의로 임의의 미반응된 산소-함유 공급원을 퍼징시키거나 퍼징시키거나 펌핑시키는 단계.
또 다른 양태에서, PEALD 또는 PECCVD 공정을 통해 규소 니트라이드 또는 규소 카르보니트라이드를 형성하는 방법으로서,
a) 기판을 반응기에 제공하는 단계;
b) 반응기에 질소-함유 공급원 및 하나 이상의 유기아미노실란 전구체, 즉, 하기 화학식(A) 내지 화학식(E) 중 하나로 표현되는 화합물을 도입하는 단계;
Figure pat00025
[상기 식에서, R1은 선형 또는 분지형 C1 내지 C10 알킬기, 선형 또는 분지형 C3 내지 C10 알케닐기, 선형 또는 분지형 C3 내지 C10 알키닐기, C3 내지 C10 사이클릭 알킬기, 및 C5 내지 C10 아릴기로부터 선택되며; R2는 수소, 선형 또는 분지형 C1 내지 C10 알킬기, 선형 또는 분지형 C3 내지 C10 알케닐기, 선형 또는 분지형 C3 내지 C10 알키닐기, C3 내지 C10 사이클릭 알킬기, 및 C5 내지 C10 아릴기로부터 선택되며, R3과 R4는 각각 독립적으로 선형 또는 분지형 C1 내지 C10 알킬렌기, 선형 또는 분지형 C3 내지 C6 알케닐렌기, 선형 또는 분지형 C3 내지 C6 알키닐렌기, C3 내지 C10 사이클릭 알킬렌기, C3 내지 C10 헤테로-사이클릭 알킬렌기, C5 내지 C10 아릴렌기, 및 C5 내지 C10 헤테로-아릴렌기로부터 선택되며, 화학식(A)에서의 n은 1 또는 2이고; 화학식(A)에서의 m은 0, 1, 2 또는 3이고; 화학식(E)에서의 p와 q는 1 또는 2이고; 및 임의로 화학식(D)에서의 R3은 두 개의 규소 원자와 하나 이상의 질소 원자를 지닌 4원, 5원 또는 6원 고리로부터 선택된 고리를 형성함];
c) 반응기를 질소-함유 공급원과 함께 퍼지 가스로 퍼징시키는 단계;
c) RF 플라즈마를 적용하는 단계; 및
e) 반응기를 퍼지 가스로 퍼징시키거나 반응기를 펌핑하여 미반응된 유기아미노실란 및 임의의 부산물을 제거하는 단계를 포함하고,
단계 b 내지 단계 e가 요망되는 필름 두께를 얻을 때까지 반복되는 방법이 제공된다.
상기 단계는 본원에 기술된 방법에 대한 1 사이클을 규정하며, 이러한 사이클은 요망되는 실리콘-함유 필름 두께를 얻을 때까지 반복될 수 있다. 이러한 구체예 또는 다른 구체예에서, 본원에 기술된 방법의 단계가 다양한 순서로 수행될 수 있고, 순차적으로 또는 동시에(예를 들어, 다른 단계의 적어도 일부분 동안에) 수행될 수 있고, 이들의 임의의 조합으로 수행될 수 있다. 전구체 및 산소-함유 공급원을 공급하는 개개 단계는 얻어진 실리콘-함유 필름의 화학양론적 조성을 변경시키기 위해 이러한 것들을 공급하기 위한 시간의 기간을 변화시킴으로써 수행될 수 있으며, 항상 이용 가능한 실리콘에 비해 화학양론적 양 미만으로 산소를 사용한다.
다성분 규소-함유 필름에 대해, 기타 전구체, 예를 들어, 규소-함유 전구체, 질소-함유 전구체, 환원제, 또는 기타 시약이 대안적으로 반응기 챔버에 도입될 수 있다.
본원에 기재된 방법의 추가의 구체예에서, 규소-함유 필름은 열 CVD 공정을 이용하여 증착된다. 이러한 구체예에서, 이러한 방법은,
a. 주위 온도 내지 약 700℃ 범위의 하나 이상의 온도로 가열되는 반응기에 하나 이상의 기판을 넣는 단계;
b. 하나 이상의 유기아미노실란 전구체, 즉, 하기 화학식(A) 내지 화학식(E) 중 하나로 표현되는 화합물을 도입하는 단계; 및
c. 산소-함유 공급원을 반응기에 제공하여 하나 이상의 유기아미노실란 전구체와 부분적으로 또는 전체적으로 반응시키고, 하나 이상의 기판 상에 규소-함유 필름을 증착시키는 단계를 포함한다:
Figure pat00026
상기 식에서, R1은 선형 또는 분지형 C1 내지 C10 알킬기, 선형 또는 분지형 C3 내지 C10 알케닐기, 선형 또는 분지형 C3 내지 C10 알키닐기, C3 내지 C10 사이클릭 알킬기, 및 C5 내지 C10 아릴기로부터 선택되고; R2는 수소, 선형 또는 분지형 C1 내지 C10 알킬기, 선형 또는 분지형 C3 내지 C10 알케닐기, 선형 또는 분지형 C3 내지 C10 알키닐기, C3 내지 C10 사이클릭 알킬기, 및 C5 내지 C10 아릴기로부터 선택되고; R3과 R4는 각각 독립적으로 선형 또는 분지형 C1 내지 C10 알킬렌기, 선형 또는 분지형 C3 내지 C6 알케닐렌기, 선형 또는 분지형 C3 내지 C6 알키닐렌기, C3 내지 C10 사이클릭 알킬렌기, C3 내지 C10 헤테로-사이클릭 알킬렌기, C5 내지 C10 아릴렌기, 및 C5 내지 C10 헤테로-아릴렌기로부터 선택되고; 화학식(A)에서의 n은 1 또는 2이고; 화학식(A)에서의 m은 0, 1, 2 또는 3이고; 화학식(E)에서의 p와 q는 1 또는 2이고; 임의로 화학식(D)에서의 R3은 두 개의 규소 원자와 하나 이상의 질소 원자를 지닌 4원, 5원 또는 6원 고리로부터 선택된 고리를 형성한다.
CVD 방법의 특정 구체예에서, 반응기는 도입 단계 동안 10 mTorr 내지 760 Torr 범위의 압력에서 유지된다. 상기 단계는 본원에 기재된 방법에 대해 1회 주기를 규정하고, 이러한 주기는 요망되는 두께의 규소-함유 필름이 얻어질 때까지 반복될 수 있다. 상기 또는 다른 구체예에서, 본원에 기재된 방법의 단계들이 다양한 순서로 수행될 수 있고, 순차적으로 또는 동시에(예를 들어, 또 다른 단계의 적어도 일부 동안) 수행될 수 있고, 이들의 임의의 조합으로 수행될 수 있는 것이 이해된다. 전구체 및 산소-함유 공급원을 공급하는 각각의 단계는 생성되는 규소-함유 필름의 화학량론적 조성을 변화시키기 위해 전구체 및 산소-함유 공급원을 공급하는 기간을 다양화시킴으로써 수행될 수 있으나, 항상 이용가능한 실리콘에 비해 더 적은 화학량론적 양으로 산소를 이용한다.
본원에 기재된 방법의 추가의 구체예에서, 비정질 또는 결정질 규소 필름이 본원에 기재된 화학식(A) 내지 화학식(E)의 전구체를 이용하여 증착된다. 이러한 구체예에서, 이러한 방법은,
a. 주위 온도 내지 약 700℃ 범위의 하나 이상의 온도로 가열되는 반응기에 하나 이상의 기판을 넣는 단계;
b. 하나 이상의 유기아미노실란 전구체, 즉, 하기 화학식(A) 내지 화학식(E) 중 하나로 표현되는 화합물을 도입하는 단계; 및
c. 환원제 공급원을 반응기에 제공하여 하나 이상의 유기아미노실란 전구체와 부분적으로 또는 전체적으로 반응시키고, 하나 이상의 기판 상에 규소-함유 필름을 증착시키는 단계를 포함한다:
Figure pat00027
상기 식에서, R1은 선형 또는 분지형 C1 내지 C10 알킬기, 선형 또는 분지형 C3 내지 C10 알케닐기, 선형 또는 분지형 C3 내지 C10 알키닐기, C3 내지 C10 사이클릭 알킬기, 및 C5 내지 C10 아릴기로부터 선택되고; R2는 수소, 선형 또는 분지형 C1 내지 C10 알킬기, 선형 또는 분지형 C3 내지 C10 알케닐기, 선형 또는 분지형 C3 내지 C10 알키닐기, C3 내지 C10 사이클릭 알킬기, 및 C5 내지 C10 아릴기로부터 선택되고; R3과 R4는 각각 독립적으로 선형 또는 분지형 C1 내지 C10 알킬렌기, 선형 또는 분지형 C3 내지 C6 알케닐렌기, 선형 또는 분지형 C3 내지 C6 알키닐렌기, C3 내지 C10 사이클릭 알킬렌기, C3 내지 C10 헤테로-사이클릭 알킬렌기, C5 내지 C10 아릴렌기, 및 C5 내지 C10 헤테로-아릴렌기로부터 선택되고; 화학식(A)에서의 n은 1 또는 2이고; 화학식(A)에서의 m은 0, 1, 2 또는 3이고; 화학식(E)에서의 p와 q는 1 또는 2이고; 임의로 화학식(D)에서의 R3은 두 개의 규소 원자와 하나 이상의 질소 원자를 지닌 4원, 5원 또는 6원 고리로부터 선택된 고리를 형성한다.
환원제는 수소, 수소 플라즈마, 염화수소로 이루어진 군으로부터 선택된다. CVD 방법의 특정 구체예에서, 반응기는 도입 단계 동안 10 mTorr 내지 760 Torr 범위의 압력에서 유지된다. 상기 단계는 본원에 기재된 방법에 대해 1회 주기를 규정하고, 이러한 주기는 요망되는 두께의 필름이 얻어질 때까지 반복될 수 있다.
다성분 규소-함유 필름에 대해, 기타 전구체, 예를 들어, 규소-함유 전구체, 질소-함유 전구체, 산소-함유 공급원, 환원제, 및/또는 기타 시약이 대안적으로 반응기 챔버에 도입될 수 있다.
본원에 기재된 방법의 추가의 구체예에서, 규소-함유 필름은 열 CVD 공정을 이용하여 증착된다. 이러한 구체예에서, 이러한 방법은,
a. 주위 온도 내지 약 700℃ 범위의 하나 이상의 온도로 가열되는 반응기에 하나 이상의 기판을 넣는 단계;
b. 하나 이상의 유기아미노실란 전구체, 즉, 하기 화학식(A) 내지 화학식(E) 중 하나로 표현되는 화합물을 도입하는 단계; 및
c. 질소-함유 공급원을 반응기에 제공하여 하나 이상의 유기아미노실란 전구체와 부분적으로 또는 전체적으로 반응시키고, 하나 이상의 기판 상에 규소-함유 필름을 증착시키는 단계를 포함한다:
Figure pat00028
상기 식에서, R1은 선형 또는 분지형 C1 내지 C10 알킬기, 선형 또는 분지형 C3 내지 C10 알케닐기, 선형 또는 분지형 C3 내지 C10 알키닐기, C3 내지 C10 사이클릭 알킬기, 및 C5 내지 C10 아릴기로부터 선택되고; R2는 수소, 선형 또는 분지형 C1 내지 C10 알킬기, 선형 또는 분지형 C3 내지 C10 알케닐기, 선형 또는 분지형 C3 내지 C10 알키닐기, C3 내지 C10 사이클릭 알킬기, 및 C5 내지 C10 아릴기로부터 선택되고; R3과 R4는 각각 독립적으로 선형 또는 분지형 C1 내지 C10 알킬렌기, 선형 또는 분지형 C3 내지 C6 알케닐렌기, 선형 또는 분지형 C3 내지 C6 알키닐렌기, C3 내지 C10 사이클릭 알킬렌기, C3 내지 C10 헤테로-사이클릭 알킬렌기, C5 내지 C10 아릴렌기, 및 C5 내지 C10 헤테로-아릴렌기로부터 선택되고; 화학식(A)에서의 n은 1 또는 2이고; 화학식(A)에서의 m은 0, 1, 2 또는 3이고; 화학식(E)에서의 p와 q는 1 또는 2이고; 임의로 화학식(D)에서의 R3은 두 개의 규소 원자와 하나 이상의 질소 원자를 지닌 4원, 5원 또는 6원 고리로부터 선택된 고리를 형성한다.
CVD 방법의 특정 구체예에서, 반응기는 도입 단계 동안 10 mTorr 내지 760 Torr 범위의 압력에서 유지된다.
본원에 기재된 방법의 추가의 구체예에서, 유기아미노실란 전구체는 비정질 필름, 결정질 규소 필름, 또는 이들의 혼합물인 실리콘 함유 필름을 증착시키기 위해 사용된다. 이들 구체예에서, 실리콘 함유 필름은,
주위 온도 내지 약 700℃ 범위의 온도로 가열되고, 1 Torr 또는 그 미만의 압력으로 유지되는 반응기에 기판을 넣는 단계;
하나 이상의 유기아미노실란 전구체, 즉, 하기 화학식(A) 내지 화학식(E) 중 하나로 표현되는 화합물을 도입하는 단계; 및
환원제를 반응기에 제공하여 하나 이상의 유기아미노실란 전구체와 부분적으로 또는 전체적으로 반응시키고, 하나 이상의 기판 상에 규소-함유 필름을 증착시키는 단계로서, 상기 환원제가 수소, 수소 플라즈마, 또는 염화수소로 이루어진 군으로부터 선택된 하나 이상의 것인 단계를 포함하는 ALD 또는 사이클릭 CVD로부터 선택된 증착 방법을 이용하여 형성된다:
Figure pat00029
상기 식에서, R1은 선형 또는 분지형 C1 내지 C10 알킬기, 선형 또는 분지형 C3 내지 C10 알케닐기, 선형 또는 분지형 C3 내지 C10 알키닐기, C3 내지 C10 사이클릭 알킬기, 및 C5 내지 C10 아릴기로부터 선택되고; R2는 수소, 선형 또는 분지형 C1 내지 C10 알킬기, 선형 또는 분지형 C3 내지 C10 알케닐기, 선형 또는 분지형 C3 내지 C10 알키닐기, C3 내지 C10 사이클릭 알킬기, 및 C5 내지 C10 아릴기로부터 선택되고; R3과 R4는 각각 독립적으로 선형 또는 분지형 C1 내지 C10 알킬렌기, 선형 또는 분지형 C3 내지 C6 알케닐렌기, 선형 또는 분지형 C3 내지 C6 알키닐렌기, C3 내지 C10 사이클릭 알킬렌기, C3 내지 C10 헤테로-사이클릭 알킬렌기, C5 내지 C10 아릴렌기, 및 C5 내지 C10 헤테로-아릴렌기로부터 선택되고; 화학식(A)에서의 n은 1 또는 2이고; 화학식(A)에서의 m은 0, 1, 2 또는 3이고; 화학식(E)에서의 p와 q는 1 또는 2이고; 임의로 화학식(D)에서의 R3은 두 개의 규소 원자와 하나 이상의 질소 원자를 지닌 4원, 5원 또는 6원 고리로부터 선택된 고리를 형성한다.
상기 단계는 본원에 기재된 방법에 대해 1회 주기를 규정하고, 이러한 주기는 요망되는 두께의 규소-함유 필름이 얻어질 때까지 반복될 수 있다. 필름의 요망되는 두께는 1Å 내지 10,000Å의 범위일 수 있다.
또 다른 양태에서, 플라즈마 강화 원자층 증착(PEALD) 공정 및 플라즈마 강화 사이클릭 화학적 기상 증착(PECCVD) 공정으로부터 선택된 증착 공정을 이용하여 기판의 하나 이상의 표면 상에 규소-함유 필름을 형성시키는 방법이 제공되며, 이러한 방법은,
a. 기판을 ALD 반응기에 제공하는 단계;
b. 하기 화학식(A) 내지 화학식(E) 중 하나로 표현되는 화합물을 포함하는 하나 이상의 유기아미노실란 전구체를 ALD 반응기에 제공하는 단계;
c. ALD 반응기를 불활성 가스로 퍼징하는 단계;
d. 플라즈마 공급원을 ALD 반응기에 제공하는 단계; 및
e. ALD 반응기를 불활성 가스로 퍼징하는 단계를 포함하며
상기 단계 b 내지 e가 요망되는 규소-함유 필름 두께가 얻어질 때까지 반복된다:
Figure pat00030
상기 식에서, R1은 선형 또는 분지형 C1 내지 C10 알킬기, 선형 또는 분지형 C3 내지 C10 알케닐기, 선형 또는 분지형 C3 내지 C10 알키닐기, C3 내지 C10 사이클릭 알킬기, 및 C5 내지 C10 아릴기로부터 선택되고; R2는 수소, 선형 또는 분지형 C1 내지 C10 알킬기, 선형 또는 분지형 C3 내지 C10 알케닐기, 선형 또는 분지형 C3 내지 C10 알키닐기, C3 내지 C10 사이클릭 알킬기, 및 C5 내지 C10 아릴기로부터 선택되고; R3과 R4는 각각 독립적으로 선형 또는 분지형 C1 내지 C10 알킬렌기, 선형 또는 분지형 C3 내지 C6 알케닐렌기, 선형 또는 분지형 C3 내지 C6 알키닐렌기, C3 내지 C10 사이클릭 알킬렌기, C3 내지 C10 헤테로-사이클릭 알킬렌기, C5 내지 C10 아릴렌기, 및 C5 내지 C10 헤테로-아릴렌기로부터 선택되고; 화학식(A)에서의 n은 1 또는 2이고; 화학식(A)에서의 m은 0, 1, 2 또는 3이고; 화학식(E)에서의 p와 q는 1 또는 2이고; 임의로 화학식(D)에서의 R3은 두 개의 규소 원자와 하나 이상의 질소 원자를 지닌 4원, 5원 또는 6원 고리로부터 선택된 고리를 형성한다.
플라즈마 공급원은 수소 플라즈마, 아르곤 플라즈마, 헬륨 플라즈마, 네온 플라즈마, 제논 플라즈마, 및 이들의 혼합물로 이루어진 군으로부터 선택된다. 규소-함유 필름은 실리콘 카르보니트라이드, 실리콘 카바이드, 실리콘 니트라이드, 실리콘 카르보니트라이드, 및 실리콘 카르복시니트라이드로 이루어진 군으로부터 선택된다.
또 다른 양태에서, 통상적인 실리콘 전구체보다 낮은 온도에서 원자층 증착 또는 사이클릭 화학적 기상 증착 공정 또는 화학적 기상 증착을 통해 비정질 또는 결정질 규소 필름을 증착시키는 방법이 제공되며, 이러한 방법은,
a. 기판을 반응기에 제공하는 단계;
b. 반응기에 하나 이상의 유기아미노실란 전구체, 즉, 하기 화학식(A) 내지 화학식(E) 중 하나로 표현되는 화합물을 도입하는 단계; 및
c. 반응기를 퍼지 가스로 퍼징하는 단계를 포함하며,
상기 단계 b 내지 c가 요망되는 두께의 실리콘 필름이 얻어질 때까지 반복된다:
Figure pat00031
상기 식에서, R1은 선형 또는 분지형 C1 내지 C10 알킬기, 선형 또는 분지형 C3 내지 C10 알케닐기, 선형 또는 분지형 C3 내지 C10 알키닐기, C3 내지 C10 사이클릭 알킬기, 및 C5 내지 C10 아릴기로부터 선택되고; R2는 수소, 선형 또는 분지형 C1 내지 C10 알킬기, 선형 또는 분지형 C3 내지 C10 알케닐기, 선형 또는 분지형 C3 내지 C10 알키닐기, C3 내지 C10 사이클릭 알킬기, 및 C5 내지 C10 아릴기로부터 선택되고; R3과 R4는 각각 독립적으로 선형 또는 분지형 C1 내지 C10 알킬렌기, 선형 또는 분지형 C3 내지 C6 알케닐렌기, 선형 또는 분지형 C3 내지 C6 알키닐렌기, C3 내지 C10 사이클릭 알킬렌기, C3 내지 C10 헤테로-사이클릭 알킬렌기, C5 내지 C10 아릴렌기, 및 C5 내지 C10 헤테로-아릴렌기로부터 선택되고; 화학식(A)에서의 n은 1 또는 2이고; 화학식(A)에서의 m은 0, 1, 2 또는 3이고; 화학식(E)에서의 p와 q는 1 또는 2이고; 임의로 화학식(D)에서의 R3은 두 개의 규소 원자와 하나 이상의 질소 원자를 지닌 4원, 5원 또는 6원 고리로부터 선택된 고리를 형성한다.
화학식(A) 내지 화학식(E) 전구체는 가열시 H2Si: 디-라디칼 또는 H3Si 라디칼을 생성시킬 수 있고, 이는 기판의 표면 상에 Si-Si 결합 또는 앵커를 함유하는 올리고머 형성을 촉진할 수 있는 것으로 여겨진다. 상기 올리고머 또는 앵커링된 SiH2 또는 SiH3는 비정질 규소 필름을 추가로 형성할 수 있다. 상기 또는 기타 구체예에서, 상기 올리고머는 실리콘 또는 실리콘 옥사이드 필름의 이후의 증착을 위한 시드층으로 작용한다.
특정의 구체예에서, 본원에 기재된 화학식(A) 내지 화학식(E)를 갖는 유기아미노실란 전구체는 또한 금속 함유 필름, 비제한적인 예로, 금속 옥사이드 필름 또는 금속 니트라이드 필름에 대한 도펀트(dopant)로 사용될 수 있다. 이들 구체예에서, 금속 함유 필름은 금속 알콕시드, 금속 아미드, 또는 휘발성 유기금속 전구체를 이용하여 ALD 또는 CVD 공정, 예를 들어, 본원에 기재된 공정을 이용하여 증착된다. 본원에 개시된 방법과 함께 사용될 수 있는 적합한 금속 알콕시드 전구체의 예는, 그룹 3 내지 6 금속 알콕시드, 알콕시 및 알킬 치환된 사이클로펜타디에닐 리간드 둘 모두를 갖는 그룹 3 내지 6 금속 복합체, 알콕시 및 알킬 치환된 피롤릴 리간드 둘 모두를 갖는 그룹 3 내지 6 금속 복합체, 알콕시 및 디케토네이트 리간드 둘 모두를 갖는 그룹 3 내지 6 금속 복합체, 알콕시 및 케토에스테르 리간드 둘 모두를 갖는 그룹 3 내지 6 금속 복합체를 포함하나, 이에 제한되지는 않는다. 본원에 개시된 방법과 함께 사용될 수 있는 적합한 금속 아미드 전구체의 예는 테트라키스(디메틸아미노)지르코늄(TDMAZ), 테트라키스(디에틸아미노)지르코늄(TDEAZ), 테트라키스(에틸메틸아미노)지르코늄(TEMAZ), 테트라키스(디메틸아미노)하프늄(TDMAH), 테트라키스(디에틸아미노)하프늄(TDEAH), 및 테트라키스(에틸메틸아미노)하프늄(TEMAH), 테트라키스(디메틸아미노)티타늄(TDMAT), 테트라키스(디에틸아미노)티타늄(TDEAT), 테트라키스(에틸메틸아미노)티타늄(TEMAT), 3차-부틸이미노 트리(디에틸아미노)탄탈룸(TBTDET), 3차-부틸이미노 트리(디메틸아미노)탄탈룸(TBTDMT), 3차-부틸이미노 트리(에틸메틸아미노)탄탈룸(TBTEMT), 에틸이미노 트리(디에틸아미노)탄탈룸(EITDET), 에틸이미노 트리(디메틸아미노)탄탈룸(EITDMT), 에틸이미노 트리(에틸메틸아미노)탄탈룸(EITEMT), 3차-아밀이미노 트리(디메틸아미노)탄탈룸(TAIMAT), 3차-아밀이미노 트리(디에틸아미노)탄탈룸, 펜타키스(디메틸아미노)탄탈룸, 3차-아밀이미노 트리(에틸메틸아미노)탄탈룸, 비스(3차-부틸이미노)비스(디메틸아미노)텅스텐(BTBMW), 비스(3차-부틸이미노)비스(디에틸아미노)텅스텐, 비스(3차-부틸이미노)비스(에틸메틸아미노)텅스텐, 및 이들의 조합물을 포함하나, 이에 제한되지는 않는다. 본원에 개시된 방법과 함께 사용될 수 있는 적합한 유기금속 전구체의 예는 그룹 3 금속 사이클로펜타디에닐 또는 알킬 사이클로펜타디에닐을 포함하나, 이에 제한되지는 않는다. 본원의 예시적인 그룹 3 내지 6 금속은 Y, La, Ce, Pr, Nd, Sm, Eu, Gd, Tb, Dy, Er, Yb, Lu, Ti, Hf, Zr, V, Nb, Ta, Cr, Mo, 및 W를 포함하나, 이에 제한되지는 않는다.
특정의 구체예에서, 생성된 규소-함유 필름 또는 코팅은 증착후 처리, 비제한적인 예로, 플라즈마 처리, 화학적 처리, 자외선 노출, 전자선 노출, 및/또는 필름의 하나 이상의 특성에 영향을 미치는 다른 처리에 노출될 수 있다.
특정의 구체예에서, 본원에 기재된 규소-함유 필름은 6 또는 그 미만의 유전 상수를 갖는다. 상기 또는 기타 구체예에서, 필름은 약 5 또는 그 미만, 또는 약 4 또는 그 미만, 또는 약 3.5 또는 그 미만의 유전 상수를 가질 수 있다. 그러나, 다른 유전 상수(예를 들어, 더 높거나 낮은 유전 상수)를 갖는 필름이 필름의 요망되는 최종-용도에 따라 형성될 수 있는 것이 예견된다. 본원에 기재된 유기아미노실란 전구체 및 공정을 이용하여 형성되는 실리콘 함유 또는 규소-함유 필름의 예는 화학식 SixOyCzNvHw를 가지며, 상기 식에서, 예를 들어, XPS 또는 기타 수단에 의해 결정시 Si는 약 10% 내지 약 40%의 범위이고, O는 약 0% 내지 약 65%의 범위이고, C는 약 0% 내지 약 75% 또는 약 0% 내지 약 50%의 범위이고, N은 약 0% 내지 약 75% 또는 약 0% 내지 50%의 범위이고, H는 약 0% 내지 약 50%의 원자 중량 퍼센트 % 범위이고, 여기서 x+y+z+v+w는 100 원자 중량 퍼센트이다.
이전에 언급한 대로, 본원에 기재된 방법은 기판의 적어도 일부에 규소-함유 필름을 증착시키는데 이용될 수 있다. 적합한 기판의 예는 실리콘, SiO2, Si3N4, OSG, FSG, 실리콘 카바이드, 수소화된 실리콘 카바이드, 실리콘 니트라이드, 수소화된 실리콘 니트라이드, 실리콘 카르보니트라이드, 수소화된 실리콘 카르보니트라이드, 보로니트라이드, 반사방지 코팅, 포토레지스트, 가요성 기판, 유기 폴리머, 다공성 유기 및 무기 물질, 구리 및 알루미늄과 같은 금속, 및 비제한적으로 TiN, Ti(C)N, TaN, Ta(C)N, Ta, W, 또는 WN과 같은 확산 장벽층을 포함하지만 이에 제한되는 것은 아니다. 필름은, 예를 들어 화학적 기계적 연마(CMP) 및 비등방성 식각 공정과 같은 다양한 후속 가공 단계와 양립할 수 있다.
증착된 필름은 컴퓨터 칩, 광학 디바이스, 자기 정보 저장소, 지지 물질 또는 기판상의 코팅, 미세전기기계 시스템(MEMS), 나노전기기계 시스템, 박막 트랜지스터(TFT), 발광 다이오드(LED), 유기발광 다이오드(OLED), IGZO, 및 액정 디스플레이(LCD)를 포함하지만 이에 제한되지 않는 응용분야를 지닌다.
하기 실시예는 유기아미노실란 전구체를 제조하는 방법뿐 아니라 본원에 기재된 규소-함유 필름을 증착시키는 방법을 설명하며 어떠한 방식으로든 이를 제한하려는 의도는 아니다.
실시예
하기 실시예에서, 달리 언급되지 않는 한, 특성들은 중간 저항(8-12 Ωcm) 단결정 실리콘 웨이퍼 기판상에 증착된 샘플 필름으로부터 수득되었다.
실시예 1: 1-디-이소-프로필아미노-1,4-디실라부탄의 합성
기계적 교반기, 응축기, 및 첨가 깔때기가 구비된 3-목 둥근 바닥 플라스크에서, 헥산 중 1 당량의 1,4-디실라부탄의 용액을 냉수욕으로 -20℃까지 냉각시켰다. 교반하면서, 테트라하이드로푸란 (THF) 중 0.5 당량의 리튬 디이소프로필아미드의 용액을 첨가 깔때기를 통해 적가하였다. 첨가가 완료된 후에, 반응 혼합물이 실온으로 가온되게 하였다. 반응 혼합물을 실온에서 밤새 교반시킨 후 여과시켰다. 반응으로부터 부산물로서 형성된 백색 침전물인 리튬 하이드라이드를 여과해 내었다. 여과액 중의 용매 및 과량의 1,4-디실라부탄을 증류에 의해 제거하였다. 생성물인 1-디-이소-프로필아미노-1,4-디실라부탄을 진공 증류에 의해 수득하였다. 가스 크로마토그래피 (GC)는 이것이 >98% 순수한 1-디-이소-프로필아미노-1,4-디실라부탄임을 나타내었다. GC-MS는 다음 피크를 나타내었다: 189 (M+), 188 (M-1), 174 (M-15), 159, 144, 130, 102.
실시예 2: 1-t-부틸-1-아자-2-5-디실라사이클로펜탄의 합성
기계적 교반기, 응축기, 및 첨가 깔때기가 구비된 3-목 둥근 바닥 플라스크에서, 헥산 중 1 당량의 1,4-디실라부탄의 용액을 냉수욕으로 -20℃까지 냉각시켰다. 교반하면서, THF 중 0.5 당량의 리튬 t-부틸아미드의 용액을 첨가 깔때기를 통해 적가하였다. 첨가가 완료된 후에, 반응 혼합물이 실온으로 가온되게 하였다. 반응 혼합물을 실온에서 밤새 교반시킨 후 여과시켰다. 반응으로부터 부산물로서 형성된 백색 침전물인 리튬 하이드라이드를 여과해 내었다. 여과액 중의 용매 및 과량의 1,4-디실라부탄을 증류에 의해 제거하였다. 생성물인 1-t-부틸-1-아자-2-5-디실라사이클로펜탄을 진공 증류에 의해 수득하였다. 가스 크로마토그래피 (GC)는 이것이 >98% 순수함을 나타내었다. GC-MS는 다음 피크를 나타내었다: 159 (M+), 158 (M-1), 144 (M-15), 128, 114, 100.
실시예 3: 1,4-비스(디-이소-프로필아미노)-1,4-디실라부탄의 합성
기계적 교반기, 응축기, 및 첨가 깔때기가 구비된 3-목 둥근 바닥 플라스크에서, 헥산 중 0.5 당량의 1,4-디실라부탄의 용액을 냉수욕으로 -20℃까지 냉각시켰다. 교반하면서, THF 중 1 당량의 리튬 디-이소-프로필아미드의 용액을 첨가 깔때기를 통해 적가하였다. 첨가가 완료된 후에, 반응 혼합물이 실온으로 가온되게 하였다. 반응 혼합물을 실온에서 밤새 교반시킨 후 여과시켰다. 반응으로부터 부산물로서 형성된 백색 침전물인 리튬 하이드라이드를 여과해 내었다. 여과액 중의 용매를 증류에 의해 제거하였다. 생성물인 1,4-비스(디-이소-프로필아미노)-1,4-디실라부탄을 진공 증류에 의해 수득하였다. B.P. 124℃/1 torr. GC-MS는 다음 피크를 나타내었다: 288 (M+), 287 (M-1), 243, 229, 207, 188, 144, 130. 1H NMR: 4.59 (s, 4H), 3.03 (m, 4H), 1.08 (d, 24H), 0.73 (t, 4H). 13C NMR: 47.76, 24.42, 7.76.
실시예 4: 1-디에틸아미노-1,4-디실라부탄 및 1,4-비스(디에틸아미노)-1,4-디실라부탄의 합성
신틸레이션 바이알에서, 2 당량의 1,4-디실라부탄 및 1 당량의 디에틸아민을 합쳤다. 여기에, 1 몰%의 트리루테늄 도데카카르보닐 촉매를 THF 중의 용액으로서 첨가하고, 혼합물을 밤새 교반시켰다. 용액에서 관찰되는 두 주요 생성물은 1-디에틸아미노-1,4-디실라부탄과 1,4-비스(디에틸아미노)-1,4-디실라부탄이었다. GC-MS는 다음 피크를 나타내었다: (a) 1-디에틸아미노-1,4-디실라부탄: 161 (M+), 146 (M-15), 130, 116, 102, 89, 72; (b) 1,4-비스(디에틸아미노)-1,4-디실라부탄: 232 (M+), 217 (M-15), 203, 187, 173, 160, 146, 130, 116.
화학식 A 내지 E의 추가의 유기아미노실란 전구체를 실시예 1 내지 4와 유사한 방식으로 제조하였고 질량 분광학(MS)에 의해 특성규명하였다. 각각의 유기아미노실란 전구체의 분자량(MW), 구조, 및 상응하는 주요 MS 단편화 피크를 표 1에 제공하여 이들의 식별을 확실히 한다.
표 1. 화학식 A, B, C, D, 및 E를 갖는 유기아미노실란.
Figure pat00032
Figure pat00033
Figure pat00034
Figure pat00035
Figure pat00036
Figure pat00037
Figure pat00038
Figure pat00039
실시예 5: 1-디-이소-프로필아미노-1-4-디실라부탄 및 오존을 이용한 규소-함유 필름의 원자층 증착
하기 증착은 55℃ 및 100℃의 두 온도 조건에서 실험실 규모 ALD 프로세싱 도구 상에서 수행되었다. 실리콘 전구체를 증기 드로우(vapor draw)에 의해 쳄버에 전달하였다. 증착 구역으로 들어가기 전에 모든 가스(예컨대, 퍼지 및 반응물 가스 또는 전구체 및 산소원)를 그에 맞춰 예열하였다. 가스 및 전구체 유량은 고속 구동되는 ALD 다이어프램 밸브로 제어되었다. 증착에 이용되는 기판은 12-인치 길이의 실리콘 스트립이었다. 열전대를 샘플 홀더에 부착시켜 증착 동안 기판 온도를 확인하였다. 증착은 산소원 가스로서 오존(6-19%wt)을 이용하여 수행되었다.
전형적인 ALD 사이클은,
a. ALD 반응기에서 기판을 제공하는 단계;
b. ALD 반응기에서 하나 이상의 유기아미노실란 전구체를 6초 동안 제공하는 단계;
c. ALD 반응기를 불활성 가스로 6초 동안 퍼지시키는 단계;
d. ALD 반응기에서 오존을 4초 동안 제공하는 단계;
e. ALD 반응기를 불활성 가스로 6초 동안 퍼지시키는 단계를 포함하며,
요망되는 두께의 필름이 얻어질 때까지 단계 b 내지 e를 반복한다.
필름으로부터의 반사 데이터를 미리-정해진 물리적 모델(예컨대, Lorentz Oscillator 모델)에 맞춤에 의해 필름의 두께 및 굴절률을 FilmTek 2000SE 엘립소미터를 이용하여 측정하였다. 습식 식각율을 탈이온수에서 49% 하이드로플루오르(HF)산의 1% 용액을 이용하여 실행시켰다. 열산화막 웨이퍼를 각각의 배치에 대한 참조로서 이용하여 용액 농도를 확인하였다. H2O 용액에서 1% HF에 대한 전형적인 열산화막 웨이퍼 습식 식각율은 0.5 Å/s이다. 식각 전과 후의 필름 두께를 이용하여 습식 식각율을 계산하였다. 하기 방정식을 이용하여 6-점 척도로부터 두께 불균일성을 계산하였다: %불균일성 = ((최대 - 최소)/(2* 평균)). 필름 원소 조성 및 밀도는 X-선 광전자 분광학(XPS)에 의해 특성규명된다. 성장률(GPC)은 생성된 필름의 두께를 사이클의 전체 수로 나눔에 의해 결정된다.
표 2. 1-디-이소-프로필아미노-1-4-디실라부탄 및 오존을 이용한 규소-함유 필름에 대한 가공 파라미터, 사이클 당 성장률(GPC) 및 굴절률
Figure pat00040
aEx. 필름 5는 유기아미노실란 전구체 투여 후 60초(s) 구동 시간을 이용하였다.
표 3. 1-디-이소-프로필아미노-1-4-디실라부탄 및 오존을 이용한 규소-함유 필름에 대한 XPS에 의해 측정된 필름 조성
Figure pat00041
도 1은 1-디-이소프로필아미노-1,4,-디실라부탄 필름 (100℃에서 Ex. 필름 1, 3, 5로부터의 평균 값) 및 Ex. 필름 4뿐 아니라 하기 유기아미노실란들을 이용하여 열적 ALD 공정을 통해 증착된 필름에 대한 사이클 당 성장률 대 온도를 제공한다: 비스(디에틸아미노)실란 (BDEAS: I. Suzuki, K. Yanagita, and C. Dussarrat, ECS Trans.3 (15), 119 (2007) and M.W. O'Neill, H. R. Bowen, A. Derecskei-Kovacs, K.S. Cuthill, B. Han and M. Xiao, Electrochemistry Society Interface Winter 2011, 33 (2011)), 비스(3차-부틸아미노)실란 (BTBAS: M.W. O'Neill, H. R. Bowen, A. Derecskei-Kovacs, K.S. Cuthill, B. Han and M. Xiao, Electrochemistry Society Interface Winter 2011, 33 (2011)), 비스(에틸메틸아미노)실란 (BEMAS: S.J.Won, H-S. Jung, S. Suh, Y. J. Choi, N.-I. Lee, C. S. Hwang, H. J. Kim, J. Vac. Sci. Technol. A 30(1), 01A126 (2012)), 트리스(디메틸아미노)실란 (TRDMAS: L. Han, and Z. Chen, Z. ECS Journal of Solid State Science and Technology 2(11): N228-N236 (2013)), 디-2차-부틸아미노실란 (DSBAS: A. Mallikarjunan, A. Derecskei-kovacs, H. Chandra, B. Han, M. Xiao, X. Lei, M.L.O. Neill, H. Liang, H. Bo, Z. Qingfan, H. Cheng, 13th International Conference on Atomic Layer Deposition (2013)). 도 1에 도시된 바와 같이, 본원에 기재된 유기아미노실란을 이용하여 증착된 규소-함유 필름은 참조된 다른 유기아미노실란 전구체에 비해 더 높은 성장률을 나타내었다. 추가로, 증착 온도는 100℃ 이하의 하나 이상의 온도로 확장될 수 있고, 예컨대 Ex. 필름 4는 55℃의 온도에서 증착되었다. 필름 중 탄소 농도는 오존 농도에 따라 0.3 wt% 내지 9.6 wt%의 범위인데, 이는 그에 따른 규소-함유 필름의 물리적 특성을 조정할 수 있음을 시사한다.
실시예 6: 1-디-이소-프로필아미노-1-4-디실라부탄 및 질소/아르곤 플라즈마를 이용한 규소-함유 필름의 플라즈마 강화 원자층 증착
실리콘 함유 필름의 증착은 1-디-이소-프로필아미노-1,4-디실라부탄 및 질소/아르곤 플라즈마를 이용하여 수행되었다. 실리콘 웨이퍼를 각각 100℃ 또는 300℃로 가열시켰다. 증착 공정은 300 mm 생산 도구, ASM Stellar 3000을 이용하여 수행되었고, 하기 공정 조건을 이용하여 1000회 반복되었다:
a. ALD 반응기에서 기판을 제공하는 단계;
b. 유기아미노실란 전구체: 1-디-이소-프로필아미노-1,4-디실라부탄을 도입시키는 단계;
전달 조건: Ar 캐리어 가스 200 sccm, 전구체 컨테이너는 실온에서 유지되었다
챔버 압력: 2 Torr
전구체 펄스: 1초
c. 불활성 가스 퍼지
아르곤 유량: 300 sccm
챔버 압력: 2 Torr
퍼지 시간: 5초
d. 질소/아르곤 플라즈마
아르곤 유량: 500 sccm
질소 유량: 200sccm
챔버 압력: 2 Torr
플라즈마 파워: 500W
플라즈마 시간: 5초
e. 퍼지 플라즈마
아르곤 유량: 300 sccm
챔버 압력: 2 Torr
퍼지 시간: 0.5초
증착율, 굴절률, 밀도뿐 아니라 생성된 필름의 희석 HF에서의 습식 식각율을 하기 표 4에 열거한다. 표 4의 데이터를 참조하면, 산소는 XPS 분석을 위해 샘플을 보낼 때 증착 후 공기 노출로부터 비롯되는 것으로 여겨진다.
표 4. 증착율, 증착된 필름의 굴절률 및 1-디-이소-프로필아미노-1-4-디실라부탄 및 질소/아르곤 플라즈마를 이용한 필름 특성
Figure pat00042
실시예 7: 1-디-이소-프로필아미노-1-4-디실라부탄 및 아르곤 플라즈마를 이용한 규소-함유 필름의 플라즈마 강화 원자층 증착
실리콘 함유 필름의 증착은 1-디-이소-프로필아미노-1,4-디실라부탄 및 아르곤 플라즈마를 이용하여 수행되었다. 실리콘 웨이퍼를 각각 100℃ 또는 300℃로 가열시켰다. 증착 공정은 300 mm 생산 도구, ASM Stellar 3000을 이용하여 수행되었고, 하기 공정 조건을 이용하여 1000회 반복되었다:
a. ALD 반응기에서 기판을 제공하는 단계
b. 유기아미노실란 전구체: 1-디-이소-프로필아미노-1,4-디실라부탄을 도입시키는 단계
전달 조건: Ar 캐리어 가스 200 sccm, 전구체 컨테이너는 실온에서 유지되었다
챔버 압력: 2 Torr
전구체 펄스: 1초
c. 불활성 가스 퍼지
아르곤 유량: 300 sccm
챔버 압력: 2 Torr
퍼지 시간: 2초
d. 아르곤 플라즈마
아르곤 유량: 500 sccm
챔버 압력: 2 Torr
플라즈마 파워: 500W
플라즈마 시간: 5초
e. 퍼지 플라즈마
아르곤 유량: 300 sccm
챔버 압력: 2 Torr
퍼지 시간: 2초
증착율, 굴절률, 필름 조성, 밀도뿐 아니라 희석 HF에서의 생성된 필름의 습식 식각율을 하기 표 5에 열거한다. 표 5의 데이터를 참조하면, 산소는 XPS 분석을 위해 샘플을 보낼 때 증착 후 공기 노출로부터 비롯되는 것으로 여겨진다.
표 5. 증착율, 증착된 필름의 굴절률 및 1-디-이소-프로필아미노-1-4-디실라부탄 및 아르곤 플라즈마를 이용한 필름 특성
Figure pat00043
0.05 Å/s 미만의 희석 HF에서의 습식 식각율은 동일한 조건하에서의 전형적인 열산화막 필름 (0.5 Å/s)의 습식 식각율보다 훨씬 낮은데, 이는 본원에 기재된 유기아미노실란이 그로부터 증착된 그에 따른 규소-함유 필름의 특성에 영향을 미침을 입증한다.

Claims (52)

  1. 하기 화학식(A) 내지 화학식(E) 중 하나로 표현되는 화합물을 포함하는 유기아미노실란:
    Figure pat00044

    상기 식에서,
    R1은 선형 또는 분지형 C1 내지 C10 알킬기, 선형 또는 분지형 C3 내지 C10 알케닐기, 선형 또는 분지형 C3 내지 C10 알키닐기, C3 내지 C10 사이클릭 알킬기, 및 C5 내지 C10 아릴기로부터 선택되고;
    R2는 수소, 선형 또는 분지형 C1 내지 C10 알킬기, 선형 또는 분지형 C3 내지 C10 알케닐기, 선형 또는 분지형 C3 내지 C10 알키닐기, C3 내지 C10 사이클릭 알킬기, 및 C5 내지 C10 아릴기로부터 선택되고,
    R3과 R4는 각각 독립적으로 선형 또는 분지형 C1 내지 C10 알킬렌기, 선형 또는 분지형 C3 내지 C6 알케닐렌기, 선형 또는 분지형 C3 내지 C6 알키닐렌기, C3 내지 C10 사이클릭 알킬렌기, C3 내지 C10 헤테로-사이클릭 알킬렌기, C5 내지 C10 아릴렌기, 및 C5 내지 C10 헤테로-아릴렌기로부터 선택되고;
    화학식(A)에서의 n은 1 또는 2이고;
    화학식(A)에서의 m은 0, 1, 2 또는 3이고;
    화학식(E)에서의 p와 q는 1 또는 2이고;
    임의로 화학식(D)에서의 R3은 두 개의 규소 원자와 하나 이상의 질소 원자를 지닌 4원, 5원 또는 6원 고리로부터 선택된 고리를 형성한다.
  2. 제 1항에 있어서, R1과 R2가 함께 연결되어 고리를 형성하는 유기아미노실란.
  3. 제 1항에 있어서, R1과 R2가 동일하고 메틸, 에틸, 이소-프로필, n-프로필, 및 2차-부틸로 이루어진 군으로부터 선택되며 R3이 메틸렌 및 에틸렌으로 이루어진 군으로부터 선택되고; n=1; 및 m=0인 화학식(A)을 지닌 화합물을 포함하는 유기아미노실란.
  4. 제 1항에 있어서, R3이 에틸렌 또는 프로필렌인 경우에 R1이 이소프로필(Pri)이 아닌 화학식(D)을 지니는 화합물을 포함하는 유기아미노실란.
  5. 제 1항에 있어서, 화합물이 1-디메틸아미노-1,3-디실라프로판, 디-이소프로필아미노-1,3-디실라프로판, 1-디-2차부틸아미노-1,3-디실란 프로판, 1-디-이소부틸아미노-1,3-디실라프로판, 1-디-3차-펜틸아미노-1,3-디실라프로판, 1-디에틸아미노-1,3-디실라프로판, 1-디메틸아미노-1,4-디실라부탄, 1-디에틸아미노-1,4-디실라부탄, 1-디-이소프로필아미노-1,4-디실라부탄, 1,3-비스(디메틸아미노)-1,3-디실라프로판, 1,3-비스(디-이소프로필아미노)-1,3-디실라프로판, 1,3-비스(디-2차-부틸아미노)-1,3-디실라프로판, 1,3-비스(디-이소부틸아미노-1,3-디실라프로판, 1,3-비스(디-3차-펜틸아미노)-1,3-디실라프로판, 1,3-비스(디에틸아미노)-1,3-디실라프로판, 1,4-비스(디메틸아미노)-1,4-디실라부탄, 1,4-비스(디에틸아미노)-1,4-디실라부탄, 1,4-비스(디-이소프로필아미노)-1,3-디실라부탄, 1,4-비스(디-2차-부틸아미노)-1,4-디실라부탄, 1,4-비스(디-이소부틸아미노)-1,4-디실라부탄, 1,4-비스(이소프로필-n-프로필-아미노)-1,4-디실라부탄, 1,3-비스(에틸메틸아미노)-1,3-디실라부탄, 및 1,4-비스(에틸메틸아미노)-1,4-디실라부탄으로 이루어진 군으로부터 선택된 하나 이상의 화합물인 화학식(A)을 지니는 화합물을 포함하는 유기아미노실란.
  6. 제 1항에 있어서, 1-디-이소프로필아미노-1,4-디실라부탄, 1-에틸메틸아미노-1,4-디실라부탄, 1-디-2차-부틸아미노-1,4-디실라부탄, 1-페닐메틸아미노-1,4-디실라부탄, 2,6-디메틸피페리디노-1,4-디실라부탄, 페닐에틸아미노-1,4-디실라부탄, 1-디-이소프로필아미노-1,3-디실라프로판, 1-에틸메틸아미노-1,3-디실라프로판, 1-디-2차-부틸아미노-1,3-디실라프로판, 1-페닐메틸아미노-1,3-디실라프로판, 2,6-디메틸피페리디노-1,3-디실라프로판, 및 페닐에틸아미노-1,3-디실라프로판으로 이루어진 군으로부터 선택되는 유기아미노실란.
  7. (a) 하기 화학식(A) 내지 화학식(E) 중 하나로 표현되는 화합물을 포함하는 하나 이상의 유기아미노실란; 및
    (b) 비점을 지니는 용매를 포함하는 조성물로서,
    용매의 비점과 하나 이상의 유기아미노실란의 비점 사이의 차이가 40℃ 또는 그 미만인 조성물:
    Figure pat00045

    상기 식에서,
    R1은 선형 또는 분지형 C1 내지 C10 알킬기, 선형 또는 분지형 C3 내지 C10 알케닐기, 선형 또는 분지형 C3 내지 C10 알키닐기, C3 내지 C10 사이클릭 알킬기, 및 C5 내지 C10 아릴기로부터 선택되고;
    R2는 수소, 선형 또는 분지형 C1 내지 C10 알킬기, 선형 또는 분지형 C3 내지 C10 알케닐기, 선형 또는 분지형 C3 내지 C10 알키닐기, C3 내지 C10 사이클릭 알킬기, 및 C5 내지 C10 아릴기로부터 선택되고;
    R3과 R4는 각각 독립적으로 선형 또는 분지형 C1 내지 C10 알킬렌기, 선형 또는 분지형 C3 내지 C6 알케닐렌기, 선형 또는 분지형 C3 내지 C6 알키닐렌기, C3 내지 C10 사이클릭 알킬렌기, C3 내지 C10 헤테로-사이클릭 알킬렌기, C5 내지 C10 아릴렌기, 및 C5 내지 C10 헤테로-아릴렌기로부터 선택되고;
    화학식(A)에서의 n은 1 또는 2이고;
    화학식(A)에서의 m은 0, 1, 2 또는 3이고;
    화학식(E)에서의 p와 q는 1 또는 2이고;
    임의로 화학식(D)에서의 R3은 두 개의 규소 원자와 하나 이상의 질소 원자를 지닌 4원, 5원 또는 6원 고리로부터 선택된 고리를 형성한다.
  8. 제 7항에 있어서, 유기아미노실란 전구체가 1-디메틸아미노-1,4-디실라부탄, 1-디에틸아미노-1,4-디실라부탄, 1-에틸메틸아미노-1,4-디실라부탄, 1-디-이소프로필아미노-1,4-디실라부탄, 1-디-2차-부틸아미노-1,4-디실라부탄, 1-페닐메틸아미노-1,4-디실라부탄, 2,6-디메틸피페리디노-1,4-디실라부탄, 페닐에틸아미노-1,4-디실라부탄, 1-디메틸아미노-1,3-디실라프로판, 1-디에틸아미노-1,3-디실라프로판, 1-에틸메틸아미노-1,3-디실라프로판, 디-이소-프로필아미노-1,3-디실라프로판, 1-디-2차-부틸아미노-1,3-디실라프로판, 1-페닐메틸아미노-1,3-디실라프로판, 2,6-디메틸피페리디노-1,3-디실라프로판, 및 페닐에틸아미노-1,3-디실라프로판으로 이루어진 군으로부터 선택된 하나 이상의 유기아미노실란 전구체을 포함하는 조성물.
  9. 제 7항에 있어서, 용매가 에테르, 3차 아민, 알킬 탄화수소, 방향족 탄화수소, 및 3차 아미노에테르로 이루어진 군으로부터 선택되는 하나 이상의 용매를 포함하는 조성물.
  10. 반응 챔버에 기판의 하나 이상의 표면을 제공하는 단계;
    하기 화학식(A) 내지 화학식(E) 중 하나로 표현되는 화합물을 포함하는 하나 이상의 유기아미노실란 전구체를 도입하는 단계; 및
    질소-함유 공급원을 반응기에 도입하는 단계를 포함하여, 화학적 기상 증착 공정 및 원자층 증착 공정으로부터 선택된 증착 공정에 의해서 기판의 하나 이상의 표면상에 규소-함유 필름을 형성시키는 방법으로서,
    하나 이상의 유기아미노실란 전구체와 질소-함유 공급원이 반응하여 하나 이상의 표면 상에 필름을 형성시키는 방법:
    Figure pat00046

    상기 식에서,
    R1은 선형 또는 분지형 C1 내지 C10 알킬기, 선형 또는 분지형 C3 내지 C10 알케닐기, 선형 또는 분지형 C3 내지 C10 알키닐기, C3 내지 C10 사이클릭 알킬기, 및 C5 내지 C10 아릴기로부터 선택되고;
    R2는 수소, 선형 또는 분지형 C1 내지 C10 알킬기, 선형 또는 분지형 C3 내지 C10 알케닐기, 선형 또는 분지형 C3 내지 C10 알키닐기, C3 내지 C10 사이클릭 알킬기, 및 C5 내지 C10 아릴기로부터 선택되고;
    R3과 R4는 각각 독립적으로 선형 또는 분지형 C1 내지 C10 알킬렌기, 선형 또는 분지형 C3 내지 C6 알케닐렌기, 선형 또는 분지형 C3 내지 C6 알키닐렌기, C3 내지 C10 사이클릭 알킬렌기, C3 내지 C10 헤테로-사이클릭 알킬렌기, C5 내지 C10 아릴렌기, 및 C5 내지 C10 헤테로-아릴렌기로부터 선택되고;
    화학식(A)에서의 n은 1 또는 2이고;
    화학식(A)에서의 m은 0, 1, 2 또는 3이고;
    화학식(E)에서의 p와 q는 1 또는 2이고;
    임의로 화학식(D)에서의 R3은 두 개의 규소 원자와 하나 이상의 질소 원자를 지닌 4원, 5원 또는 6원 고리로부터 선택된 고리를 형성한다.
  11. 제 10항에 있어서, 하나 이상의 유기아미노실란 전구체가 1-디메틸아미노-1,4-디실라부탄, 1-디에틸아미노-1,4-디실라부탄, 1-에틸메틸아미노-1,4-디실라부탄, 1-디-이소프로필아미노-1,4-디실라부탄, 1-디-2차-부틸아미노-1,4-디실라부탄, 1-페닐메틸아미노-1,4-디실라부탄, 2,6-디메틸피페리디노-1,4-디실라부탄, 페닐에틸아미노-1,4-디실라부탄, 1-디메틸아미노-1,3-디실라프로판, 1-디에틸아미노-1,3-디실라프로판, 1-에틸메틸아미노-1,3-디실라프로판, 디-이소-프로필아미노-1,3-디실라프로판, 1-디-2차-부틸아미노-1,3-디실라프로판, 1-페닐메틸아미노-1,3-디실라프로판, 2,6-디메틸피페리디노-1,3-디실라프로판, 및 페닐에틸아미노-1,3-디실라프로판으로 이루어진 군으로부터 선택되는 방법.
  12. 제 10항에 있어서, 질소-함유 공급원이 암모니아, 하이드라진, 모노알킬하이드라진, 디알킬하이드라진, 질소, 질소/수소, 암모니아 플라즈마, 질소 플라즈마, 질소/아르곤 플라즈마, 질소/헬륨 플라즈마, 질소/수소 플라즈마, 유기 아민, 유기 아민 플라즈마, 및 이들의 혼합물로 이루어진 군으로부터 선택되는 방법.
  13. 제 10항에 있어서, 규소-함유 필름이 실리콘 니트라이드 및 실리콘 카르보니트라이드로 이루어진 군으로부터 선택되는 방법.
  14. a. 기판을 ALD 반응기에 제공하는 단계;
    b. ALD 반응기에 하기 화학식(A) 내지 화학식(E) 중 하나로 표현되는 화합물을 포함하는 하나 이상의 유기아미노실란 전구체를 제공하는 단계;
    c. ALD 반응기를 불활성 가스로 퍼징하는 단계;
    d. 질소-함유 공급원을 ALD 반응기에 제공하는 단계; 및
    e. ALD 반응기를 불활성 가스로 퍼징하는 단계를 포함하여, 원자층 증착 (ALD) 공정을 통해서 규소-함유 필름을 형성시키는 방법으로서,
    요망되는 필름 두께가 얻어질 때까지 단계 b 내지 단계 e가 반복되는 방법:
    Figure pat00047

    상기 식에서,
    R1은 선형 또는 분지형 C1 내지 C10 알킬기, 선형 또는 분지형 C3 내지 C10 알케닐기, 선형 또는 분지형 C3 내지 C10 알키닐기, C3 내지 C10 사이클릭 알킬기, 및 C5 내지 C10 아릴기로부터 선택되고;
    R2는 수소, 선형 또는 분지형 C1 내지 C10 알킬기, 선형 또는 분지형 C3 내지 C10 알케닐기, 선형 또는 분지형 C3 내지 C10 알키닐기, C3 내지 C10 사이클릭 알킬기, 및 C5 내지 C10 아릴기로부터 선택되고;
    R3과 R4는 각각 독립적으로 선형 또는 분지형 C1 내지 C10 알킬렌기, 선형 또는 분지형 C3 내지 C6 알케닐렌기, 선형 또는 분지형 C3 내지 C6 알키닐렌기, C3 내지 C10 사이클릭 알킬렌기, C3 내지 C10 헤테로-사이클릭 알킬렌기, C5 내지 C10 아릴렌기, 및 C5 내지 C10 헤테로-아릴렌기로부터 선택되고;
    화학식(A)에서의 n은 1 또는 2이고;
    화학식(A)에서의 m은 0, 1, 2 또는 3이고;
    화학식(E)에서의 p와 q는 1 또는 2이고;
    임의로 화학식(D)에서의 R3은 두 개의 규소 원자와 하나 이상의 질소 원자를 지닌 4원, 5원 또는 6원 고리로부터 선택된 고리를 형성한다.
  15. 제 14항에 있어서, 하나 이상의 유기아미노실란 전구체가 1-디메틸아미노-1,4-디실라부탄, 1-디에틸아미노-1,4-디실라부탄, 1-에틸메틸아미노-1,4-디실라부탄, 1-디-이소프로필아미노-1,4-디실라부탄, 1-디-2차-부틸아미노-1,4-디실라부탄, 1-페닐메틸아미노-1,4-디실라부탄, 2,6-디메틸피페리디노-1,4-디실라부탄, 페닐에틸아미노-1,4-디실라부탄, 1-디메틸아미노-1,3-디실라프로판, 1-디에틸아미노-1,3-디실라프로판, 1-에틸메틸아미노-1,3-디실라프로판, 디-이소-프로필아미노-1,3-디실라프로판, 1-디-2차-부틸아미노-1,3-디실라프로판, 1-페닐메틸아미노-1,3-디실라프로판, 2,6-디메틸피페리디노-1,3-디실라프로판, 및 페닐에틸아미노-1,3-디실라프로판으로 이루어진 군으로부터 선택되는 방법.
  16. 제 14항에 있어서, 질소-함유 공급원이 암모니아, 하이드라진, 모노알킬하이드라진, 디알킬하이드라진, 질소, 질소/수소, 암모니아 플라즈마, 질소 플라즈마, 질소/아르곤 플라즈마, 질소/헬륨 플라즈마, 질소/수소 플라즈마, 유기 아민, 유기 아민 플라즈마, 및 이들의 혼합물로 이루어진 군으로부터 선택되는 방법.
  17. 제 14항에 있어서, 규소-함유 필름이 실리콘 니트라이드 및 실리콘 카르보니트라이드로 이루어진 군으로부터 선택되는 방법.
  18. a. 기판을 ALD 반응기에 제공하는 단계;
    b. ALD 반응기에 하기 화학식(A) 내지 화학식(E) 중 하나로 표현되는 화합물을 포함하는 하나 이상의 유기아미노실란 전구체를 제공하는 단계;
    c. ALD 반응기를 불활성 가스로 퍼징하는 단계;
    d. 플라즈마 질소-함유 공급원을 ALD 반응기에 제공하는 단계; 및
    e. ALD 반응기를 불활성 가스로 퍼징하는 단계를 포함하여, 플라즈마 강화 원자층 증착(PEALD) 공정 및 PECCVD 공정으로부터 선택된 증착 공정을 이용하여 기판의 하나 이상의 표면상에 규소-함유 필름을 형성시키는 방법으로서, 요망되는 규소-함유 필름 두께가 얻어질 때까지 단계 b 내지 단계 e가 반복되는 방법:
    Figure pat00048

    상기 식에서,
    R1은 선형 또는 분지형 C1 내지 C10 알킬기, 선형 또는 분지형 C3 내지 C10 알케닐기, 선형 또는 분지형 C3 내지 C10 알키닐기, C3 내지 C10 사이클릭 알킬기, 및 C5 내지 C10 아릴기로부터 선택되고;
    R2는 수소, 선형 또는 분지형 C1 내지 C10 알킬기, 선형 또는 분지형 C3 내지 C10 알케닐기, 선형 또는 분지형 C3 내지 C10 알키닐기, C3 내지 C10 사이클릭 알킬기, 및 C5 내지 C10 아릴기로부터 선택되고;
    R3과 R4는 각각 독립적으로 선형 또는 분지형 C1 내지 C10 알킬렌기, 선형 또는 분지형 C3 내지 C6 알케닐렌기, 선형 또는 분지형 C3 내지 C6 알키닐렌기, C3 내지 C10 사이클릭 알킬렌기, C3 내지 C10 헤테로-사이클릭 알킬렌기, C5 내지 C10 아릴렌기, 및 C5 내지 C10 헤테로-아릴렌기로부터 선택되고;
    화학식(A)에서의 n은 1 또는 2이고;
    화학식(A)에서의 m은 0, 1, 2 또는 3이고;
    화학식(E)에서의 p와 q는 1 또는 2이고;
    임의로 화학식(D)에서의 R3은 두 개의 규소 원자와 하나 이상의 질소 원자를 지닌 4원, 5원 또는 6원 고리로부터 선택된 고리를 형성한다.
  19. 제 18항에 있어서, 하나 이상의 유기아미노실란 전구체가 1-디메틸아미노-1,4-디실라부탄, 1-디에틸아미노-1,4-디실라부탄, 1-에틸메틸아미노-1,4-디실라부탄, 1-디-이소프로필아미노-1,4-디실라부탄, 1-디-2차-부틸아미노-1,4-디실라부탄, 1-페닐메틸아미노-1,4-디실라부탄, 2,6-디메틸피페리디노-1,4-디실라부탄, 페닐에틸아미노-1,4-디실라부탄, 1-디메틸아미노-1,3-디실라프로판, 1-디에틸아미노-1,3-디실라프로판, 1-에틸메틸아미노-1,3-디실라프로판, 디-이소-프로필아미노-1,3-디실라프로판, 1-디-2차-부틸아미노-1,3-디실라프로판, 1-페닐메틸아미노-1,3-디실라프로판, 2,6-디메틸피페리디노-1,3-디실라프로판, 및 페닐에틸아미노-1,3-디실라프로판으로 이루어진 군으로부터 선택되는 방법.
  20. 제 18항에 있어서, 질소-함유 공급원이 암모니아, 하이드라진, 모노알킬하이드라진, 디알킬하이드라진, 질소, 질소/수소, 암모니아 플라즈마, 질소 플라즈마, 질소/아르곤 플라즈마, 질소/헬륨 플라즈마, 질소/수소 플라즈마, 유기 아민, 유기 아민 플라즈마, 및 이들의 혼합물로 이루어진 군으로부터 선택되는 방법.
  21. 제 18항에 있어서, 규소-함유 필름 실리콘 니트라이드 및 실리콘 카르보니트라이드로 이루어진 군으로부터 선택되는 방법.
  22. 기판 상에 실리콘 옥사이드 또는 탄소 도핑된 실리콘 옥사이드 필름을 형성시키는 방법으로서,
    기판상에 필름을 형성시키기 위해서 기상 증착법으로 산소-함유 공급원을 하기 화학식(A) 내지 화학식(E) 중 하나로 표현되는 화합물을 포함하는 하나 이상의 유기아미노실란 전구체를 포함한 전구체와 반응시키는 단계를 포함하는 방법:
    Figure pat00049

    상기 식에서,
    R1은 선형 또는 분지형 C1 내지 C10 알킬기, 선형 또는 분지형 C3 내지 C10 알케닐기, 선형 또는 분지형 C3 내지 C10 알키닐기, C3 내지 C10 사이클릭 알킬기, 및 C5 내지 C10 아릴기로부터 선택되고;
    R2는 수소, 선형 또는 분지형 C1 내지 C10 알킬기, 선형 또는 분지형 C3 내지 C10 알케닐기, 선형 또는 분지형 C3 내지 C10 알키닐기, C3 내지 C10 사이클릭 알킬기, 및 C5 내지 C10 아릴기로부터 선택되고;
    R3과 R4는 각각 독립적으로 선형 또는 분지형 C1 내지 C10 알킬렌기, 선형 또는 분지형 C3 내지 C6 알케닐렌기, 선형 또는 분지형 C3 내지 C6 알키닐렌기, C3 내지 C10 사이클릭 알킬렌기, C3 내지 C10 헤테로-사이클릭 알킬렌기, C5 내지 C10 아릴렌기, 및 C5 내지 C10 헤테로-아릴렌기로부터 선택되고;
    화학식(A)에서의 n은 1 또는 2이고;
    화학식(A)에서의 m은 0, 1, 2 또는 3이고;
    화학식(E)에서의 p와 q는 1 또는 2이고;
    임의로 화학식(D)에서의 R3은 두 개의 규소 원자와 하나 이상의 질소 원자를 지닌 4원, 5원 또는 6원 고리로부터 선택된 고리를 형성한다.
  23. 제 22항에 있어서, 기상 증착이 화학적 기상 증착, 저압 기상 증착, 플라즈마 강화 화학적 기상 증착, 사이클릭 화학적 기상 증착, 플라즈마 강화 사이클릭 화학적 기상 증착, 원자층 증착, 및 플라즈마 강화 원자층 증착으로부터 선택된 하나 이상의 증착으로 이루어진 군으로부터 선택된 하나 이상의 증착인 방법.
  24. 제 22항에 있어서, 하나 이상의 유기아미노실란 전구체가 1-디메틸아미노-1,4-디실라부탄, 1-디에틸아미노-1,4-디실라부탄, 1-에틸메틸아미노-1,4-디실라부탄, 1-디-이소프로필아미노-1,4-디실라부탄, 1-디-2차-부틸아미노-1,4-디실라부탄, 1-페닐메틸아미노-1,4-디실라부탄, 2,6-디메틸피페리디노-1,4-디실라부탄, 페닐에틸아미노-1,4-디실라부탄, 1-디메틸아미노-1,3-디실라프로판, 1-디에틸아미노-1,3-디실라프로판, 1-에틸메틸아미노-1,3-디실라프로판, 디-이소-프로필아미노-1,3-디실라프로판, 1-디-2차-부틸아미노-1,3-디실라프로판, 1-페닐메틸아미노-1,3-디실라프로판, 2,6-디메틸피페리디노-1,3-디실라프로판, 및 페닐에틸아미노-1,3-디실라프로판으로 이루어진 군으로부터 선택되는 방법.
  25. 제 22항에 있어서, 반응시키는 단계가 200℃ 또는 그 미만의 온도에서 수행되는 방법.
  26. 제 22항에 있어서, 반응시키는 단계가 100℃ 또는 그 미만의 온도에서 수행되는 방법.
  27. 제 22항에 있어서, 반응시키는 단계가 50℃ 또는 그 미만의 온도에서 수행되는 방법.
  28. 기판 상에 실리콘 옥사이드 또는 탄소 도핑된 실리콘 옥사이드 필름을 형성시키는 방법으로서,
    하기 화학식(A) 내지 화학식(E) 중 하나로 표현되는 화합물을 포함하는 하나 이상의 유기아미노실란 전구체 및 하나 이상의 산소-함유 공급원을 포함하는 조성물로부터 기상 증착을 통해서 기판 상에 필름을 형성시키는 단계를 포함하고,
    증기 증착이 화학적 기상 증착, 저압 기상 증착, 플라즈마 강화 화학적 기상 증착, 사이클릭 화학적 기상 증착, 플라즈마 강화 사이클릭 화학적 기상 증착, 원자층 증착, 및 플라즈마 강화 원자층 증착으로부터 선택된 하나 이상의 증착인 방법:
    Figure pat00050

    상기 식에서,
    R1은 선형 또는 분지형 C1 내지 C10 알킬기, 선형 또는 분지형 C3 내지 C10 알케닐기, 선형 또는 분지형 C3 내지 C10 알키닐기, C3 내지 C10 사이클릭 알킬기, 및 C5 내지 C10 아릴기로부터 선택되고;
    R2는 수소, 선형 또는 분지형 C1 내지 C10 알킬기, 선형 또는 분지형 C3 내지 C10 알케닐기, 선형 또는 분지형 C3 내지 C10 알키닐기, C3 내지 C10 사이클릭 알킬기, 및 C5 내지 C10 아릴기로부터 선택되고;
    R3과 R4는 각각 독립적으로 선형 또는 분지형 C1 내지 C10 알킬렌기, 선형 또는 분지형 C3 내지 C6 알케닐렌기, 선형 또는 분지형 C3 내지 C6 알키닐렌기, C3 내지 C10 사이클릭 알킬렌기, C3 내지 C10 헤테로-사이클릭 알킬렌기, C5 내지 C10 아릴렌기, 및 C5 내지 C10 헤테로-아릴렌기로부터 선택되고;
    화학식(A)에서의 n은 1 또는 2이고;
    화학식(A)에서의 m은 0, 1, 2 또는 3이고;
    화학식(E)에서의 p와 q는 1 또는 2이고;
    임의로 화학식(D)에서의 R3은 두 개의 규소 원자와 하나 이상의 질소 원자를 지닌 4원, 5원 또는 6원 고리로부터 선택된 고리를 형성한다.
  29. 제 28항에 있어서, 하나 이상의 유기아미노실란 전구체가 1-디메틸아미노-1,4-디실라부탄, 1-디에틸아미노-1,4-디실라부탄, 1-에틸메틸아미노-1,4-디실라부탄, 1-디-이소프로필아미노-1,4-디실라부탄, 1-디-2차-부틸아미노-1,4-디실라부탄, 1-페닐메틸아미노-1,4-디실라부탄, 2,6-디메틸피페리디노-1,4-디실라부탄, 페닐에틸아미노-1,4-디실라부탄, 1-디메틸아미노-1,3-디실라프로판, 1-디에틸아미노-1,3-디실라프로판, 1-에틸메틸아미노-1,3-디실라프로판, 디-이소-프로필아미노-1,3-디실라프로판, 1-디-2차-부틸아미노-1,3-디실라프로판, 1-페닐메틸아미노-1,3-디실라프로판, 2,6-디메틸피페리디노-1,3-디실라프로판, 및 페닐에틸아미노-1,3-디실라프로판으로 이루어진 군으로부터 선택되는 방법.
  30. 제 28항에 있어서, 형성시키는 단계가 200℃ 또는 그 미만의 온도에서 수행되는 방법.
  31. 제 28항에 있어서, 형성시키는 단계가 100℃ 또는 그 미만의 온도에서 수행되는 방법.
  32. 제 28항에 있어서, 형성시키는 단계가 50℃ 또는 그 미만의 온도에서 수행되는 방법.
  33. 기판 상에 실리콘 옥사이드 또는 탄소 도핑된 실리콘 옥사이드 필름을 형성시키는 방법으로서,
    하기 화학식(A) 내지 화학식(E) 중 하나로 표현되는 화합물을 포함하는 하나 이상의 유기아미노실란 전구체 반응기에 도입하는 단계; 및
    유기아미노실란과 반응하여 기판상에 필름을 제공하는 하나 이상의 산소-함유 공급원을 반응기에 도입하는 단계를 포함하는 방법:
    Figure pat00051

    상기 식에서,
    R1은 선형 또는 분지형 C1 내지 C10 알킬기, 선형 또는 분지형 C3 내지 C10 알케닐기, 선형 또는 분지형 C3 내지 C10 알키닐기, C3 내지 C10 사이클릭 알킬기, 및 C5 내지 C10 아릴기로부터 선택되고;
    R2는 수소, 선형 또는 분지형 C1 내지 C10 알킬기, 선형 또는 분지형 C3 내지 C10 알케닐기, 선형 또는 분지형 C3 내지 C10 알키닐기, C3 내지 C10 사이클릭 알킬기, 및 C5 내지 C10 아릴기로부터 선택되고;
    R3과 R4는 각각 독립적으로 선형 또는 분지형 C1 내지 C10 알킬렌기, 선형 또는 분지형 C3 내지 C6 알케닐렌기, 선형 또는 분지형 C3 내지 C6 알키닐렌기, C3 내지 C10 사이클릭 알킬렌기, C3 내지 C10 헤테로-사이클릭 알킬렌기, C5 내지 C10 아릴렌기, 및 C5 내지 C10 헤테로-아릴렌기로부터 선택되고;
    화학식(A)에서의 n은 1 또는 2이고;
    화학식(A)에서의 m은 0, 1, 2 또는 3이고;
    화학식(E)에서의 p와 q는 1 또는 2이고;
    임의로 화학식(D)에서의 R3은 두 개의 규소 원자와 하나 이상의 질소 원자를 지닌 4원, 5원 또는 6원 고리로부터 선택된 고리를 형성한다.
  34. 기판 상에 일정한 두께를 지니는 실리콘 옥사이드 또는 탄소 도핑된 실리콘 옥사이드 필름을 형성시키는 방법으로서,
    a. 하기 화학식(A) 내지 화학식(E) 중 하나로 표현되는 화합물을 포함하는 유기아미노실란 전구체를 도입하는 단계;
    b. 하나 이상의 유기아미노실란 전구체를 기판 상에 화학흡착시키는 단계;
    c. 퍼지 가스를 사용하여 미반응된 하나 이상의 유기아미노실란 전구체를 퍼징해 내는 단계;
    d. 가열된 기판 상의 유기아미노실란 전구체에 대한 산소-함유 공급원을 제공하여 흡수된 하나 이상의 유기아미노실란 전구체와 반응시키는 단계; 및
    e. 임의로, 어떠한 미반응된 산소-함유 공급원을 퍼징해 내는 단계를 포함하는 방법:
    Figure pat00052

    상기 식에서,
    R1은 선형 또는 분지형 C1 내지 C10 알킬기, 선형 또는 분지형 C3 내지 C10 알케닐기, 선형 또는 분지형 C3 내지 C10 알키닐기, C3 내지 C10 사이클릭 알킬기, 및 C5 내지 C10 아릴기로부터 선택되고;
    R2는 수소, 선형 또는 분지형 C1 내지 C10 알킬기, 선형 또는 분지형 C3 내지 C10 알케닐기, 선형 또는 분지형 C3 내지 C10 알키닐기, C3 내지 C10 사이클릭 알킬기, 및 C5 내지 C10 아릴기로부터 선택되고;
    R3과 R4는 각각 독립적으로 선형 또는 분지형 C1 내지 C10 알킬렌기, 선형 또는 분지형 C3 내지 C6 알케닐렌기, 선형 또는 분지형 C3 내지 C6 알키닐렌기, C3 내지 C10 사이클릭 알킬렌기, C3 내지 C10 헤테로-사이클릭 알킬렌기, C5 내지 C10 아릴렌기, 및 C5 내지 C10 헤테로-아릴렌기로부터 선택되고;
    화학식(A)에서의 n은 1 또는 2이고;
    화학식(A)에서의 m은 0, 1, 2 또는 3이고;
    화학식(E)에서의 p와 q는 1 또는 2이고;
    임의로 화학식(D)에서의 R3은 두 개의 규소 원자와 하나 이상의 질소 원자를 지닌 4원, 5원 또는 6원 고리로부터 선택된 고리를 형성한다.
  35. 제 34항에 있어서, 일정한 필름 두께가 확실할 때까지 단계 a 내지 단계 d 및 임의의 단계 e가 반복되는 방법.
  36. 제 34항에 있어서, 하나 이상의 유기아미노실란 전구체가 1-디메틸아미노-1,4-디실라부탄, 1-디에틸아미노-1,4-디실라부탄, 1-에틸메틸아미노-1,4-디실라부탄, 1-디-이소프로필아미노-1,4-디실라부탄, 1-디-2차-부틸아미노-1,4-디실라부탄, 1-페닐메틸아미노-1,4-디실라부탄, 2,6-디메틸피페리디노-1,4-디실라부탄, 페닐에틸아미노-1,4-디실라부탄, 1-디메틸아미노-1,3-디실라프로판, 1-디에틸아미노-1,3-디실라프로판, 1-에틸메틸아미노-1,3-디실라프로판, 디-이소-프로필아미노-1,3-디실라프로판, 1-디-2차-부틸아미노-1,3-디실라프로판, 1-페닐메틸아미노-1,3-디실라프로판, 2,6-디메틸피페리디노-1,3-디실라프로판, 및 페닐에틸아미노-1,3-디실라프로판으로 이루어진 군으로부터 선택되는 방법.
  37. 제 34항에 있어서, 화학흡착시키는 단계가 200℃ 또는 그 미만의 온도에서 수행되는 방법.
  38. 제 34항에 있어서, 화학흡착시키는 단계가 100℃ 또는 그 미만의 온도에서 수행되는 방법.
  39. 제 34항에 있어서, 화학흡착시키는 단계가 50℃ 또는 그 미만의 온도에서 수행되는 방법.
  40. 제 34항에 있어서, 원자층 증착 공정인 방법.
  41. 제 34항에 있어서, 플라즈마 강화 사이클릭 화학적 기상 증착 공정인 방법.
  42. ALD 또는 사이클릭 CVD로부터 선택된 증착 방법을 이용하여 규소 함유 필름을 형성시키는 방법으로서,
    a. 대략 주위 온도 내지 약 700℃ 범위의 하나 이상의 온도로 가열되는 반응기에 기판을 넣는 단계;
    b. 하기 화학식(A) 내지 화학식(E) 중 하나로 표현되는 화합물을 포함하는 하나 이상의 유기아미노실란 전구체를 도입하는 단계;
    c. 임의로, 퍼지 가스를 사용하여 미반응된 하나 이상의 유기아미노실란 전구체를 퍼징해 내는 단계;
    d. 환원제를 반응기에 제공하여 흡수된 유기아미노실란과 일부 또는 전부 반응시키는 단계; 및
    e. 임의로, 어떠한 미반응된 환원제를 퍼징해 내는 단계를 포함하고,
    요망되는 두께가 얻어질 때까지 단계 b 내지 단계 e가 반복되는 방법:
    Figure pat00053

    상기 식에서,
    R1은 선형 또는 분지형 C1 내지 C10 알킬기, 선형 또는 분지형 C3 내지 C10 알케닐기, 선형 또는 분지형 C3 내지 C10 알키닐기, C3 내지 C10 사이클릭 알킬기, 및 C5 내지 C10 아릴기로부터 선택되고;
    R2는 수소, 선형 또는 분지형 C1 내지 C10 알킬기, 선형 또는 분지형 C3 내지 C10 알케닐기, 선형 또는 분지형 C3 내지 C10 알키닐기, C3 내지 C10 사이클릭 알킬기, 및 C5 내지 C10 아릴기로부터 선택되고;
    R3과 R4는 각각 독립적으로 선형 또는 분지형 C1 내지 C10 알킬렌기, 선형 또는 분지형 C3 내지 C6 알케닐렌기, 선형 또는 분지형 C3 내지 C6 알키닐렌기, C3 내지 C10 사이클릭 알킬렌기, C3 내지 C10 헤테로-사이클릭 알킬렌기, C5 내지 C10 아릴렌기, 및 C5 내지 C10 헤테로-아릴렌기로부터 선택되고;
    화학식(A)에서의 n은 1 또는 2이고;
    화학식(A)에서의 m은 0, 1, 2 또는 3이고;
    화학식(E)에서의 p와 q는 1 또는 2이고;
    임의로 화학식(D)에서의 R3은 두 개의 규소 원자와 하나 이상의 질소 원자를 지닌 4원, 5원 또는 6원 고리로부터 선택된 고리를 형성한다.
  43. 제 42항에 있어서, 환원제가 수소, 수소 플라즈마, 또는 염화수소로 이루어진 군으로부터 선택된 하나 이상의 환원제인 방법.
  44. 원자층 증착, 사이클릭 화학적 기상 증착 공정 및 화학적 기상 증착으로부터 선택된 증착 공정을 통해서 비정질 또는 결정질 규소 필름을 증착시키는 방법으로서,
    a. 기판을 반응기에 제공하는 단계;
    b. 반응기에 하기 화학식(A) 내지 화학식(E) 중 하나로 표현되는 화합물을 포함하는 하나 이상의 유기아미노실란 전구체를 도입하는 단계; 및
    c. 반응기를 퍼지 가스로 퍼징하거나 반응기를 펌핑(pumping)하는 단계를 포함하고,
    요망되는 필름 두께가 얻어질 때까지 단계 b 내지 단계 c가 반복되는 방법:
    Figure pat00054

    상기 식에서,
    R1은 선형 또는 분지형 C1 내지 C10 알킬기, 선형 또는 분지형 C3 내지 C10 알케닐기, 선형 또는 분지형 C3 내지 C10 알키닐기, C3 내지 C10 사이클릭 알킬기, 및 C5 내지 C10 아릴기로부터 선택되고;
    R2는 수소, 선형 또는 분지형 C1 내지 C10 알킬기, 선형 또는 분지형 C3 내지 C10 알케닐기, 선형 또는 분지형 C3 내지 C10 알키닐기, C3 내지 C10 사이클릭 알킬기, 및 C5 내지 C10 아릴기로부터 선택되고;
    R3과 R4는 각각 독립적으로 선형 또는 분지형 C1 내지 C10 알킬렌기, 선형 또는 분지형 C3 내지 C6 알케닐렌기, 선형 또는 분지형 C3 내지 C6 알키닐렌기, C3 내지 C10 사이클릭 알킬렌기, C3 내지 C10 헤테로-사이클릭 알킬렌기, C5 내지 C10 아릴렌기, 및 C5 내지 C10 헤테로-아릴렌기로부터 선택되고;
    화학식(A)에서의 n은 1 또는 2이고;
    화학식(A)에서의 m은 0, 1, 2 또는 3이고;
    화학식(E)에서의 p와 q는 1 또는 2이고;
    임의로 화학식(D)에서의 R3은 두 개의 규소 원자와 하나 이상의 질소 원자를 지닌 4원, 5원 또는 6원 고리로부터 선택된 고리를 형성한다.
  45. 제 44항에 있어서, 하나 이상의 유기아미노실란 전구체가 1-디메틸아미노-1,4-디실라부탄, 1-디에틸아미노-1,4-디실라부탄, 1-에틸메틸아미노-1,4-디실라부탄, 1-디-이소프로필아미노-1,4-디실라부탄, 1-디-2차-부틸아미노-1,4-디실라부탄, 1-페닐메틸아미노-1,4-디실라부탄, 2,6-디메틸피페리디노-1,4-디실라부탄, 페닐에틸아미노-1,4-디실라부탄, 1-디메틸아미노-1,3-디실라프로판, 1-디에틸아미노-1,3-디실라프로판, 1-에틸메틸아미노-1,3-디실라프로판, 디-이소-프로필아미노-1,3-디실라프로판, 1-디-2차-부틸아미노-1,3-디실라프로판, 1-페닐메틸아미노-1,3-디실라프로판, 2,6-디메틸피페리디노-1,3-디실라프로판, 및 페닐에틸아미노-1,3-디실라프로판으로 이루어진 군으로부터 선택되는 방법.
  46. 플라즈마 강화 원자층 증착(PEALD) 공정 및 플라즈마 강화 사이클릭 화학적 기상 증착(PECCVD) 공정으로부터 선택된 증착 공정을 이용하여 기판의 하나 이상의 표면 상에 규소-함유 필름을 형성시키는 방법으로서,
    a. 기판을 ALD 반응기에 제공하는 단계;
    b. 하기 화학식(A) 내지 화학식(E) 중 하나로 표현되는 화합물을 포함하는 하나 이상의 유기아미노실란 전구체를 ALD 반응기에 제공하는 단계;
    c. ALD 반응기를 불활성 가스로 퍼징하는 단계;
    d. 플라즈마 공급원을 ALD 반응기에 제공하는 단계; 및
    e. ALD 반응기를 불활성 가스로 퍼징하는 단계를 포함하고,
    요망되는 규소-함유 필름 두께가 얻어질 때까지 단계 b 내지 단계 e가 반복되는 방법:
    Figure pat00055

    상기 식에서,
    R1은 선형 또는 분지형 C1 내지 C10 알킬기, 선형 또는 분지형 C3 내지 C10 알케닐기, 선형 또는 분지형 C3 내지 C10 알키닐기, C3 내지 C10 사이클릭 알킬기, 및 C5 내지 C10 아릴기로부터 선택되고;
    R2는 수소, 선형 또는 분지형 C1 내지 C10 알킬기, 선형 또는 분지형 C3 내지 C10 알케닐기, 선형 또는 분지형 C3 내지 C10 알키닐기, C3 내지 C10 사이클릭 알킬기, 및 C5 내지 C10 아릴기로부터 선택되고;
    R3과 R4는 각각 독립적으로 선형 또는 분지형 C1 내지 C10 알킬렌기, 선형 또는 분지형 C3 내지 C6 알케닐렌기, 선형 또는 분지형 C3 내지 C6 알키닐렌기, C3 내지 C10 사이클릭 알킬렌기, C3 내지 C10 헤테로-사이클릭 알킬렌기, C5 내지 C10 아릴렌기, 및 C5 내지 C10 헤테로-아릴렌기로부터 선택되고;
    화학식(A)에서의 n은 1 또는 2이고;
    화학식(A)에서의 m은 0, 1, 2 또는 3이고;
    화학식(E)에서의 p와 q는 1 또는 2이고;
    임의로 화학식(D)에서의 R3은 두 개의 규소 원자와 하나 이상의 질소 원자를 지닌 4원, 5원 또는 6원 고리로부터 선택된 고리를 형성한다.
  47. 제 46항에 있어서, 하나 이상의 유기아미노실란 전구체가 1-디메틸아미노-1,4-디실라부탄, 1-디에틸아미노-1,4-디실라부탄, 1-에틸메틸아미노-1,4-디실라부탄, 1-디-이소프로필아미노-1,4-디실라부탄, 1-디-2차-부틸아미노-1,4-디실라부탄, 1-페닐메틸아미노-1,4-디실라부탄, 2,6-디메틸피페리디노-1,4-디실라부탄, 페닐에틸아미노-1,4-디실라부탄, 1-디메틸아미노-1,3-디실라프로판, 1-디에틸아미노-1,3-디실라프로판, 1-에틸메틸아미노-1,3-디실라프로판, 디-이소-프로필아미노-1,3-디실라프로판, 1-디-2차-부틸아미노-1,3-디실라프로판, 1-페닐메틸아미노-1,3-디실라프로판, 2,6-디메틸피페리디노-1,3-디실라프로판, 및 페닐에틸아미노-1,3-디실라프로판으로 이루어진 군으로부터 선택되는 방법.
  48. 제 46항에 있어서, 플라즈마 공급원이 수소 플라즈마, 수소/아르곤 플라즈마, 아르곤 플라즈마, 헬륨 플라즈마, 수소/헬륨 플라즈마, 네온 플라즈마, 수소/네온 플라즈마, 제논 플라즈마, 수소/제논 플라즈마, 및 이들의 혼합물로 이루어진 군으로부터 선택되는 방법.
  49. 제 46항에 있어서, 규소-함유 필름이 실리콘 카르보니트라이드, 실리콘 카바이드, 실리콘 니트라이드, 실리콘 카르보니트라이드, 및 실리콘 카르복시니트릴로 이루어진 군으로부터 선택되는 방법.
  50. 규소-함유 필름의 증착을 위한 전구체를 전달하기 위해서 사용되는 용기로서,
    하기 화학식(A) 내지 화학식(E) 중 하나로 표현되는 화합물을 포함하는 하나 이상의 유기아미노실란 전구체를 함유하며,
    전구체의 순도가 약 98% 또는 그 초과인 용기:
    Figure pat00056

    상기 식에서,
    R1은 선형 또는 분지형 C1 내지 C10 알킬기, 선형 또는 분지형 C3 내지 C10 알케닐기, 선형 또는 분지형 C3 내지 C10 알키닐기, C3 내지 C10 사이클릭 알킬기, 및 C5 내지 C10 아릴기로부터 선택되고;
    R2는 수소, 선형 또는 분지형 C1 내지 C10 알킬기, 선형 또는 분지형 C3 내지 C10 알케닐기, 선형 또는 분지형 C3 내지 C10 알키닐기, C3 내지 C10 사이클릭 알킬기, 및 C5 내지 C10 아릴기로부터 선택되고;
    R3과 R4는 각각 독립적으로 선형 또는 분지형 C1 내지 C10 알킬렌기, 선형 또는 분지형 C3 내지 C6 알케닐렌기, 선형 또는 분지형 C3 내지 C6 알키닐렌기, C3 내지 C10 사이클릭 알킬렌기, C3 내지 C10 헤테로-사이클릭 알킬렌기, C5 내지 C10 아릴렌기, 및 C5 내지 C10 헤테로-아릴렌기로부터 선택되고;
    화학식(A)에서의 n은 1 또는 2이고;
    화학식(A)에서의 m은 0, 1, 2 또는 3이고;
    화학식(E)에서의 p와 q는 1 또는 2이고;
    임의로 화학식(D)에서의 R3은 두 개의 규소 원자와 하나 이상의 질소 원자를 지닌 4원, 5원 또는 6원 고리로부터 선택된 고리를 형성한다.
  51. 제 50항에 있어서, 용기가 스테인리스 스틸(stainless steel)을 포함하는 용기.
  52. 하기 화학식(A) 내지 화학식(E) 중 하나로 표현되는 화합물을 포함하는 유기아미노실란을 제조하는 방법으로서,
    R1R2NH 및 R1NH2로부터 선택된 화학식을 지니는 아민(여기서, 아민 중의 R1은 선형 또는 분지형 C1 내지 C10 알킬기, 선형 또는 분지형 C3 내지 C10 알케닐기, 선형 또는 분지형 C3 내지 C10 알키닐기, C3 내지 C10 사이클릭 알킬기, 및 C5 내지 C10 아릴기로부터 선택되고, 아민 중의 R2는 수소, 선형 또는 분지형 C1 내지 C10 알킬기, 선형 또는 분지형 C3 내지 C10 알케닐기, 선형 또는 분지형 C3 내지 C10 알키닐기, C3 내지 C10 사이클릭 알킬기, 및 C5 내지 C10 아릴기로부터 선택된다)을 다음 화합물,
    Figure pat00057

    로부터 선택된 하나 이상의 화합물을 포함하는 규소 공급원(여기서, 규소 공급원 중의 R3 및 R4는 선형 또는 분지형 C1 내지 C10 알킬렌기, 선형 또는 분지형 C3 내지 C6 알케닐렌기, 선형 또는 분지형 C3 내지 C6 알키닐렌기, C3 내지 C10 사이클릭 알킬렌기, C3 내지 C10 헤테로-사이클릭 알킬렌기, C5 내지 C10 아릴렌기, 및 C5 내지 C10 헤테로-아릴렌기로부터 독립적으로 선택된다)과 반응시키는데, 규소 공급원의 일부 또는 전부와 아민의 일부 또는 전부가 반응하여 유기아미노실란을 제공하기에 충분한 반응 조건하에서 촉매의 존재하에 반응시키는 단계를 포함하는 방법:
    Figure pat00058

    상기 식에서,
    R1은 선형 또는 분지형 C1 내지 C10 알킬기, 선형 또는 분지형 C3 내지 C10 알케닐기, 선형 또는 분지형 C3 내지 C10 알키닐기, C3 내지 C10 사이클릭 알킬기, 및 C5 내지 C10 아릴기로부터 선택되고;
    R2는 수소, 선형 또는 분지형 C1 내지 C10 알킬기, 선형 또는 분지형 C3 내지 C10 알케닐기, 선형 또는 분지형 C3 내지 C10 알키닐기, C3 내지 C10 사이클릭 알킬기, 및 C5 내지 C10 아릴기로부터 선택되고;
    R3과 R4는 각각 독립적으로 선형 또는 분지형 C1 내지 C10 알킬렌기, 선형 또는 분지형 C3 내지 C6 알케닐렌기, 선형 또는 분지형 C3 내지 C6 알키닐렌기, C3 내지 C10 사이클릭 알킬렌기, C3 내지 C10 헤테로-사이클릭 알킬렌기, C5 내지 C10 아릴렌기, 및 C5 내지 C10 헤테로-아릴렌기로부터 선택되고;
    화학식(A)에서의 n은 1 또는 2이고;
    화학식(A)에서의 m은 0, 1, 2 또는 3이고;
    화학식(E)에서의 p와 q는 1 또는 2이고;
    임의로 화학식(D)에서의 R3은 두 개의 규소 원자와 하나 이상의 질소 원자를 지닌 4원, 5원 또는 6원 고리로부터 선택된 고리를 형성한다.
KR1020160079301A 2013-09-20 2016-06-24 유기아미노실란 전구체 및 이를 포함하는 필름을 증착시키는 방법 KR20160080099A (ko)

Applications Claiming Priority (4)

Application Number Priority Date Filing Date Title
US201361880261P 2013-09-20 2013-09-20
US61/880,261 2013-09-20
US14/483,751 US10453675B2 (en) 2013-09-20 2014-09-11 Organoaminosilane precursors and methods for depositing films comprising same
US14/483,751 2014-09-11

Related Parent Applications (1)

Application Number Title Priority Date Filing Date
KR1020140125031A Division KR101749705B1 (ko) 2013-09-20 2014-09-19 유기아미노실란 전구체 및 이를 포함하는 필름을 증착시키는 방법

Publications (1)

Publication Number Publication Date
KR20160080099A true KR20160080099A (ko) 2016-07-07

Family

ID=51660307

Family Applications (3)

Application Number Title Priority Date Filing Date
KR1020140125031A KR101749705B1 (ko) 2013-09-20 2014-09-19 유기아미노실란 전구체 및 이를 포함하는 필름을 증착시키는 방법
KR1020160079301A KR20160080099A (ko) 2013-09-20 2016-06-24 유기아미노실란 전구체 및 이를 포함하는 필름을 증착시키는 방법
KR1020170075954A KR20170073562A (ko) 2013-09-20 2017-06-15 유기아미노실란 전구체 및 이를 포함하는 필름을 증착시키는 방법

Family Applications Before (1)

Application Number Title Priority Date Filing Date
KR1020140125031A KR101749705B1 (ko) 2013-09-20 2014-09-19 유기아미노실란 전구체 및 이를 포함하는 필름을 증착시키는 방법

Family Applications After (1)

Application Number Title Priority Date Filing Date
KR1020170075954A KR20170073562A (ko) 2013-09-20 2017-06-15 유기아미노실란 전구체 및 이를 포함하는 필름을 증착시키는 방법

Country Status (6)

Country Link
US (4) US10453675B2 (ko)
EP (4) EP3095788B1 (ko)
JP (6) JP2015096489A (ko)
KR (3) KR101749705B1 (ko)
CN (1) CN104672265B (ko)
TW (4) TWI652278B (ko)

Cited By (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR20180092295A (ko) * 2017-02-08 2018-08-17 버슘머트리얼즈 유에스, 엘엘씨 실리콘-함유 막을 증착시키기 위한 오가노아미노-작용화된 선형 및 환형 올리고실록산
KR20190118981A (ko) * 2018-04-11 2019-10-21 버슘머트리얼즈 유에스, 엘엘씨 규소 포함 필름의 증착을 위한, 유기 아미노로 작용화된 환식 올리고실록산

Families Citing this family (253)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20130023129A1 (en) 2011-07-20 2013-01-24 Asm America, Inc. Pressure transmitter for a semiconductor processing environment
EP2875166B1 (en) 2012-07-20 2018-04-11 L'Air Liquide Société Anonyme pour l'Etude et l'Exploitation des Procédés Georges Claude Organosilane precursors for ald/cvd silicon-containing film applications
US10714315B2 (en) 2012-10-12 2020-07-14 Asm Ip Holdings B.V. Semiconductor reaction chamber showerhead
US20160376700A1 (en) 2013-02-01 2016-12-29 Asm Ip Holding B.V. System for treatment of deposition reactor
TW201509799A (zh) 2013-07-19 2015-03-16 Air Liquide 用於ald/cvd含矽薄膜應用之六配位含矽前驅物
US9382268B1 (en) 2013-07-19 2016-07-05 American Air Liquide, Inc. Sulfur containing organosilane precursors for ALD/CVD silicon-containing film applications
US10453675B2 (en) * 2013-09-20 2019-10-22 Versum Materials Us, Llc Organoaminosilane precursors and methods for depositing films comprising same
US9233990B2 (en) 2014-02-28 2016-01-12 Air Products And Chemicals, Inc. Organoaminosilanes and methods for making same
US20170190720A1 (en) * 2014-07-10 2017-07-06 L'Air Liquide, Société Anonyme pour I'Etude et I'Exploitation des Procédés Georges Claude Alkylamino-substituted carbosilane precursors
CN107002236B (zh) * 2014-09-23 2019-04-05 乔治洛德方法研究和开发液化空气有限公司 用于沉积含Si膜的碳硅烷取代的胺前体以及其方法
US10941490B2 (en) 2014-10-07 2021-03-09 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
US10570513B2 (en) 2014-12-13 2020-02-25 American Air Liquide, Inc. Organosilane precursors for ALD/CVD silicon-containing film applications and methods of using the same
US10276355B2 (en) 2015-03-12 2019-04-30 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
US10566187B2 (en) 2015-03-20 2020-02-18 Lam Research Corporation Ultrathin atomic layer deposition film accuracy thickness control
JP6484478B2 (ja) * 2015-03-25 2019-03-13 株式会社Kokusai Electric 半導体装置の製造方法、基板処理装置およびプログラム
TWI716333B (zh) * 2015-03-30 2021-01-11 法商液態空氣喬治斯克勞帝方法研究開發股份有限公司 碳矽烷與氨、胺類及脒類之觸媒去氫耦合
US10763103B2 (en) * 2015-03-31 2020-09-01 Versum Materials Us, Llc Boron-containing compounds, compositions, and methods for the deposition of a boron containing films
US9815858B2 (en) 2015-06-16 2017-11-14 Gelest Technologies, Inc. Hydridosilapyrroles, hydridosilaazapyrroles, thiasilacyclopentanes, method for preparation thereof, and reaction products therefrom
EP4092154A1 (en) * 2015-06-16 2022-11-23 Versum Materials US, LLC Processes for depositing silicon-containing films using same
US10458018B2 (en) 2015-06-26 2019-10-29 Asm Ip Holding B.V. Structures including metal carbide material, devices including the structures, and methods of forming same
KR102658085B1 (ko) * 2015-07-09 2024-04-16 레르 리키드 쏘시에떼 아노님 뿌르 레드 에렉스뿔라따시옹 데 프로세데 조르즈 클로드 알킬아미노 치환 할로카보실란 전구체
US10211308B2 (en) 2015-10-21 2019-02-19 Asm Ip Holding B.V. NbMC layers
US9786491B2 (en) 2015-11-12 2017-10-10 Asm Ip Holding B.V. Formation of SiOCN thin films
US9786492B2 (en) * 2015-11-12 2017-10-10 Asm Ip Holding B.V. Formation of SiOCN thin films
KR101786230B1 (ko) * 2015-12-01 2017-10-18 주식회사 포스코 내식성 및 에칭성이 우수한 금속 박판 및 그 제조방법
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
US10529554B2 (en) 2016-02-19 2020-01-07 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
TWI753794B (zh) 2016-03-23 2022-01-21 法商液態空氣喬治斯克勞帝方法研究開發股份有限公司 形成含矽膜之組成物及其製法與用途
US10367080B2 (en) 2016-05-02 2019-07-30 Asm Ip Holding B.V. Method of forming a germanium oxynitride film
KR102378021B1 (ko) 2016-05-06 2022-03-23 에이에스엠 아이피 홀딩 비.브이. SiOC 박막의 형성
US9859151B1 (en) 2016-07-08 2018-01-02 Asm Ip Holding B.V. Selective film deposition method to form air gaps
US10612137B2 (en) 2016-07-08 2020-04-07 Asm Ip Holdings B.V. Organic reactants for atomic layer deposition
US20180033614A1 (en) * 2016-07-27 2018-02-01 Versum Materials Us, Llc Compositions and Methods Using Same for Carbon Doped Silicon Containing Films
US9812320B1 (en) 2016-07-28 2017-11-07 Asm Ip Holding B.V. Method and apparatus for filling a gap
KR102532607B1 (ko) 2016-07-28 2023-05-15 에이에스엠 아이피 홀딩 비.브이. 기판 가공 장치 및 그 동작 방법
US9887082B1 (en) 2016-07-28 2018-02-06 Asm Ip Holding B.V. Method and apparatus for filling a gap
CN107794515B (zh) * 2016-09-01 2021-06-22 Asm Ip控股有限公司 通过形成基于烃的超薄膜对层进行保护的方法
US10703915B2 (en) 2016-09-19 2020-07-07 Versum Materials Us, Llc Compositions and methods for the deposition of silicon oxide films
CN109790036B (zh) * 2016-09-28 2022-11-04 美国陶氏有机硅公司 氯二硅氮烷
US10464953B2 (en) * 2016-10-14 2019-11-05 Versum Materials Us, Llc Carbon bridged aminosilane compounds for high growth rate silicon-containing films
JP2020502360A (ja) * 2016-10-25 2020-01-23 ビーエーエスエフ ソシエタス・ヨーロピアBasf Se ケイ素含有薄膜の生成方法
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
US10714350B2 (en) 2016-11-01 2020-07-14 ASM IP Holdings, B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US11735413B2 (en) 2016-11-01 2023-08-22 Versum Materials Us, Llc Precursors and flowable CVD methods for making low-k films to fill surface features
US10249489B2 (en) * 2016-11-02 2019-04-02 Versum Materials Us, Llc Use of silyl bridged alkyl compounds for dense OSG films
KR102546317B1 (ko) 2016-11-15 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기체 공급 유닛 및 이를 포함하는 기판 처리 장치
KR20180068582A (ko) 2016-12-14 2018-06-22 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11447861B2 (en) 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
US11581186B2 (en) 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
US10269558B2 (en) 2016-12-22 2019-04-23 Asm Ip Holding B.V. Method of forming a structure on a substrate
US11390950B2 (en) 2017-01-10 2022-07-19 Asm Ip Holding B.V. Reactor system and method to reduce residue buildup during a film deposition process
US11591692B2 (en) 2017-02-08 2023-02-28 Versum Materials Us, Llc Organoamino-polysiloxanes for deposition of silicon-containing films
US10176984B2 (en) 2017-02-14 2019-01-08 Lam Research Corporation Selective deposition of silicon oxide
US10468261B2 (en) 2017-02-15 2019-11-05 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
US10242866B2 (en) 2017-03-08 2019-03-26 Lam Research Corporation Selective deposition of silicon nitride on silicon oxide using catalytic control
EP3596254A4 (en) * 2017-03-17 2020-12-30 Versum Materials US, LLC SELECTIVE DEPOSITION ON SILICON-CONTAINING SURFACES
US10847529B2 (en) 2017-04-13 2020-11-24 Asm Ip Holding B.V. Substrate processing method and device manufactured by the same
US10559461B2 (en) 2017-04-19 2020-02-11 Lam Research Corporation Selective deposition with atomic layer etch reset
JP7249952B2 (ja) 2017-05-05 2023-03-31 エーエスエム アイピー ホールディング ビー.ブイ. 酸素含有薄膜の制御された形成のためのプラズマ増強堆積プロセス
US10770286B2 (en) 2017-05-08 2020-09-08 Asm Ip Holdings B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
KR20190009245A (ko) 2017-07-18 2019-01-28 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 구조물 형성 방법 및 관련된 반도체 소자 구조물
US11374112B2 (en) 2017-07-19 2022-06-28 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US10590535B2 (en) 2017-07-26 2020-03-17 Asm Ip Holdings B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
TWI784022B (zh) * 2017-07-31 2022-11-21 中國大陸商南大光電半導體材料有限公司 1,1,1-參(二甲胺基)二矽烷及其製備方法
US10770336B2 (en) 2017-08-08 2020-09-08 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US10692741B2 (en) 2017-08-08 2020-06-23 Asm Ip Holdings B.V. Radiation shield
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
KR102491945B1 (ko) 2017-08-30 2023-01-26 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
US11056344B2 (en) 2017-08-30 2021-07-06 Asm Ip Holding B.V. Layer forming method
US11049714B2 (en) * 2017-09-19 2021-06-29 Versum Materials Us, Llc Silyl substituted organoamines as precursors for high growth rate silicon-containing films
US10658205B2 (en) 2017-09-28 2020-05-19 Asm Ip Holdings B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
US10403504B2 (en) 2017-10-05 2019-09-03 Asm Ip Holding B.V. Method for selectively depositing a metallic film on a substrate
US10460930B2 (en) * 2017-11-22 2019-10-29 Lam Research Corporation Selective growth of SiO2 on dielectric surfaces in the presence of copper
JP7206265B2 (ja) 2017-11-27 2023-01-17 エーエスエム アイピー ホールディング ビー.ブイ. クリーン・ミニエンバイロメントを備える装置
CN111316417B (zh) 2017-11-27 2023-12-22 阿斯莫Ip控股公司 与批式炉偕同使用的用于储存晶圆匣的储存装置
TWI761636B (zh) 2017-12-04 2022-04-21 荷蘭商Asm Ip控股公司 電漿增強型原子層沉積製程及沉積碳氧化矽薄膜的方法
US10872771B2 (en) 2018-01-16 2020-12-22 Asm Ip Holding B. V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
CN111630203A (zh) 2018-01-19 2020-09-04 Asm Ip私人控股有限公司 通过等离子体辅助沉积来沉积间隙填充层的方法
TWI799494B (zh) 2018-01-19 2023-04-21 荷蘭商Asm 智慧財產控股公司 沈積方法
US11098069B2 (en) 2018-01-30 2021-08-24 Versum Materials Us, Llc Organoamino-functionalized cyclic oligosiloxanes for deposition of silicon-containing films
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
US10896820B2 (en) 2018-02-14 2021-01-19 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
US11685991B2 (en) 2018-02-14 2023-06-27 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
KR102636427B1 (ko) 2018-02-20 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 장치
US10975470B2 (en) 2018-02-23 2021-04-13 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
KR20200118504A (ko) 2018-03-02 2020-10-15 램 리써치 코포레이션 가수분해를 사용한 선택적인 증착
US11629406B2 (en) 2018-03-09 2023-04-18 Asm Ip Holding B.V. Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate
US11114283B2 (en) 2018-03-16 2021-09-07 Asm Ip Holding B.V. Reactor, system including the reactor, and methods of manufacturing and using same
KR102646467B1 (ko) 2018-03-27 2024-03-11 에이에스엠 아이피 홀딩 비.브이. 기판 상에 전극을 형성하는 방법 및 전극을 포함하는 반도체 소자 구조
US11230766B2 (en) 2018-03-29 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR20190128558A (ko) 2018-05-08 2019-11-18 에이에스엠 아이피 홀딩 비.브이. 기판 상에 산화물 막을 주기적 증착 공정에 의해 증착하기 위한 방법 및 관련 소자 구조
KR102596988B1 (ko) 2018-05-28 2023-10-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 그에 의해 제조된 장치
US11270899B2 (en) 2018-06-04 2022-03-08 Asm Ip Holding B.V. Wafer handling chamber with moisture reduction
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
US11286562B2 (en) 2018-06-08 2022-03-29 Asm Ip Holding B.V. Gas-phase chemical reactor and method of using same
US10797133B2 (en) 2018-06-21 2020-10-06 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
KR102568797B1 (ko) 2018-06-21 2023-08-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 시스템
WO2020003000A1 (en) 2018-06-27 2020-01-02 Asm Ip Holding B.V. Cyclic deposition methods for forming metal-containing material and films and structures including the metal-containing material
KR20210024462A (ko) 2018-06-27 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 금속 함유 재료를 형성하기 위한 주기적 증착 방법 및 금속 함유 재료를 포함하는 필름 및 구조체
US10612136B2 (en) 2018-06-29 2020-04-07 ASM IP Holding, B.V. Temperature-controlled flange and reactor system including same
US10388513B1 (en) 2018-07-03 2019-08-20 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10755922B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US10985010B2 (en) * 2018-08-29 2021-04-20 Versum Materials Us, Llc Methods for making silicon and nitrogen containing films
KR20200030162A (ko) 2018-09-11 2020-03-20 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
US11024523B2 (en) 2018-09-11 2021-06-01 Asm Ip Holding B.V. Substrate processing apparatus and method
CN110970344A (zh) 2018-10-01 2020-04-07 Asm Ip控股有限公司 衬底保持设备、包含所述设备的系统及其使用方法
US11232963B2 (en) 2018-10-03 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102592699B1 (ko) 2018-10-08 2023-10-23 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 박막 증착 장치와 기판 처리 장치
KR102546322B1 (ko) 2018-10-19 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
KR102605121B1 (ko) 2018-10-19 2023-11-23 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
US10943791B2 (en) * 2018-10-31 2021-03-09 Taiwan Semiconductor Manufacturing Co., Ltd. Pattern formation method and method for manufacturing a semiconductor device
US11087997B2 (en) 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
KR20200051105A (ko) 2018-11-02 2020-05-13 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 기판 처리 장치
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US10847366B2 (en) 2018-11-16 2020-11-24 Asm Ip Holding B.V. Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process
US10818758B2 (en) 2018-11-16 2020-10-27 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US11217444B2 (en) 2018-11-30 2022-01-04 Asm Ip Holding B.V. Method for forming an ultraviolet radiation responsive metal oxide-containing film
KR102636428B1 (ko) 2018-12-04 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치를 세정하는 방법
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
JP2020096183A (ja) 2018-12-14 2020-06-18 エーエスエム・アイピー・ホールディング・ベー・フェー 窒化ガリウムの選択的堆積を用いてデバイス構造体を形成する方法及びそのためのシステム
TWI819180B (zh) 2019-01-17 2023-10-21 荷蘭商Asm 智慧財產控股公司 藉由循環沈積製程於基板上形成含過渡金屬膜之方法
KR20200091543A (ko) 2019-01-22 2020-07-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
CN111524788B (zh) 2019-02-01 2023-11-24 Asm Ip私人控股有限公司 氧化硅的拓扑选择性膜形成的方法
CN113383108A (zh) * 2019-02-05 2021-09-10 弗萨姆材料美国有限责任公司 碳掺杂氧化硅的沉积
KR102626263B1 (ko) 2019-02-20 2024-01-16 에이에스엠 아이피 홀딩 비.브이. 처리 단계를 포함하는 주기적 증착 방법 및 이를 위한 장치
TW202104632A (zh) 2019-02-20 2021-02-01 荷蘭商Asm Ip私人控股有限公司 用來填充形成於基材表面內之凹部的循環沉積方法及設備
KR20200102357A (ko) 2019-02-20 2020-08-31 에이에스엠 아이피 홀딩 비.브이. 3-d nand 응용의 플러그 충진체 증착용 장치 및 방법
JP2020136678A (ja) 2019-02-20 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材表面内に形成された凹部を充填するための方法および装置
JP2020133004A (ja) 2019-02-22 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材を処理するための基材処理装置および方法
KR20200108243A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOC 층을 포함한 구조체 및 이의 형성 방법
KR20200108248A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOCN 층을 포함한 구조체 및 이의 형성 방법
KR20200108242A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. 실리콘 질화물 층을 선택적으로 증착하는 방법, 및 선택적으로 증착된 실리콘 질화물 층을 포함하는 구조체
KR20200116033A (ko) 2019-03-28 2020-10-08 에이에스엠 아이피 홀딩 비.브이. 도어 개방기 및 이를 구비한 기판 처리 장치
KR20200116855A (ko) 2019-04-01 2020-10-13 에이에스엠 아이피 홀딩 비.브이. 반도체 소자를 제조하는 방법
US20210017198A1 (en) 2019-04-05 2021-01-21 Versum Materials Us, Llc Organoamino-Functionalized Cyclic Oligosiloxanes For Deposition Of Silicon-Containing Films
US11447864B2 (en) 2019-04-19 2022-09-20 Asm Ip Holding B.V. Layer forming method and apparatus
KR20200125453A (ko) 2019-04-24 2020-11-04 에이에스엠 아이피 홀딩 비.브이. 기상 반응기 시스템 및 이를 사용하는 방법
WO2020219349A1 (en) * 2019-04-25 2020-10-29 Versum Materials Us, Llc Organoaminodisilazanes for high temperature atomic layer deposition of silicon oxide thin films
KR20200130121A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 딥 튜브가 있는 화학물질 공급원 용기
KR20200130118A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 비정질 탄소 중합체 막을 개질하는 방법
KR20200130652A (ko) 2019-05-10 2020-11-19 에이에스엠 아이피 홀딩 비.브이. 표면 상에 재료를 증착하는 방법 및 본 방법에 따라 형성된 구조
JP2020188254A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
JP2020188255A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
USD935572S1 (en) 2019-05-24 2021-11-09 Asm Ip Holding B.V. Gas channel plate
KR20200141003A (ko) 2019-06-06 2020-12-17 에이에스엠 아이피 홀딩 비.브이. 가스 감지기를 포함하는 기상 반응기 시스템
KR20200143254A (ko) 2019-06-11 2020-12-23 에이에스엠 아이피 홀딩 비.브이. 개질 가스를 사용하여 전자 구조를 형성하는 방법, 상기 방법을 수행하기 위한 시스템, 및 상기 방법을 사용하여 형성되는 구조
USD944946S1 (en) 2019-06-14 2022-03-01 Asm Ip Holding B.V. Shower plate
USD931978S1 (en) 2019-06-27 2021-09-28 Asm Ip Holding B.V. Showerhead vacuum transport
KR20210005515A (ko) 2019-07-03 2021-01-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치용 온도 제어 조립체 및 이를 사용하는 방법
JP2021015791A (ja) 2019-07-09 2021-02-12 エーエスエム アイピー ホールディング ビー.ブイ. 同軸導波管を用いたプラズマ装置、基板処理方法
CN112216646A (zh) 2019-07-10 2021-01-12 Asm Ip私人控股有限公司 基板支撑组件及包括其的基板处理装置
KR20210010307A (ko) 2019-07-16 2021-01-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210010816A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 라디칼 보조 점화 플라즈마 시스템 및 방법
KR20210010820A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 실리콘 게르마늄 구조를 형성하는 방법
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
CN112242296A (zh) 2019-07-19 2021-01-19 Asm Ip私人控股有限公司 形成拓扑受控的无定形碳聚合物膜的方法
CN112309843A (zh) 2019-07-29 2021-02-02 Asm Ip私人控股有限公司 实现高掺杂剂掺入的选择性沉积方法
CN112309899A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
CN112309900A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
CN112323048B (zh) 2019-08-05 2024-02-09 Asm Ip私人控股有限公司 用于化学源容器的液位传感器
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
JP2021031769A (ja) 2019-08-21 2021-03-01 エーエスエム アイピー ホールディング ビー.ブイ. 成膜原料混合ガス生成装置及び成膜装置
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
USD949319S1 (en) 2019-08-22 2022-04-19 Asm Ip Holding B.V. Exhaust duct
USD930782S1 (en) 2019-08-22 2021-09-14 Asm Ip Holding B.V. Gas distributor
USD940837S1 (en) 2019-08-22 2022-01-11 Asm Ip Holding B.V. Electrode
KR20210024423A (ko) 2019-08-22 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 홀을 구비한 구조체를 형성하기 위한 방법
KR20210024420A (ko) 2019-08-23 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 비스(디에틸아미노)실란을 사용하여 peald에 의해 개선된 품질을 갖는 실리콘 산화물 막을 증착하기 위한 방법
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
KR20210029090A (ko) 2019-09-04 2021-03-15 에이에스엠 아이피 홀딩 비.브이. 희생 캡핑 층을 이용한 선택적 증착 방법
KR20210029663A (ko) 2019-09-05 2021-03-16 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
CN112593212B (zh) 2019-10-02 2023-12-22 Asm Ip私人控股有限公司 通过循环等离子体增强沉积工艺形成拓扑选择性氧化硅膜的方法
TW202129060A (zh) 2019-10-08 2021-08-01 荷蘭商Asm Ip控股公司 基板處理裝置、及基板處理方法
TW202115273A (zh) 2019-10-10 2021-04-16 荷蘭商Asm Ip私人控股有限公司 形成光阻底層之方法及包括光阻底層之結構
KR20210045930A (ko) 2019-10-16 2021-04-27 에이에스엠 아이피 홀딩 비.브이. 실리콘 산화물의 토폴로지-선택적 막의 형성 방법
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
KR20210047808A (ko) 2019-10-21 2021-04-30 에이에스엠 아이피 홀딩 비.브이. 막을 선택적으로 에칭하기 위한 장치 및 방법
KR20210050453A (ko) 2019-10-25 2021-05-07 에이에스엠 아이피 홀딩 비.브이. 기판 표면 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
KR20210054983A (ko) 2019-11-05 2021-05-14 에이에스엠 아이피 홀딩 비.브이. 도핑된 반도체 층을 갖는 구조체 및 이를 형성하기 위한 방법 및 시스템
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
KR20210062561A (ko) 2019-11-20 2021-05-31 에이에스엠 아이피 홀딩 비.브이. 기판의 표면 상에 탄소 함유 물질을 증착하는 방법, 상기 방법을 사용하여 형성된 구조물, 및 상기 구조물을 형성하기 위한 시스템
KR20210065848A (ko) 2019-11-26 2021-06-04 에이에스엠 아이피 홀딩 비.브이. 제1 유전체 표면과 제2 금속성 표면을 포함한 기판 상에 타겟 막을 선택적으로 형성하기 위한 방법
CN112951697A (zh) 2019-11-26 2021-06-11 Asm Ip私人控股有限公司 基板处理设备
CN112885692A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
CN112885693A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
JP2021090042A (ja) 2019-12-02 2021-06-10 エーエスエム アイピー ホールディング ビー.ブイ. 基板処理装置、基板処理方法
KR20210070898A (ko) 2019-12-04 2021-06-15 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210078405A (ko) 2019-12-17 2021-06-28 에이에스엠 아이피 홀딩 비.브이. 바나듐 나이트라이드 층을 형성하는 방법 및 바나듐 나이트라이드 층을 포함하는 구조
KR20210080214A (ko) 2019-12-19 2021-06-30 에이에스엠 아이피 홀딩 비.브이. 기판 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
US11499014B2 (en) 2019-12-31 2022-11-15 L'Air Liquide, Société Anonyme pour l'Etude et l'Exploitation des Procédés Georges Claude Cureable formulations for forming low-k dielectric silicon-containing films using polycarbosilazane
JP2021109175A (ja) 2020-01-06 2021-08-02 エーエスエム・アイピー・ホールディング・ベー・フェー ガス供給アセンブリ、その構成要素、およびこれを含む反応器システム
US11993847B2 (en) 2020-01-08 2024-05-28 Asm Ip Holding B.V. Injector
KR20210095050A (ko) 2020-01-20 2021-07-30 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법 및 박막 표면 개질 방법
TW202130846A (zh) 2020-02-03 2021-08-16 荷蘭商Asm Ip私人控股有限公司 形成包括釩或銦層的結構之方法
TW202146882A (zh) 2020-02-04 2021-12-16 荷蘭商Asm Ip私人控股有限公司 驗證一物品之方法、用於驗證一物品之設備、及用於驗證一反應室之系統
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
US11781243B2 (en) 2020-02-17 2023-10-10 Asm Ip Holding B.V. Method for depositing low temperature phosphorous-doped silicon
US20210265158A1 (en) * 2020-02-25 2021-08-26 Asm Ip Holding B.V. Method of forming low-k material layer, structure including the layer, and system for forming same
TW202203344A (zh) 2020-02-28 2022-01-16 荷蘭商Asm Ip控股公司 專用於零件清潔的系統
KR20210116240A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 조절성 접합부를 갖는 기판 핸들링 장치
US11876356B2 (en) 2020-03-11 2024-01-16 Asm Ip Holding B.V. Lockout tagout assembly and system and method of using same
KR20210117157A (ko) 2020-03-12 2021-09-28 에이에스엠 아이피 홀딩 비.브이. 타겟 토폴로지 프로파일을 갖는 층 구조를 제조하기 위한 방법
KR20210124042A (ko) 2020-04-02 2021-10-14 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법
EP4110968A4 (en) 2020-04-02 2023-09-13 Versum Materials US, LLC ORGANOAMINO-FUNCTIONALIZED CYCLIC OLIGOSILOXANES FOR THE DEPOSITION OF SILICON-CONTAINING FILM
TW202146689A (zh) 2020-04-03 2021-12-16 荷蘭商Asm Ip控股公司 阻障層形成方法及半導體裝置的製造方法
TW202145344A (zh) 2020-04-08 2021-12-01 荷蘭商Asm Ip私人控股有限公司 用於選擇性蝕刻氧化矽膜之設備及方法
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
US11996289B2 (en) 2020-04-16 2024-05-28 Asm Ip Holding B.V. Methods of forming structures including silicon germanium and silicon layers, devices formed using the methods, and systems for performing the methods
TW202140831A (zh) 2020-04-24 2021-11-01 荷蘭商Asm Ip私人控股有限公司 形成含氮化釩層及包含該層的結構之方法
KR20210132600A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐, 질소 및 추가 원소를 포함한 층을 증착하기 위한 방법 및 시스템
TW202146831A (zh) 2020-04-24 2021-12-16 荷蘭商Asm Ip私人控股有限公司 垂直批式熔爐總成、及用於冷卻垂直批式熔爐之方法
KR20210134226A (ko) 2020-04-29 2021-11-09 에이에스엠 아이피 홀딩 비.브이. 고체 소스 전구체 용기
KR20210134869A (ko) 2020-05-01 2021-11-11 에이에스엠 아이피 홀딩 비.브이. Foup 핸들러를 이용한 foup의 빠른 교환
KR20210141379A (ko) 2020-05-13 2021-11-23 에이에스엠 아이피 홀딩 비.브이. 반응기 시스템용 레이저 정렬 고정구
KR20210143653A (ko) 2020-05-19 2021-11-29 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210145078A (ko) 2020-05-21 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 다수의 탄소 층을 포함한 구조체 및 이를 형성하고 사용하는 방법
TW202200837A (zh) 2020-05-22 2022-01-01 荷蘭商Asm Ip私人控股有限公司 用於在基材上形成薄膜之反應系統
TW202201602A (zh) 2020-05-29 2022-01-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202218133A (zh) 2020-06-24 2022-05-01 荷蘭商Asm Ip私人控股有限公司 形成含矽層之方法
TW202217953A (zh) 2020-06-30 2022-05-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
KR20220010438A (ko) 2020-07-17 2022-01-25 에이에스엠 아이피 홀딩 비.브이. 포토리소그래피에 사용하기 위한 구조체 및 방법
TW202204662A (zh) 2020-07-20 2022-02-01 荷蘭商Asm Ip私人控股有限公司 用於沉積鉬層之方法及系統
TW202212623A (zh) 2020-08-26 2022-04-01 荷蘭商Asm Ip私人控股有限公司 形成金屬氧化矽層及金屬氮氧化矽層的方法、半導體結構、及系統
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
TW202229613A (zh) 2020-10-14 2022-08-01 荷蘭商Asm Ip私人控股有限公司 於階梯式結構上沉積材料的方法
KR20220053482A (ko) 2020-10-22 2022-04-29 에이에스엠 아이피 홀딩 비.브이. 바나듐 금속을 증착하는 방법, 구조체, 소자 및 증착 어셈블리
TW202223136A (zh) 2020-10-28 2022-06-16 荷蘭商Asm Ip私人控股有限公司 用於在基板上形成層之方法、及半導體處理系統
TW202235675A (zh) 2020-11-30 2022-09-16 荷蘭商Asm Ip私人控股有限公司 注入器、及基板處理設備
US11946137B2 (en) 2020-12-16 2024-04-02 Asm Ip Holding B.V. Runout and wobble measurement fixtures
TW202231903A (zh) 2020-12-22 2022-08-16 荷蘭商Asm Ip私人控股有限公司 過渡金屬沉積方法、過渡金屬層、用於沉積過渡金屬於基板上的沉積總成
WO2022164698A1 (en) * 2021-01-26 2022-08-04 Entegris, Inc. High throughput deposition process
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
USD1023959S1 (en) 2021-05-11 2024-04-23 Asm Ip Holding B.V. Electrode for substrate processing apparatus
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
KR20240050393A (ko) * 2021-08-25 2024-04-18 엔테그리스, 아이엔씨. 규소 전구체
KR20240046610A (ko) * 2021-08-30 2024-04-09 엔테그리스, 아이엔씨. 규소 전구체 물질, 규소-함유 필름, 및 관련 방법
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate
WO2023220650A1 (en) * 2022-05-13 2023-11-16 Versum Materials Us, Llc Compositions and methods using same for carbon doped silicon containing films

Family Cites Families (24)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
FR2598520B1 (fr) 1986-01-21 1994-01-28 Seiko Epson Corp Pellicule protectrice minerale
EP1026152B1 (en) * 1997-03-31 2006-07-26 Daikin Industries, Limited Process for producing perfluorovinyl ethersulfonic acid derivatives
IT1301973B1 (it) * 1998-07-31 2000-07-20 Ausimont Spa Idro-fluoroalchilvinileteri e procedimento per il loro ottenimento
JP4196246B2 (ja) 2000-11-17 2008-12-17 株式会社トリケミカル研究所 膜形成材料、膜形成方法、及び素子
JP2003151972A (ja) 2001-11-15 2003-05-23 Tri Chemical Laboratory Inc 酸化膜、酸化膜形成方法、半導体素子
JP2004018454A (ja) * 2002-06-14 2004-01-22 Daikin Ind Ltd 含フッ素フルオロスルフォニルアルキルビニルエーテル製造方法
US7125582B2 (en) 2003-07-30 2006-10-24 Intel Corporation Low-temperature silicon nitride deposition
US7875556B2 (en) 2005-05-16 2011-01-25 Air Products And Chemicals, Inc. Precursors for CVD silicon carbo-nitride and silicon nitride films
US7875312B2 (en) 2006-05-23 2011-01-25 Air Products And Chemicals, Inc. Process for producing silicon oxide films for organoaminosilane precursors
US8071160B2 (en) 2007-10-29 2011-12-06 Integrated Surface Technologies Surface coating process
JPWO2010093041A1 (ja) 2009-02-16 2012-08-16 三菱樹脂株式会社 ガスバリア性積層フィルムの製造方法
CN102247821B (zh) 2010-05-21 2013-06-26 月旭材料科技(上海)有限公司 高pH耐受性色谱填料及制备方法
US8912353B2 (en) * 2010-06-02 2014-12-16 Air Products And Chemicals, Inc. Organoaminosilane precursors and methods for depositing films comprising same
US8771807B2 (en) * 2011-05-24 2014-07-08 Air Products And Chemicals, Inc. Organoaminosilane precursors and methods for making and using same
US8993072B2 (en) 2011-09-27 2015-03-31 Air Products And Chemicals, Inc. Halogenated organoaminosilane precursors and methods for depositing films comprising same
JP5596654B2 (ja) 2011-10-14 2014-09-24 丸善製薬株式会社 リパーゼ阻害剤
JP5969253B2 (ja) * 2012-02-10 2016-08-17 東京応化工業株式会社 表面処理剤及び表面処理方法
US20130224964A1 (en) 2012-02-28 2013-08-29 Asm Ip Holding B.V. Method for Forming Dielectric Film Containing Si-C bonds by Atomic Layer Deposition Using Precursor Containing Si-C-Si bond
US11577179B2 (en) 2013-06-11 2023-02-14 Waters Technologies Corporation Chromatographic columns and separation devices comprising a superficially porous material; and use thereof for supercritical fluid chromatography and other chromatography
US9796739B2 (en) * 2013-06-26 2017-10-24 Versum Materials Us, Llc AZA-polysilane precursors and methods for depositing films comprising same
US10453675B2 (en) * 2013-09-20 2019-10-22 Versum Materials Us, Llc Organoaminosilane precursors and methods for depositing films comprising same
US9233990B2 (en) * 2014-02-28 2016-01-12 Air Products And Chemicals, Inc. Organoaminosilanes and methods for making same
US20170190720A1 (en) 2014-07-10 2017-07-06 L'Air Liquide, Société Anonyme pour I'Etude et I'Exploitation des Procédés Georges Claude Alkylamino-substituted carbosilane precursors
CN107002236B (zh) * 2014-09-23 2019-04-05 乔治洛德方法研究和开发液化空气有限公司 用于沉积含Si膜的碳硅烷取代的胺前体以及其方法

Cited By (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR20180092295A (ko) * 2017-02-08 2018-08-17 버슘머트리얼즈 유에스, 엘엘씨 실리콘-함유 막을 증착시키기 위한 오가노아미노-작용화된 선형 및 환형 올리고실록산
KR20190118981A (ko) * 2018-04-11 2019-10-21 버슘머트리얼즈 유에스, 엘엘씨 규소 포함 필름의 증착을 위한, 유기 아미노로 작용화된 환식 올리고실록산

Also Published As

Publication number Publication date
US10460929B2 (en) 2019-10-29
EP3594219B1 (en) 2023-01-25
EP3339312A1 (en) 2018-06-27
JP6928035B2 (ja) 2021-09-01
CN104672265A (zh) 2015-06-03
TW201512210A (zh) 2015-04-01
EP3339312B1 (en) 2021-05-12
TWI652278B (zh) 2019-03-01
US20210407793A1 (en) 2021-12-30
US10453675B2 (en) 2019-10-22
KR101749705B1 (ko) 2017-06-23
TW201609767A (zh) 2016-03-16
JP2021185150A (ja) 2021-12-09
JP2023145538A (ja) 2023-10-11
US20200051811A1 (en) 2020-02-13
JP2015096489A (ja) 2015-05-21
TW201629074A (zh) 2016-08-16
US20170207084A1 (en) 2017-07-20
TWI535729B (zh) 2016-06-01
KR20150032816A (ko) 2015-03-30
EP2860182A2 (en) 2015-04-15
EP2860182B1 (en) 2020-01-22
EP3095788A2 (en) 2016-11-23
TWI636988B (zh) 2018-10-01
KR20170073562A (ko) 2017-06-28
US20150087139A1 (en) 2015-03-26
US11139162B2 (en) 2021-10-05
JP6777680B2 (ja) 2020-10-28
JP2018150312A (ja) 2018-09-27
JP2019194226A (ja) 2019-11-07
EP3594219A1 (en) 2020-01-15
EP2860182A3 (en) 2015-08-05
TWI582100B (zh) 2017-05-11
JP2017125017A (ja) 2017-07-20
JP6588480B2 (ja) 2019-10-09
EP3095788A3 (en) 2017-04-05
TW201722968A (zh) 2017-07-01
EP3095788B1 (en) 2019-07-03
CN104672265B (zh) 2020-10-30

Similar Documents

Publication Publication Date Title
JP6928035B2 (ja) 有機アミノシラン前駆体およびこれを含む膜の堆積方法
KR102067473B1 (ko) 유기아미노디실란 전구체 및 이를 포함하는 막을 증착시키는 방법
EP2818474B1 (en) Aza-polysilane precursors and methods for depositing films comprising same
KR20190143489A (ko) 고성장률 규소-함유 필름을 위한 전구체로서 작용성화된 사이클로실라잔

Legal Events

Date Code Title Description
A107 Divisional application of patent
N231 Notification of change of applicant
A201 Request for examination
E902 Notification of reason for refusal
E601 Decision to refuse application