CN103012457A - 卤代有机氨基硅烷前体及包含该前体的薄膜沉积方法 - Google Patents

卤代有机氨基硅烷前体及包含该前体的薄膜沉积方法 Download PDF

Info

Publication number
CN103012457A
CN103012457A CN2012103922079A CN201210392207A CN103012457A CN 103012457 A CN103012457 A CN 103012457A CN 2012103922079 A CN2012103922079 A CN 2012103922079A CN 201210392207 A CN201210392207 A CN 201210392207A CN 103012457 A CN103012457 A CN 103012457A
Authority
CN
China
Prior art keywords
alkyl
chlorosilane
aryl
alkynyl
thiazolinyl
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
CN2012103922079A
Other languages
English (en)
Other versions
CN103012457B (zh
Inventor
萧满超
雷新建
M·L·奥内尔
韩冰
R·M·皮尔斯泰恩
H·钱德拉
H·R·伯文
A·德雷克斯凯-科瓦克斯
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Versum Materials US LLC
Original Assignee
Air Products and Chemicals Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Air Products and Chemicals Inc filed Critical Air Products and Chemicals Inc
Priority to CN201711096518.XA priority Critical patent/CN107857774A/zh
Priority to CN201710325154.1A priority patent/CN107312028B/zh
Publication of CN103012457A publication Critical patent/CN103012457A/zh
Application granted granted Critical
Publication of CN103012457B publication Critical patent/CN103012457B/zh
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Classifications

    • CCHEMISTRY; METALLURGY
    • C07ORGANIC CHEMISTRY
    • C07FACYCLIC, CARBOCYCLIC OR HETEROCYCLIC COMPOUNDS CONTAINING ELEMENTS OTHER THAN CARBON, HYDROGEN, HALOGEN, OXYGEN, NITROGEN, SULFUR, SELENIUM OR TELLURIUM
    • C07F7/00Compounds containing elements of Groups 4 or 14 of the Periodic Table
    • C07F7/02Silicon compounds
    • C07F7/08Compounds having one or more C—Si linkages
    • C07F7/12Organo silicon halides
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • CCHEMISTRY; METALLURGY
    • C07ORGANIC CHEMISTRY
    • C07FACYCLIC, CARBOCYCLIC OR HETEROCYCLIC COMPOUNDS CONTAINING ELEMENTS OTHER THAN CARBON, HYDROGEN, HALOGEN, OXYGEN, NITROGEN, SULFUR, SELENIUM OR TELLURIUM
    • C07F7/00Compounds containing elements of Groups 4 or 14 of the Periodic Table
    • C07F7/02Silicon compounds
    • C07F7/025Silicon compounds without C-silicon linkages
    • CCHEMISTRY; METALLURGY
    • C07ORGANIC CHEMISTRY
    • C07FACYCLIC, CARBOCYCLIC OR HETEROCYCLIC COMPOUNDS CONTAINING ELEMENTS OTHER THAN CARBON, HYDROGEN, HALOGEN, OXYGEN, NITROGEN, SULFUR, SELENIUM OR TELLURIUM
    • C07F7/00Compounds containing elements of Groups 4 or 14 of the Periodic Table
    • C07F7/02Silicon compounds
    • C07F7/08Compounds having one or more C—Si linkages
    • C07F7/10Compounds having one or more C—Si linkages containing nitrogen having a Si-N linkage
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/24Deposition of silicon only
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/40Oxides
    • C23C16/401Oxides containing silicon
    • C23C16/402Silicon dioxide
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45527Atomic layer deposition [ALD] characterized by the ALD cycle, e.g. different flows or temperatures during half-reactions, unusual pulsing sequence, use of precursor mixtures or auxiliary reactants or activations
    • C23C16/45536Use of plasma, radiation or electromagnetic fields
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45553Atomic layer deposition [ALD] characterized by the use of precursors specially adapted for ALD
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/02126Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material containing Si, O, and at least one of H, N, C, F, or other non-metal elements, e.g. SiOC, SiOC:H or SiONC
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/02164Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material being a silicon oxide, e.g. SiO2
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02205Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition
    • H01L21/02208Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si
    • H01L21/02219Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si the compound comprising silicon and nitrogen
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/0228Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition deposition by cyclic CVD, e.g. ALD, ALE, pulsed CVD
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02518Deposited layers
    • H01L21/02521Materials
    • H01L21/02524Group 14 semiconducting materials
    • H01L21/02532Silicon, silicon germanium, germanium
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02612Formation types
    • H01L21/02617Deposition types
    • H01L21/0262Reduction or decomposition of gaseous compounds, e.g. CVD

Landscapes

  • Chemical & Material Sciences (AREA)
  • Engineering & Computer Science (AREA)
  • Organic Chemistry (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Physics & Mathematics (AREA)
  • General Chemical & Material Sciences (AREA)
  • Materials Engineering (AREA)
  • Mechanical Engineering (AREA)
  • Metallurgy (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Inorganic Chemistry (AREA)
  • Electromagnetism (AREA)
  • Plasma & Fusion (AREA)
  • Chemical Vapour Deposition (AREA)
  • Formation Of Insulating Films (AREA)
  • Internal Circuitry In Semiconductor Integrated Circuit Devices (AREA)

Abstract

本发明描述了形成薄膜的前体和方法。在一个方面,提供了具有下式I的硅前体:XmR1 nHpSi(NR2R3)4-m-n-p I其中X选自C1、Br、I;R1选自直链或支链C1-C10烷基、C2-C12烯基、C2-C12炔基、C4-C10环烷基和C6-C10芳基;R2选自直链或支链C1-C10烷基、C3-C12烯基、C3-C12炔基、C4-C10环烷基和C6-C10芳基;R3选自支链C3-C10烷基、C3-C12烯基、C3-C12炔基、C4-C10环烷基和C6-C10芳基;m是1或2;n是0、1或2;p是0、1或2;且m+n+p小于4,其中R2和R3连接形成环或不连接。

Description

卤代有机氨基硅烷前体及包含该前体的薄膜沉积方法
相关申请的交叉引用
本申请根据35U.S.C.119要求下列申请的优先权:2011年9月27日提交的U.S.临时申请号61/539,717,其所披露的内容整体以引用的方式并入本文。
背景技术
本文描述了可以用于沉积介电薄膜的前体,特别是卤代有机氨基硅烷前体,所述介电薄膜包括,但不限于,含硅薄膜诸如硅、无定形硅、晶体硅、微晶硅、多晶硅、氮化硅、氧化硅、碳掺杂的氧化硅、碳氮化硅和氧氮化硅薄膜。在又另一方面,本文描述了用于沉积含硅介电薄膜的卤代有机氨基硅烷前体在制造集成电路器件中的用途。在这些或其它的方面,卤代有机氨基硅烷前体可以用于多种基于气相的沉积工艺,包括,但不限于原子层沉积(“ALD”)、化学气相沉积(“CVD”)、循环化学气相沉积(“CCVD”)、等离子体增强化学气相沉积(“PECVD”)、低压化学气相沉积(“LPCVD”)和常压化学气相沉积(“APCVD”)或基于液体的沉积工艺,包括,但不限于旋涂、浸涂、气溶胶、喷墨、丝网印刷或喷射沉积或薄膜形成法。
几类化合物可用作含硅薄膜(例如,但不限于,氧化硅或氮化硅薄膜)的前体。适合用作前体的这些化合物的实例包括硅烷类、氯硅烷类、聚硅氮烷类、氨基硅烷类和叠氮基硅烷类。惰性载气或稀释剂(例如,但不限于,氦、氢、氮等)也用于输送前体到反应室中。
美国专利6,869,638描述了采用金属氨基化物和下式的氨基硅烷化合物在衬底上形成栅介电薄膜例如栅介电的、高介电常数金属氧化物和铁电金属氧化物的CVD方法:HxSiAy(NR1R2)4-x-y,其中H是氢;x为0至3;N是氮,各R1和R2相同或不同且独立地选自下组:H、芳基、全氟芳基、C1-C8烷基和C1-C8全氟烷基;且n为1-6。6,869,638号专利中描述的氨基硅烷前体的实例包括二(二乙基氨基)二氯硅烷和三(二乙基氨基)氯硅烷。
WO 2011/123792描述了由氨基-金属前体和卤代金属前体的组合形成含金属-氮化物的薄膜的低温的、基于热或等离子体的ALD方法,优选从氨基硅烷前体和氯硅烷前体的组合形成含SiN的薄膜。该WO 2011/12792申请中描述了包含氨基氯硅烷和氨基烷基硅烷前体的氨基硅烷前体,所述氨基氯硅烷具有式Cl4-xSi(NR’R”)x,其中x=2或3,R’和R”独立地选自H或烷基,且R和R”可以连接以形成环结构,所述氨基烷基硅烷前体具有式R’”4-xSi(NR’R”)x,其中x=1、2或3,R’和R”独立地选自H或烷基,R’和R”可以连接以形成环结构,且R’”是具有少于三个碳的烷基。
参考文献“Substitution of chlorine in silicon tetrachloride bydimethyl,diethylamino,and piperidino groups”,Breederveld等,Research(London)5:537-9(1952)描述了通过用二烷基氨基逐步替换SiCl4中的原子来合成二烷基氨基氯硅烷,从而产生一种或多种以下化合物:二乙基氨基三氯硅烷、二(二乙基氨基)二氯硅烷、三(二乙基氨基)氯硅烷或四(二乙基氨基)硅烷。类似的过程用于制备哌啶子基三氯硅烷和二哌啶子基二氯硅烷。
参考文献“Molecular structures of some(dimethylamino)halogenosilanes in the gas phase by electrondiffraction and the crystal and molecular structures on mono-anddi-chloro(dimethylamino)silane by x-ray diffraction at lowtemperatures”,Anderson等,J.Chem.Soc.,(1987)描述了(二甲基氨基)卤代硅烷SiH2X(NMe2),其中X=Cl、Br或I。
参考文献“Chloroaminosilanes.I.Preparation ofchloro(dimethylamino)hydrogen silanes”,Washburne等,Inorg.Nucl.Chem.,5(1):17-19(1969)描述了HSiCl2NMe2(I)、HSiCl(NMe2)2(II)和HSi(NMe2)3(III)的制备以及这些化合物的相关化学性质。
参考文献“Preparation of β-cyanoethyltrichlorosilane usingsilylamine catalysts”,Pike等,Journal of Organic Chemistry,27(6):21-90-92(1962)描述了(CH3)3SiNR2型甲硅烷基胺,其通过将三氯硅烷添加到丙烯腈上被证明是定向催化剂。该参考文献中描述的甲硅烷基胺的一个实例是(iPr2N)SiCl2H。
本领域需要提供可用于沉积含硅薄膜并提供一个或多个以下优点的前体:低的加工温度(例如,300℃或以下);相对良好的沉积速率;组成均匀性和/或高纯度。
发明简述
本文描述了卤代有机氨基硅烷前体和将其用于在衬底的至少一部分上形成包含硅的薄膜的方法,含硅薄膜诸如但不限于硅薄膜、氧化硅、碳掺杂的氧化硅、氮化硅、氧氮化硅、碳化硅、碳氮化硅及其组合。本文还公开了在待加工的物体(例如,举例来说,半导体晶片)上形成介电薄膜或涂层的方法。在本文所述方法的一个实施方式中,包含硅和氧的层在生成衬底上的氧化硅层的条件下,在沉积室中使用卤代有机氨基硅烷前体、任选的一种或多种另外的非卤代有机氨基硅烷前体和氧化剂而沉积到衬底上。在本文所述方法的另一个实施方式中,包含硅和氮的层在生成衬底上的氮化硅层的条件下,在沉积室中使用卤代前体、任选的一种或多种非卤代有机氨基硅烷前体和含氮前体而沉积到衬底上。在进一步的实施方式中,本文所述的卤代有机氨基硅烷前体也可以用作含金属薄膜(例如,但不限于,金属氧化物薄膜或金属氮化物薄膜)的掺杂剂。在本文所述方法中,本文所述的具有式I的卤代有机氨基硅烷用作至少一种含硅前体。
如本领域技术人员可理解的,在本文中所描述的式I中,在R2和R3连接在一起以形成环的情况下,R2包括用于与R3连接的键(而不是氢取代基),反之亦然。因此,在R2和R3连接在一起以形成环的情况下,R2选自直链或支链C1-C10亚烷基、C3-C12亚烯基、C3-C12亚炔基、C4-C10亚环烷基和C6-C10亚芳基;R3选自支链C3-C10亚烷基、C3-C12亚烯基、C3-C12亚炔基、C4-C10亚环烷基和C6-C10亚芳基。
在一个方面,本文所述的卤代有机氨基硅烷前体包含具有下式I的硅前体:
XmR1 nHpSi(NR2R3)4-m-n-p    I
其中X是选自Cl、Br、I的卤素;R1独立地选自直链或支链C1-C10烷基、C2-C12烯基、C2-C12炔基、C4-C10环烷基和C6-C10芳基;R2选自直链或支链C1-C10烷基、C3-C12烯基、C3-C12炔基、C4-C10环烷基和C6-C10芳基;R3选自支链C3-C10烷基、C3-C12烯基、C3-C12炔基、C4-C10环烷基和C6-C10芳基;m是1或2;n是0、1或2;p是0、1或2;且(m+n+p)的和小于4,且其中R2和R3连接以形成环或R2和R3不连接形成环。在某些实施方式中,式I中的R2和R3可以连接在一起以形成环。在其他实施方式中,式I中的R2和R3不连接在一起形成环。
在另一个方面,还提供了在衬底的至少一个表面上形成含硅薄膜的方法,其包括:
在反应室中提供所述衬底的该至少一个表面;和
通过选自化学气相沉积工艺和原子层沉积工艺的沉积工艺,使用具有下式I的卤代有机氨基硅烷前体在所述的至少一个表面上形成含硅薄膜:
XmR1 nHpSi(NR2R3)4-m-n-p    I
其中X是选自Cl、Br、I的卤素;R1独立地选自直链或支链C1-C10烷基、C2-C12烯基、C2-C12炔基、C4-C10环烷基和C6-C10芳基;R2选自直链或支链C1-C10烷基、C3-C12烯基、C3-C12炔基、C4-C10环烷基和C6-C10芳基;R3选自支链C3-C10烷基、C3-C12烯基、C3-C12炔基、C4-C10环烷基和C6-C10芳基;m是1或2;n是0、1或2;p是0、1或2;且(m+n+p)的和小于4,且其中R2和R3连接以形成环或R2和R3不连接形成环。在式I的一个具体实施方式中,R2和R3可以连接在一起以形成环。在式I的另一个实施方式中,R2和R3不连接在一起形成环。
在另一个方面,提供了通过原子层沉积工艺或循环化学气相沉积工艺形成氧化硅薄膜的方法,该方法包括以下步骤:
a.在反应器中提供衬底;
b.向反应器中引入选自由下式I表示的至少一种卤代有机氨基硅烷前体的至少一种硅前体:
XmR1 nHpSi(NR2R3)4-m-n-p    I
其中X是选自Cl、Br、I的卤素;R1独立地选自直链或支链C1-C10烷基、C2-C12烯基、C2-C12炔基、C4-C10环烷基和C6-C10芳基;R2选自直链或支链C1-C10烷基、C3-C12烯基、C3-C12炔基、C4-C10环烷基和C6-C10芳基;R3选自支链C3-C10烷基、C3-C12烯基、C3-C12炔基、C4-C10环烷基和C6-C10芳基;m是1或2;n是0、1或2;p是0、1或2;且(m+n+p)的和小于4,且其中R2和R3连接以形成环或R2和R3不连接形成环;
c.用吹扫气体吹扫反应器;
d.向反应器中引入氧源;
e.用吹扫气体吹扫反应器;和
重复步骤b至e直到获得希望的薄膜厚度。
在另外的方面,提供了使用CVD工艺在衬底的至少一个表面上形成氧化硅薄膜的方法,包括:
a.在反应器中提供衬底;
b.向反应器中引入由下式I表示的至少一种卤代有机氨基硅烷前体:
XmR1 nHpSi(NR2R3)4-m-n-p    I
其中X是选自Cl、Br、I的卤素;R1独立地选自直链或支链C1-C10烷基、C2-C12烯基、C2-C12炔基、C4-C10环烷基和C6-C10芳基;R2选自直链或支链C1-C10烷基、C3-C12烯基、C3-C12炔基、C4-C10环烷基和C6-C10芳基;R3选自支链C3-C10烷基、C3-C12烯基、C3-C12炔基、C4-C10环烷基和C6-C10芳基;m是1或2;n是0、1或2;p是0、1或2;且(m+n+p)的和小于4,且其中R2和R3连接以形成环或R2和R3不连接形成环;和
c.提供氧源以沉积氧化硅薄膜到该至少一个表面上。
在另一个方面,提供了通过原子层沉积工艺或循环化学气相沉积工艺形成氮化硅薄膜的方法,该方法包括以下步骤:
a.在反应器中提供衬底;
b.向反应器中引入由下式I表示的至少一种硅前体:
XmR1 nHpSi(NR2R3)4-m-n-p    I
其中X是选自Cl、Br、I的卤素;R1独立地选自直链或支链C1-C10烷基、C2-C12烯基、C2-C12炔基、C4-C10环烷基和C6-C10芳基;R2选自直链或支链C1-C10烷基、C3-C12烯基、C3-C12炔基、C4-C10环烷基和C6-C10芳基;R3选自支链C3-C10烷基、C3-C12烯基、C3-C12炔基、C4-C10环烷基和C6-C10芳基;m是1或2;n是0、1或2;p是0、1或2;且(m+n+p)的和小于4,且其中R2和R3连接以形成环或R2和R3不连接形成环;
c.用吹扫气体吹扫反应器;
d.向反应器中引入含氮源;
e.用吹扫气体吹扫反应器;和
重复步骤b至e直到获得希望的氮化硅薄膜厚度。
在另外的方面,提供了使用CVD工艺在衬底的至少一个表面上形成氮化硅薄膜的方法,包括:
a.在反应器中提供衬底;
b.向反应器中引入由下式I表示的至少一种有机氨基硅烷前体:
XmR1 nHpSi(NR2R3)4-m-n-p    I
其中X是选自Cl、Br、I的卤素;R1独立地选自直链或支链C1-C10烷基、C2-C12烯基、C2-C12炔基、C4-C10环烷基和C6-C10芳基;R2选自直链或支链C1-C10烷基、C3-C12烯基、C3-C12炔基、C4-C10环烷基和C6-C10芳基;R3选自支链C3-C10烷基、C3-C12烯基、C3-C12炔基、C4-C10环烷基和C6-C10芳基;m是1或2;n是0、1或2;p是0、1或2;且(m+n+p)的和小于4,且其中R2和R3连接以形成环或R2和R3不连接形成环;和
c.提供含氮源,其中所述的至少一种有机氨基硅烷前体和含氮源反应以沉积含硅和氮的薄膜到所述的至少一个表面上。
在另一个方面,本文描述了用于沉积介电薄膜的容器,包含一种或多种具有式I的卤代有机氨基硅烷前体。在一个特别的实施方式中,所述容器包含至少一个配备有适当的阀和配件的可加压容器(优选由不锈钢制成),以允许输送一种或多种前体到用于CVD或ALD工艺的反应器中。
在又另一个方面,提供了用于沉积介电薄膜的组合物,其包含:
XmR1 nHpSi(NR2R3)4-m-n-p    I
其中X是选自Cl、Br、I的卤素;R1独立地选自直链或支链C1-C10烷基、C2-C12烯基、C2-C12炔基、C4-C10环烷基和C6-C10芳基;R2选自直链或支链C1-C10烷基、C3-C12烯基、C3-C12炔基、C4-C10环烷基和C6-C10芳基;R3选自支链C3-C10烷基、C3-C12烯基、C3-C12炔基、C4-C10环烷基和C6-C10芳基;m是1或2;n是0、1或2;p是0、1或2;且(m+n+p)的和小于4,且其中R2和R3连接以形成环或R2和R3不连接形成环;和选自下组的溶剂:醚、叔胺、腈、烷基烃、芳族烃、叔氨基醚或其混合物。
附图简要说明
图1提供了实施例3中描述的2,6-二甲基哌啶子基二氯硅烷的质谱(MS)图。
发明详述
卤代有机氨基硅烷用作形成化学计量的和非化学计量的含硅薄膜(例如,但不限于,硅、无定形硅、晶体硅、微晶硅、多晶硅、氧化硅、碳掺杂的氧化硅、氮化硅、氧氮化硅和氧碳氮化硅的薄膜)的前体。这些前体也可以用作例如用于含金属薄膜的掺杂剂。卤代有机氨基硅烷前体通常是高纯度的挥发性液体前体化学物质,其被蒸发并作为气体输送到沉积室或反应器中以通过用于半导体器件的CVD或ALD工艺沉积含硅薄膜。在其他实施方式中,所述卤代有机氨基硅烷可用于基于液体的沉积或薄膜形成方法中,例如,但不限于,旋涂、浸涂、气溶胶、喷墨、丝网印刷或喷射涂覆。用于沉积的前体材料的选择取决于希望产生的介电材料或薄膜。例如,前体材料可以基于其化学元素的含量、其化学元素的化学计量比和/或在CVD下形成的最终介电薄膜或涂层而进行选择。前体材料也可以对于各种其它特征如成本、非毒性、操作性能、在室温下保持液相的能力、挥发性、分子量和/或其它因素而进行选择。在某些实施方式中,本文描述的前体可以通过任何方式,优选使用配备有适当的阀和配件的可加压不锈钢容器输送到反应器系统,以允许将液相前体输送至沉积室或反应器。
据信,与非卤代有机氨基硅烷相比,本文所描述的卤代有机氨基硅烷前体可在化学气相沉积(特别是循环CVD沉积)或原子层沉积期间提供对于衬底表面的更好的反应性,以经由原位催化反应形成Si-N-Si键、Si-Si键、Si-O-Si键而释放有机胺和HCl,然后有机胺和HCl结合形成胺-盐酸盐。据信,与常规的硅前体例如四氯化硅或有机氨基硅烷相比,卤代有机氨基硅烷的一个特别的优点是在ALD或CCVD过程中卤素和有机氨基都可以与衬底表面上的Si-OH或SiNH2反应来锚定所述前体,从而促进含硅薄膜的沉积。除上述优点之外,在例如采用循环CVD、ALD或PEALD沉积方法来沉积氧化硅或氮化硅薄膜的某些实施方式中,本文描述的卤代有机氨基硅烷前体可能能够在相对低的沉积温度(例如,500℃或更低,400℃或更低或得300℃或更低)下沉积高密度的材料。在其他实施方式中,本文描述的前体例如可以用于在约500℃-约800℃的温度下的较高温度的沉积。
在一个方面,提供了由下式I表示的前体或卤代有机氨基硅烷:
XmR1 nHpSi(NR2R3)4-m-n-p    I
其中X是选自Cl、Br、I的卤素;R1独立地选自直链或支链C1-C10烷基、C2-C12烯基、C2-C12炔基、C4-C10环烷基和C6-C10芳基;R2选自直链或支链C1-C10烷基、C3-C12烯基、C3-C12炔基、C4-C10环烷基和C6-C10芳基;R3选自支链C3-C10烷基、C3-C12烯基、C3-C12炔基、C4-C10环烷基和C6-C10芳基;m是1或2;n是0、1或2;p是0、1或2;且(m+n+p)的和小于4,且其中R2和R3连接以形成环或R2和R3不连接形成环。在式I的卤代有机氨基硅烷的某些实施方式中,R2和R3可以连接在一起以形成环。在式I的卤代有机氨基硅烷的替代实施方式中,R2和R3不连接在一起形成环。
在式I-III中和在整个说明书中,术语“烷基”表示具有1-10个或1-4个碳原子的直链或支链官能团。示例性的烷基包括,但不限于,甲基、乙基、正丙基、异丙基、正丁基、异丁基、仲丁基、叔丁基、正戊基、异戊基、叔戊基、己基、异己基和新己基。在某些实施方式中,烷基可以具有一个或多个与其连接的官能团,例如,但不限于,烷氧基、二烷基氨基或其组合。在其它实施方式中,烷基不具有与其连接的一个或多个官能团。
在式I-III中和在整个说明书中,术语“环烷基”表示具有3-12个或4-10个碳原子的环状官能团。示例性的环烷基包括,但不限于,环丁基、环戊基、环己基和环辛基。
在式I-III中和在整个说明书中,术语“芳基”表示具有6-12个碳原子的芳香族环状官能团。示例性的芳基包括,但不限于,苯基、苄基、氯代苄基、甲苯基和邻二甲苯基。
在式I-III中和在整个说明书中,术语“烯基”表示具有一个或多个碳-碳双键并具有2-12个或2-6个碳原子的基团。示例性的烯基包括,但不限于,乙烯基或烯丙基。
在式I-III中和在整个说明书中,术语“炔基”表示具有一个或多个碳-碳三键并具有2-12个或2-6个碳原子的基团。
在式I-III中和在整个说明书中,术语“烷氧基”表示连接至氧原子且可以具有1-12个或1-6个碳原子的烷基(例如,R-O)。示例性的烷氧基包括,但不限于,甲氧基(-OCH3)、乙氧基(-OCH2CH3)、正丙氧基(-OCH2CH2CH3)和异丙氧基(-OCHMe2)。
在某些实施方式中,式I-III中的烷基、烯基、炔基、烷氧基和/或芳基中的一个或多个基团可以被取代,或者具有替代例如氢原子的一个或多个原子或原子团。示例性的取代基包括,但不限于,氧、硫、卤素原子(例如,F、Cl、I或Br)、氮和磷。在其它实施方式中,式I中的烷基、烯基、炔基、烷氧基和/或芳基中的一个或多个可以是未取代的。
在某些实施方式中,取代基R2和R3在式I中连接以形成环结构。在其它实施方式中,取代基R2和R3在式I中不连接。
下表1提供了具有式I的有机氨基硅烷的某些实施方式的一些非限制性实例。
表1.示例性的具有式I的有机氨基硅烷
Figure BSA00000789678200101
Figure BSA00000789678200111
Figure BSA00000789678200121
在某些实施方式中,式I的卤代有机氨基硅烷包含Cl作为X并选自ClH2Si(NR2R3)(其中m=1、n=0、p=2,R2选自直链或支链C3-C10烷基和C6-C10芳基,R3是支链C3-C10烷基或C6-C10芳基,且其中R2和R3可以形成环或烷基取代的环)和R1ClHSi(NR2R3)(其中m=1、n=1、p=1,R1是C1-C10烷基且R2和R3是直链或支链C3-C10烷基或C4-C10芳基,且其中R2和R3可以形成环或烷基取代的环)。
在某些实施方式中,具有式I的卤代有机氨基硅烷可在有机溶剂或溶剂混合物中通过使1摩尔当量的二氯硅烷(DCS)与1摩尔当量的仲胺或使三氯硅烷(TCS)和1或2摩尔当量的具有下式II的仲胺反应来制备,采用1或2摩尔当量的叔胺例如三乙胺或三丁胺来吸收副产物氯化氢,优选如以下实施例1和2所示采用叔胺。在某些实施方式中,叔胺被等摩尔当量的仲胺替代。可用于该实施方式中的所选择的仲胺具有下式II:
Figure BSA00000789678200131
R2选自直链或支链C1-C10烷基、C3-C12烯基、C3-C12炔基、C4-C10环烷基和C6-C10芳基;R3选自支链C3-C10烷基、C3-C12烯基、C3-C12炔基、C4-C10环烷基和C6-C10芳基,且其中R2和R3连接以形成环或R2和R3不连接形成环。在其中R2和/或R3是支链烷基、环烷基或芳族基团的实施方式中,由这些胺合成的卤代有机氨基硅烷的稳定性可极大提高,因为氨基和卤素原子的分子间取代交换反应被这种R2和/或R3基团的立体位阻所阻碍。具有式II的示例性仲胺包括,但不限于:二异丙胺、二异丁胺、二仲丁胺、异丙基甲基胺、异丙基乙基胺、环己基甲基胺、环丙基乙基胺、二环丙基胺、环己基异丙基胺、N-甲基苯胺(苯基甲基胺)、N-乙基苯胺(苯基乙基胺)、N-异丙基苯胺、N-丁基苯胺、N-烯丙基苯胺、N-乙基-间甲苯胺、N-甲基-邻甲苯胺、N-甲基-对甲苯胺、4-氟-N-甲基苯胺、4-氯-N-甲基苯胺、N-环己基苯胺、3-苯胺基丙腈或N-苯基氨基乙腈。
具有式II(其中R2和R3连接以形成环)的示例性的胺包括,但不限于2,6-二甲基哌啶、2-甲基哌啶、2-甲基吡咯烷、2,5-二甲基吡咯烷、2,2,6,6-四甲基哌啶、3-甲基吲哚、2-甲基吲哚、吲哚、十氢喹啉、8-甲基-1,2,3,4-四氢喹啉、3-吲哚乙腈、2-甲基二氢吲哚、2,3-二氢吲哚、5-甲基二氢吲哚、1,2,3,4-四氢喹啉、1,2,3,4-四氢-2-甲基喹啉、1,2,3,4-四氢-6-甲基喹啉、3,4-二氢-2H-1,4-苯并噁嗪、咔唑、2,6-二甲基吗啉和3,5-二甲基吗啉。
下面的反应式1、2和3提供了可以用于制备具有本文描述的式I的卤代有机氨基硅烷的反应流程或合成路线的实例。反应式1、2和3中的反应可以利用有机溶剂(例如,在有机溶剂存在的情况下)进行。在其中使用有机溶剂的实施方式中,合适的有机溶剂的例子包括,但不限于,烃(如己烷、辛烷、甲苯)和醚(如二乙醚和四氢呋喃(THF))。在这些实施方式或其它实施方式中,反应温度在大约-70℃至所采用溶剂(涉及溶剂的话)的沸点的范围内。所产生的有机氨基硅烷可以通过在除去所有副产物以及溶剂后的真空蒸馏进行纯化。反应式1-3是涉及二氯硅烷或三氯硅烷的反应的实施方式。涉及部分胺化反应的合成路线的实例在本文中以实施例1和3给出,而涉及可经由具有式II的胺与烷基金属的反应制得的金属氨基化物的反应式2在实施例2中给出。
反应式1
Figure BSA00000789678200141
反应式2
Figure BSA00000789678200142
反应式3
Figure BSA00000789678200143
用于形成含硅介电薄膜或涂层的方法是沉积工艺。用于本文公开的方法的合适沉积工艺的例子包括,但不限于,循环CVD(CCVD)、MOCVD(金属有机CVD)、热化学气相沉积、等离子体增强化学气相沉积(“PECVD”)、高密度PECVD、光子辅助CVD、等离子体-光子辅助的化学气相沉积(“PPECVD”)、低温化学气相沉积、化学物质辅助的气相沉积、热丝化学气相沉积、液体聚合物前体的CVD、超临界流体的沉积和低能量CVD(LECVD)。在某些实施方式中,含金属的薄膜通过原子层沉积(ALD)、等离子体增强ALD(PEALD)或等离子体增强循环CVD(PECCVD)工艺沉积。如本文所用,术语“化学气相沉积工艺”指其中衬底暴露于一种或多种与衬底表面反应或在衬底表面上分解以产生希望的沉积的挥发性前体的任何工艺。如本文所用,术语“原子层沉积工艺”是指将材料的薄膜沉积到具有不同组成的衬底上的自限式(self-limiting)(例如,在各反应循环中沉积的薄膜材料的量是恒定的)顺序表面化学作用。虽然本文中使用的前体、试剂和源有时可以描述为“气态的”,但应理解该前体可以是通过直接蒸发、鼓泡或升华在利用或不利用惰性气体的条件下转运到反应器中的液体或固体。在一些情况中,蒸发的前体可以经过等离子体发生器。在一个实施方式中,使用ALD工艺沉积介电薄膜。在另一实施方式中,使用CCVD工艺沉积介电薄膜。在进一步的实施方式中,使用热CVD工艺沉积介电薄膜。本文使用的术语“反应器”包括,但不限于反应室或沉积室。
在某些实施方式中,本文公开的方法通过使用在引入反应器之前和/或过程中隔离前体的ALD或CCVD避免了前体的预反应。在这一方面,如ALD或CCVD工艺的沉积技术用于沉积介电薄膜。在一个实施方式中,通过将衬底表面交替地暴露于一种或多种含硅前体、氧源、含氮源或其它前体或试剂而经由ALD工艺沉积薄膜。薄膜生长通过表面反应的自限式控制、各前体或试剂的脉冲长度和沉积温度进行。但是,一旦衬底表面饱和,薄膜生长停止。
在某些实施方式中,本文描述的方法进一步包括除了具有上述式I的卤代有机氨基硅烷前体之外的一种或多种另外的含硅前体或非卤代前体。另外的含硅前体的例子包括,但不限于,有机硅化合物如硅氧烷类(例如,六甲基二硅氧烷(HMDSO)和二甲基硅氧烷(DMS0))、有机硅烷类(例如,甲基硅烷、二甲基硅烷、乙烯基三甲基硅烷、三甲基硅烷、四甲基硅烷、乙基硅烷、二甲硅烷基甲烷、2,4-二硅杂戊烷、1,4-二硅杂丁烷、2,5-二硅杂己烷、2,2-二甲硅烷基丙烷、1,3,5-三硅杂环己烷和这些化合物的氟化衍生物)、含苯基的有机硅化合物(例如,二甲基苯基硅烷和二苯基甲基硅烷)、含氧有机硅化合物,例如二甲基二甲氧基硅烷、1,3,5,7-四甲基环四硅氧烷、1,1,3,3-四甲基二硅氧烷、1,3,5,7-四硅杂-4-氧代-庚烷、2,4,6,8-四硅杂-3,7-二氧代-壬烷、2,2-二甲基-2,4,6,8-四硅杂-3,7-二氧代-壬烷、八甲基环四硅氧烷、[1,3,5,7,9]-五甲基环五硅氧烷、1,3,5,7-四硅杂-2,6-二氧代-环辛烷、六甲基环三硅氧烷、1,3-二甲基二硅氧烷、1,3,5,7,9-五甲基环五硅氧烷、六甲氧基二硅氧烷和这些化合物的氟化衍生物。
在本文所描述的方法的一个具体的实施方式中,所述非卤代含硅前体是具有下式III的化合物:
(R2R3N)SiH3(III)
其中R2选自直链或支链C1-C10烷基、C3-C12烯基、C3-C12炔基、C4-C10环烷基和C6-C10芳基;R3选自支链C3-C10烷基、C3-C12烯基、C3-C12炔基、C4-C10环烷基和C6-C10芳基,且其中R2和R3连接以形成环或R2和R3不连接形成环。在式III的某些实施方式中,R2和R3可以结合以形成环状基团或环。在式III的其他实施方式中,R2和R3不结合形成环状基团或环。非卤代含硅前体的实例包括,但不限于:二-异丙基氨基硅烷、二-仲丁基氨基硅烷、苯基甲基氨基硅烷和2,6-二甲基哌啶子基硅烷。
取决于沉积方法,在某些实施方式中,一种或多种卤代或非卤代的含硅前体可以以预定的摩尔量(molar volume)或大约0.1-大约1000微摩尔引入反应器中。在这一实施方式或其它实施方式中,卤代或非卤代的有机氨基硅烷前体可以以预定的时间长度引入反应器中。在某些实施方式中,该时间长度为大约0.001-大约500秒。
在某些实施方式中,使用氧源、含氧的试剂或前体在氧的存在下形成使用本文描述的方法沉积的介电薄膜。氧源可以以至少一种氧源的形式引入反应器中和/或可以附带地存在于用于沉积工艺的其它前体中。合适的氧源气体可以包括,例如,水(H2O)(例如,去离子水、纯化水和/或蒸馏水)、氧(O2)、氧等离子体、臭氧(O3)、NO、NO2、一氧化碳(CO)、二氧化碳(CO2)及其组合。在某些实施方式中,氧源包含以大约1-大约2000标准立方厘米/分钟(squarecubic centimeter)(sccm)或大约1-大约1000sccm的流速引入反应器中的氧源气体。氧源可以引入大约0.1-大约100秒的时间。在一个特别的实施方式中,氧源包含具有10℃或更高的温度的水。在其中薄膜通过ALD或循环CVD工艺沉积的实施方式中,前体脉冲可以具有大于0.01秒的脉冲持续时间,且氧源可以具有小于0.01秒的脉冲持续时间,而水的脉冲持续时间可以具有小于0.01秒的脉冲持续时间。在再另一实施方式中,脉冲之间的吹扫持续时间可以低至0秒或没有脉冲之间的吹扫而连续地进行脉冲。氧源或试剂以低于与硅前体的1∶1比率的分子数量提供,从而至少一些碳保留在如此沉积的介电薄膜中。
在某些实施方式中,介电薄膜包含硅和氮。在这些实施方式中,使用本文描述的方法沉积的介电薄膜在含氮源的存在下形成。含氮源可以以至少一种氮源的形式引入反应器中和/或可以附带地存在于用于沉积工艺的其它前体中。合适的含氮源气体可以包括,例如,氨、肼、单烷基肼、二烷基肼、氮、氮/氢、氨等离子体、氮等离子体、氮/氢等离子体及其混合物。在某些实施方式中,含氮源包含以大约1至大约2000标准立方厘米/分钟(sccm)或大约1至大约1000sccm的流速引入反应器中的氨等离子体或氢/氮等离子体源气体。含氮源可以引入大约0.1至大约100秒的时间。在其中薄膜通过ALD或循环CVD工艺沉积的实施方式中,前体脉冲可以具有大于0.01秒的脉冲持续时间,且含氮源可以具有小于0.01秒的脉冲持续时间,而水的脉冲持续时间可以具有小于0.01秒的脉冲持续时间。在再另一实施方式中,脉冲之间的吹扫持续时间可以低至0秒或没有脉冲之间的吹扫而连续地进行脉冲。
本文公开的沉积方法可以包括一种或多种吹扫气体。用于吹扫掉未消耗的反应物和/或反应副产物的吹扫气体是不与前体发生反应的惰性气体。示例性的吹扫气体包括,但不限于,氩(Ar)、氮(N2)、氦(He)、氖、氢(H2)及其混合物。在某些实施方式中,吹扫气体如Ar以大约10至大约2000sccm的流速供应到反应器中大约0.1-1000秒,从而吹扫可能残留在反应器中的未反应物质和任何副产物。
供应前体、氧源、含氮源和/或其它前体、源气体和/或试剂的相应步骤可以通过改变供应这些物质的时间来进行,以改变所获得的介电薄膜的化学计量组成。
能量供应给前体、含氮源、还原剂、其它前体或其组合中的至少一种以诱导反应和在衬底上形成介电薄膜或涂层。这种能量可以通过(但不限于)热、等离子体、脉冲等离子体、螺旋波等离子体(helicon plasma)、高密度等离子体、电感耦合等离子体、X射线、电子束、光子、远程等离子体方法及其组合提供。在某些实施方式中,第二RF射频源可以用于改变衬底表面处的等离子体特性。在其中沉积涉及到等离子体的实施方式中,等离子体发生方法可以包括直接等离子体发生方法(其中等离子体在反应器中直接发生)或可选地远程等离子体发生方法(其中等离子体在反应器外发生并供应到反应器中)。
有机氨基硅烷前体和/或其它含硅前体可以以多种方式输送到反应室如CVD或ALD反应器。在一个实施方式中,可以利用液体输送系统。在替代的实施方式中,可以采用综合的液体输送和闪蒸处理单元,例如,举例来说,由Shoreview,MN的MSP Corporation制造的涡轮蒸发器(turbo vaporizer),以使得低挥发性的物质能够定量地输送,这导致可重现的输送和沉积而没有前体的热分解。在液体输送方式中,本文描述的前体可以以纯液体形式输送,或者可选择地,可以以包含前体的溶剂制剂或组合物形式使用。因此,在某些实施方式中,当可能在给定的终端应用中希望和有利时,前体制剂可以包括具有适当特性的溶剂成分以在衬底上形成薄膜。
对于其中具有式I的卤代有机氨基硅烷前体用于包含溶剂和具有本文描述的式I的卤代有机氨基硅烷前体的组合物中的那些实施方式,所选择的溶剂或其混合物不与有机氨基硅烷反应。组合物中溶剂以重量百分比计的量的范围从0.5重量%到99.5重量%或者从10重量%到75重量%。在这一或其他实施方式中,所述的溶剂具有和式I的卤代有机氨基硅烷沸点(b.p.)相似的沸点或者溶剂的沸点和式I的卤代有机氨基硅烷的沸点之间的差异是40℃或更小、30℃或更小、20℃或更小或者10℃。或者,沸点之间的差异范围具有下面任意一个或多个端点:0、10、20、30或40℃。沸点差异的合适范围的实例包括,但不限于0-40℃、20-30℃或10-30℃。组合物中合适的溶剂的实例包括,但不限于:醚(例如1,4-二氧杂环己烷、二丁基醚)、叔胺(例如吡啶、1-甲基哌啶、1-乙基哌啶、N,N’-二甲基哌嗪、N,N,N’,N’-四甲基乙二胺)、腈(例如苯甲腈)、烷基烃(如辛烷、壬烷、十二烷、乙基环己烷)、芳族烃(例如甲苯、均三甲苯)、叔氨基醚(例如双(2-二甲基氨基乙基)醚)或者它们的混合物。一些非限制性的示例组合物包括,但不限于:包含二异丙基氨基硅烷(沸点约116℃)和辛烷(沸点125-126℃)的组合物、包含二异丙基氨基硅烷(沸点约116℃)和吡啶(沸点115℃)的组合物、包含二异丙基氨基硅烷(沸点约116℃)和甲苯(沸点110℃)的组合物、包含N-甲基环己基氨基硅烷(沸点约171℃)和癸烷(沸点174℃)的组合物、包含N-甲基环己基氨基硅烷(沸点约171℃)和二乙二醇二甲基醚(沸点162℃)的组合物、包含N-异丙基环己基氨基硅烷(沸点约199℃)和双(2-二甲基氨基乙基)醚(沸点189℃)的组合物、包含N-异丙基环己基氨基硅烷(沸点约199℃)和苯甲腈(沸点191℃)的组合物。
在另一实施方式中,本文描述了用于沉积介电薄膜的容器,其包含一种或多种具有式I的卤代有机氨基硅烷前体。在一个特别的实施方式中,容器包含至少一个配备有适当的阀和配件的可加压容器(优选由不锈钢制成),以允许一种或多种前体输送到用于CVD或ALD工艺的反应器。在这一实施方式或其它实施方式中,式I的卤代有机氨基硅烷前体在由不锈钢构成的可加压容器中提供,且前体的纯度为以重量计为98%或更高或者99.5%或更高,这适合于大多数的半导体应用。在某些实施方式中,这种容器也可以具有用于混合所述前体和一种或多种另外的前体(如果需要)的装置。在这些实施方式或其它实施方式中,容器的内容物可以与另外的前体预混合。可选择地,卤代有机氨基硅烷前体和/或其它前体可以保持在独立的容器中或在具有用于在储存期间保持有机氨基硅烷前体和其它前体隔离的分隔装置的单一容器中。在某些实施方式中,容器中具有式I的卤代有机氨基硅烷前体还包含回填气体(back fillgas),例如但不限于:氮气、惰性气体例如氦气或其组合。在替代的实施方式中,所述容器不包含回填气体。
如上所述,卤代有机氨基硅烷的纯度水平是足够高的,以足以为可靠的半导体生产所接受。在某些实施方式中,本文所述的卤代有机氨基硅烷前体包含少于2%重量、或少于1%重量或少于0.5%重量的一种或多种以下的杂质:游离胺、游离卤化物或卤素离子及高分子量物质。本文所述的有机氨基硅烷的较高纯度可以通过一种或多种下述过程获得:纯化、吸附和/或蒸馏。
在本文描述的方法的一个实施方式中,可以采用循环沉积工艺如CCVD、ALD或PEALD,其中采用选自具有式I的卤代有机氨基硅烷前体的至少一种含硅前体和任选地采用含氮源(例如,举例来说,氨、肼、单烷基肼、二烷基肼、氮、氮/氢、氨等离子体、氮等离子体、氮/氢等离子体)。
在某些实施方式中,连接前体容器到反应室的气体管线根据工艺要求加热到一个或多个温度,且具有式I的卤代有机氨基硅烷前体的容器保持在一个或多个温度以进行鼓泡。在其它实施方式中,将包含至少一种具有式I的卤代有机氨基硅烷前体的溶液注入到蒸发器中,所述蒸发器保持在一个或多个温度下用于直接液体注射。
氩和/或其它气体的气流可以用作载气以在前体脉冲期间帮助输送该至少一种有机氨基硅烷前体的蒸气到反应室。在某些实施方式中,反应室工作压力为大约1Torr。
在典型的ALD或CCVD工艺中,衬底(如氧化硅衬底)在反应室中的加热台上加热,所述加热台初始暴露于该含硅前体以使得该复合物化学吸附到衬底表面上。
吹扫气体(如氩气)从处理室吹扫掉未吸附的过量复合物。在充分吹扫后,含氮源可以被引入反应室中以与吸附的表面反应,随后进行另一气体吹扫以从该室除去反应副产物。处理循环可以重复以获得希望的薄膜厚度。
在某些实施方式中,所述工艺使用还原剂。所述还原剂通常以气态形式引入。适当的还原剂的实例包括,但不限于:氢气、氢等离子体、远程氢等离子体(remote hydrogen plasma)、硅烷类(即二乙基硅烷、乙基硅烷、二甲基硅烷、苯基硅烷、硅烷、乙硅烷、氨基硅烷、氯硅烷)、硼烷类(即硼烷、乙硼烷)、铝烷类、锗烷类、肼类、氨或其混合物。在例如沉积无定形硅的一个特定实施方式中,使用还原剂。
在这一实施方式或其它实施方式中,可以理解,本文描述的方法的步骤可以以多种顺序进行,可以顺序地或同时地(例如,在另一步骤的至少一部分时间内)进行,和以上述方式的任何组合方式进行。供应前体和含氮源气体的相应步骤可以通过改变供应这些物质的时间长度来进行,以改变所产生的介电薄膜的化学计量组成。
在本文公开的方法的另一实施方式中,使用包括以下步骤的ALD沉积方法形成含硅和氮的薄膜:
在ALD反应器中提供衬底;
向ALD反应器中引入至少一种由下式I表示的卤代有机氨基硅烷前体:
XmR1 nHpSi(NR2R3)4-m-n-p    I
其中X是选自Cl、Br、I的卤素;R1独立地选自直链或支链C1-C10烷基、C2-C12烯基、C2-C12炔基、C4-C10环烷基和C6-C10芳基;R2选自直链或支链C1-C10烷基、C3-C12烯基、C3-C12炔基、C4-C10环烷基和C6-C10芳基;R3选自支链C3-C10烷基、C3-C12烯基、C3-C12炔基、C4-C10环烷基和C6-C10芳基;m是1或2;n是0、1或2;p是0、1或2;且(m+n+p)的和小于4,且其中R2和R3连接以形成环或R2和R3不连接形成环;
化学吸附该至少一种卤代有机氨基硅烷前体到衬底上;
用吹扫气体吹扫掉未反应的该至少一种有机氨基硅烷前体;
向加热的衬底上的该有机氨基硅烷前体提供含氮源以与吸附的该至少一种有机氨基硅烷前体反应;和
任选地吹扫掉任何未反应的含氮源。
在本文公开的方法的另一实施方式中,使用包括以下步骤的ALD沉积方法形成介电薄膜:
在反应器中提供衬底;
向反应器中引入至少一种由下式I表示的卤代有机氨基硅烷前体:
XmR1 nHpSi(NR2R3)4-m-n-p    I
其中X是选自Cl、Br、I的卤素;R1独立地选自直链或支链C1-C10烷基、C2-C12烯基、C2-C12炔基、C4-C10环烷基和C6-C10芳基;R2选自直链或支链C1-C10烷基、C3-C12烯基、C3-C12炔基、C4-C10环烷基和C6-C10芳基;R3选自支链C3-C10烷基、C3-C12烯基、C3-C12炔基、C4-C10环烷基和C6-C10芳基;m是1或2;n是0、1或2;p是0、1或2;且(m+n+p)的和小于4,且其中R2和R3连接以形成环或R2和R3不连接形成环;
化学吸附该至少一种有机氨基硅烷前体到衬底上;
用吹扫气体吹扫掉未反应的该至少一种有机氨基硅烷前体;
向加热的衬底上的该有机氨基硅烷前体提供氧源以与吸附的该至少一种有机氨基硅烷前体反应;和
任选地吹扫掉任何未反应的氧源。
上述步骤限定了用于本文描述的方法的一个循环,且该循环可以重复直到获得希望的介电薄膜厚度。在这一实施方式或其它实施方式中,可以理解,本文描述的方法的步骤可以以多种顺序进行,可以顺序地或同时地(例如,在另一步骤的至少一部分时间内)进行,和以上述方式的任何组合方式进行。供应前体和氧源的相应步骤可以通过改变供应这些物质的时间长度来进行,以改变所产生的介电薄膜的化学计量组成,虽然总是以低于相对于可用的硅的化学计量的量使用氧。
对于多成分介电薄膜,其它前体如含硅前体、含氮前体、还原剂或其它试剂可以交替地引入反应室中。
在本文描述的方法的进一步实施方式中,使用热CVD工艺沉积介电薄膜。在这一实施方式中,该方法包括:
将一个或多个衬底置于加热到从环境温度到大约700℃的温度并保持在1Torr或更低的压力下的反应器中;
引入具有下式I的至少一种卤代有机氨基硅烷前体:
XmR1 nHpSi(NR2R3)4-m-n-p    I
其中X是选自Cl、Br、I的卤素;R1独立地选自直链或支链C1-C10烷基、C2-C12烯基、C2-C12炔基、C4-C10环烷基和C6-C10芳基;R2选自直链或支链C1-C10烷基、C3-C12烯基、C3-C12炔基、C4-C10环烷基和C6-C10芳基;R3选自支链C3-C10烷基、C3-C12烯基、C3-C12炔基、C4-C10环烷基和C6-C10芳基;m是1或2;n是0、1或2;p是0、1或2;且(m+n+p)的和小于4,且其中R2和R3连接以形成环或R2和R3不连接形成环;和
向反应器中提供氧源以至少部分地与该至少一种有机氨基硅烷前体反应并沉积介电薄膜到该一个或多个衬底上。在该CVD方法的某些实施方式中,反应器在引入步骤过程中保持在100mTorr至600mTorr的压力下。
上述步骤限定了用于本文描述的方法的一个循环,且该循环可以重复直到获得希望的介电薄膜厚度。在这一实施方式或其它实施方式中,可以理解本文描述的方法的步骤可以以多种顺序进行,可以顺序地或同时地(例如,在另一步骤的至少一部分时间内)进行,和以上述方式的任何组合方式进行。供应前体和氧源的相应步骤可以通过改变供应这些物质的时间长度来进行,以改变所产生的介电薄膜的化学计量组成,虽然总是以低于相对于可用的硅的化学计量量使用氧。
对于多成分介电薄膜,其它前体如含硅前体、含氮前体、氧源、还原剂和/或其它试剂可以交替地引入反应室中。
在本文描述的方法的进一步实施方式中,使用热CVD工艺沉积介电薄膜。在这一实施方式中,该方法包括:
将一个或多个衬底置于加热到从环境温度到大约700℃的温度并保持在1Torr或更低的压力下的反应器中;
引入具有下式I的至少一种卤代有机氨基硅烷前体:
XmR1 nHpSi(NR2R3)4-m-n-p    I
其中X是选自Cl、Br、I的卤素;R1独立地选自直链或支链C1-C10烷基、C2-C12烯基、C2-C12炔基、C4-C10环烷基和C6-C10芳基;R2选自直链或支链C1-C10烷基、C3-C12烯基、C3-C12炔基、C4-C10环烷基和C6-C10芳基;R3选自支链C3-C10烷基、C3-C12烯基、C3-C12炔基、C4-C10环烷基和C6-C10芳基;m是1或2;n是0、1或2;p是0、1或2;且(m+n+p)的和小于4,且其中R2和R3连接以形成环或R2和R3不连接形成环;和
向反应器中提供含氮源以至少部分地与该至少一种有机氨基硅烷前体反应并沉积介电薄膜到该一个或多个衬底上。在该CVD方法的某些实施方式中,反应器在引入步骤过程中保持在100mTorr至600mTorr的压力下。
如上所述,本文描述的方法可用于采用超过一种前体(例如本文描述的具有式I的卤代有机氨基硅烷)与另外的前体(例如本文描述的具有式III的非卤代有机氨基硅烷前体)、含硅前体例如本文描述的那些和/或氯硅烷(例如,但不限于一氯硅烷(MCS)、二氯硅烷(DCS)、三氯硅烷或四氯化硅)和/或烷基氯硅烷(例如,但不限于甲基氯硅烷、乙基氯硅烷、甲基二氯硅烷、乙基二氯硅烷)来沉积薄膜。在这些实施方式中,取决于所使用的不同前体的数目,将一种或多种前体描述为第一前体、第二前体、第三前体等等。该方法例如可用于循环化学气相沉积或原子层沉积中。在这些或其他的实施方式中,所述前体可以以多种方式引入(例如,a)引入第一前体;b)吹扫;c)引入第二前体;d)吹扫;e)引入第三前体;f)吹扫等,或者,a)引入第一前体;b)吹扫;c)引入第二前体;d)吹扫;e)引入第二前体;等)。在一个特定的实施方式中,提供了沉积氧化硅薄膜或硅、碳和氧化物薄膜的方法,包括以下步骤:
a)使由第一前体产生的蒸汽与加热的衬底接触以化学吸附所述第一前体到加热的衬底上;
b)吹扫掉任何未吸附的前体;
c)向加热的衬底上引入氧源以与吸附的第一前体反应;
d)吹扫掉任何未反应的氧源;
e)使由与第一前体不同的第二前体产生的蒸汽与加热的衬底接触以化学吸附所述第二前体到加热的衬底上;
f)吹扫掉任何未吸附的前体;
g)向加热的衬底上引入氧源以与吸附的第一和第二前体反应;和
h)吹扫掉任何未反应的氧源,
其中重复步骤a)至h)直到达到希望的厚度。
在本文所描述的方法的再另一个实施方式中,提供了沉积氮化硅或碳氮化硅薄膜的方法,包括以下步骤:
a)使由第一前体产生的蒸汽与加热的衬底接触以化学吸附所述第一前体到加热的衬底上;
b)吹扫掉任何未吸附的前体;
c)向加热的衬底上引入氮源以与吸附的第一前体反应;
d)吹扫掉任何未反应的氮源;
e)使由与第一前体不同的第二前体产生的蒸汽与加热的衬底接触以化学吸附所述第二前体到加热的衬底上;
f)吹扫掉任何未吸附的第二前体;
g)向加热的衬底上引入氮源以与吸附的第二前体反应;和
h)吹扫掉任何未反应的氮源,
其中重复步骤a)至h)直到达到希望的厚度。
在进一步的实施方式中,本文所描述的是采用循环化学气相沉积(CCVD)或原子层沉积(ALD)技术例如但不限于等离子体增强ALD(PEALD)或等离子体增强CCVD(PECCVD)工艺来沉积含硅薄膜的方法。在这些实施方式中,沉积温度可以相对较高,或为约500℃-800℃,从而控制特定半导体应用中所需的薄膜性能的规格。在一个特定的实施方式中,所述方法包括以下步骤:使由具有式I的卤代有机氨基硅烷产生的蒸汽与加热的衬底接触以化学吸附所述前体到加热的衬底上;吹扫掉任何未吸附的前体;引入还原剂以还原吸附的前体;和吹扫掉任何未反应的还原剂。
在某些实施方式中,本文描述的具有式I的卤代有机氨基硅烷前体也可以用作含金属薄膜(例如,但不限于,金属氧化物薄膜或金属氮化物薄膜)的掺杂剂。在这些实施方式中,使用ALD或CVD工艺(如本文描述的那些工艺),利用金属醇盐、金属氨基化物或挥发性有机金属前体沉积含金属薄膜。可以用于本文描述的方法的合适金属醇盐前体的例子包括,但不限于,3-6族金属醇盐、具有烷氧基和烷基取代的环戊二烯基配体的3-6族金属络合物、具有烷氧基和烷基取代的吡咯基配体的3-6族金属络合物、具有烷氧基和二酮根(diketonate)配体的3-6族金属络合物、具有烷氧基和酮酯配体的3-6族金属络合物;可以用于本文描述的方法的合适金属氨基化物前体的例子包括,但不限于,四(二甲基氨基)锆(TDMAZ)、四(二乙基氨基)锆(TDEAZ)、四(乙基甲基氨基)锆(TEMAZ)、四(二甲基氨基)铪(TDMAH)、四(二乙基氨基)铪(TDEAH)和四(乙基甲基氨基)铪(TEMAH)、四(二甲基氨基)钛(TDMAT)、四(二乙基氨基)钛(TDEAT)、四(乙基甲基氨基)钛(TEMAT)、叔丁基亚氨基三(二乙基氨基)钽(TBTDET)、叔丁基亚氨基三(二甲基氨基)钽(TBTDMT)、叔丁基亚氨基三(乙基甲基氨基)钽(TBTEMT)、乙基亚氨基三(二乙基氨基)钽(EITDET)、乙基亚氨基三(二甲基氨基)钽(EITDMT)、乙基亚氨基三(乙基甲基氨基)钽(EITEMT)、叔戊基亚氨基三(二甲基氨基)钽(TAIMAT)、叔戊基亚氨基三(二乙基氨基)钽、五(二甲基氨基)钽、叔戊基亚氨基三(乙基甲基氨基)钽、二(叔丁基亚氨基)二(二甲基氨基)钨(BTBMW)、二(叔丁基亚氨基)二(二乙基氨基)钨、二(叔丁基亚氨基)二(乙基甲基氨基)钨及其组合。可以用于本文公开的方法的合适的有机金属前体的例子包括,但不限于,3族金属环戊二烯基化物或烷基环戊二烯基化物。本文的示例性3-6族金属包括,但不限于,Y、La、Ce、Pr、Nd、Sm、Eu、Gd、Tb、Dy、Er、Yb、Lu、Ti、Hf、Zr、V、Nb、Ta、Cr、Mo和W。其他挥发性有机金属前体包括,但不限于金属烷基前体例如,但不限于三乙基铝(TEA)、三甲基铝(TEA)。
在某些实施方式中,所述卤代有机氨基硅烷可用于基于液体的沉积或薄膜形成方法,例如但不限于,旋涂、浸涂、气溶胶、喷墨、丝网印刷或喷射涂覆。在其中硅薄膜通过基于液体的沉积形成的实施方式中,复合薄膜由特别地包含作为二氧化硅来源的至少一种含硅前体例如本文所述的具有式I的卤代有机氨基硅烷、催化剂和水的组合物形成。所述组合物还可以包含溶剂和表面活性剂。简言之,将组合物分配在衬底上并蒸发溶剂和水可以形成薄膜。表面活性剂、剩余溶剂和水通常通过使涂覆的衬底与一种或多种能源接触足以生成含硅薄膜的时间来去除。在一些情况下,所述薄膜可预热从而基本上完成二氧化硅源的水解、继续交联过程并从薄膜中驱除任何剩余溶剂(如果存在)。
除了本文描述的具有式I的卤代有机氨基硅烷外,二氧化硅源的另一个实例可以包括在除去成孔材料时产生Si-H键的化合物。
该源的再进一步的实例见于例如参考文献Hay等,“Synthesis ofOrganic-Inorganic Hybrids via the Non-hydrolytic Sol-Gel Process”,Chem.Mater.,13,3396-3403(2001)或Hay等,“A Versatile Route toOrganically-Modified Silicas and Porous Silicas via the Non-HydrolyticSol-Gel Process”,J.Mater.Chem.,10,1811-1818(2000)中描述的非水解化学作用方法中。
二氧化硅源的又另一个实例可以包括胶体二氧化硅、煅制二氧化硅或硅酸起始材料。
二氧化硅源的再其他的实例包括倍半硅氧烷,例如氢倍半硅氧烷(HSQ、HSiO1.5)和甲基倍半硅氧烷(MSQ、RSiO1.5,其中R是甲基)。
在某些实施方式中,可以将二氧化硅源作为水解和缩合产物添加到混合物中。二氧化硅源的水解和缩合通过间歇地或连续地将水和催化剂添加至溶剂并同时添加二氧化硅源,并在通常为-30-100℃、优选20-100℃的温度下搅拌所述混合物0-24小时的同时进行水解和缩合反应而发生。可以调节所述组合物以通过在各制备步骤中进行浓缩或用溶剂稀释来提供希望的固体含量。此外,二氧化硅源可以是水解时产生乙酸的化合物。
二氧化硅源的水解和缩合可以发生在薄膜形成期间的任何一点,即,添加至混合物之前、添加到混合物之后、与至少一种能源接触之前和/或期间等。例如,在某些实施方式中,至少一种二氧化硅源在容器中与溶剂、水和表面活性剂混合,且将催化剂逐渐加入容器中并混合。预期在不脱离本发明精神的情况下可使用多种不同的顺序添加到混合物中。
适于本发明的催化剂包括在存在水的情况下可催化来自二氧化硅源的取代基水解和/或催化两种二氧化硅源的缩合以形成Si-O-Si桥的任何有机或无机酸或碱。催化剂可以是有机碱,例如但不限于季铵盐和氢氧化物,例如铵或四甲铵;胺类,例如伯胺、仲胺和叔胺;和氧化胺。催化剂也可以是酸,例如但不限于硝酸、马来酸、草酸、醋酸、甲酸、乙醇酸、乙醛酸或其混合物。在优选的实施方式中,所述催化剂包括硝酸。
适用于本发明的溶剂可以包括对试剂具有溶解性的任何溶剂。溶剂例如可以是醇溶剂、酮溶剂、酰胺溶剂或酯溶剂。在某些实施方式中,所述溶剂可以是超临界流体,例如二氧化碳、碳氟化合物、六氟化硫、烷烃及其他合适的多组分混合物等。在某些实施方式中,用于本发明的一种或多种溶剂具有相对较低的沸点,即,低于160℃。这些溶剂包括但不限于,四氢呋喃、丙酮、1,4-二噁烷、1,3-二氧戊环、乙酸乙酯和甲基乙基酮。可用于本发明但沸点超过160℃的其他溶剂包括二甲基甲酰胺、二甲基乙酰胺、N-甲基吡咯烷酮、碳酸乙二酯、碳酸丙二酯、甘油及衍生物、萘及取代形式、乙酸酐、丙酸和丙酸酐、二甲基砜、二苯甲酮、二苯砜、苯酚、间甲酚、二甲亚砜、二苯醚、三联苯等。优选的溶剂包括丙二醇丙醚(PGPE)、3-庚醇、2-甲基-1-戊醇、5-甲基-2-己醇、3-己醇、2-庚醇、2-己醇、2,3-二甲基-3-戊醇、丙二醇甲醚醋酸酯(PGMEA)、乙二醇正丁基醚、丙二醇正丁基醚(PGBE)、1-丁氧基-2-丙醇、2-甲基-3-戊醇、乙酸2-甲氧基乙酯、2-丁氧基乙醇、2-乙氧基乙基乙酰醋酸酯、1-戊醇和丙二醇甲醚。进一步的示例性溶剂包括乳酸酯、丙酮酸酯和二醇。进一步的示例性溶剂包括EP1,127,929中所列的那些溶剂。以上列举的溶剂可以单独使用或以两种或更多种溶剂的组合使用。
在某些实施方式中,组合物中的试剂反应从而在衬底上形成冷凝的可流动的薄膜。该薄膜流入缝隙中以用介电材料填充缝隙。然后该薄膜通过一种或多种不同技术转化为固体介电材料,所述技术包括热退火、紫外线(UV)照射、微波照射或暴露于氧化性等离子体。根据某些实施方式,所述薄膜通过包括(但不限于)交联所述前体从而生成固体材料和/或去除氢(--H)、羟基(--OH)或水(H2O)基团的机制转化为固体材料。在特定实施方式中,电感耦合等离子体用于转化该薄膜。
在某些实施方式中,所产生的介电薄膜或涂层可以进行沉积后处理,例如,但不限于,等离子体处理、化学处理、紫外光照射、电子束照射和/或影响薄膜的一种或多种性能的其它处理。
在某些实施方式中,本文描述的介电薄膜具有6或更低的介电常数。在这些实施方式或其它实施方式中,薄膜可以具有大约5或更低的或者大约4或更低的或者大约3.5或更低的介电常数。但是,可以构想的是,可以根据薄膜的预期终端用途形成具有其它介电常数(例如,更高或更低)的薄膜。使用本文描述的有机氨基硅烷前体和方法形成的含硅薄膜或介电薄膜具有式SixOyCzNvHw的一个实施方式中,其中Si的范围为大约10%至大约40%,O的范围为大约0%至大约65%,C的范围为大约0%至大约75%或大约0%至大约50%,N的范围为大约0%至大约75%或大约0%至大约50%,和H的范围为大约0%至大约50%,以上百分比为原子重量百分比,其中x+y+z+v+w=100原子重量百分比,如例如通过XPS或其它方法测定的。然而,具有不同配方的介电或含硅薄膜的其他实施方式可采用本文描述的卤代有机氨基硅烷前体来进行。
如前所述,本文描述的方法可以用于在衬底的至少一部分上沉积含硅薄膜。合适的衬底的例子包括,但不限于,硅、SiO2、Si3N4、OSG、FSG、碳化硅、氢化碳化硅、氮化硅、氢化氮化硅、碳氮化硅、氢化碳氮化硅、氮化硼(boronitride)、抗反射涂层、光致抗蚀剂、有机聚合物、多孔有机和无机材料、金属(如铜和铝)及扩散屏障层(例如,但不限于,TiN、Ti(C)N、TaN、Ta(C)N、Ta、W或WN)。薄膜与多种后续的处理步骤相容,例如,举例来说,化学机械抛光(CMP)和各向异性蚀刻处理。
沉积的薄膜具有包括,但不限于,计算机芯片、光学器件、磁信息存储、支撑材料或衬底上的涂层、微型机电系统(MEMS)、纳米机电系统、薄膜晶体管(TFT)和液晶显示器(LCD)的应用。
在权利要求中,字母用于标识所要求保护的步骤(例如(a)、(b)和(c))。这些字母用于帮助指称方法步骤,而不意图指示所要求保护的步骤执行的次序,除非且仅达到这种次序在权利要求中具体叙述的程度。
以下实施例举例说明了本文描述的制备卤代有机氨基硅烷前体的方法以及本文描述的沉积的含硅薄膜的方法,且不意图以任何方式限制本发明。
实施例
实施例1:合成2,6-二甲基哌啶子基氯硅烷
在2000ml配备有机械搅拌器和加料漏斗的3颈圆底烧瓶中添加1000ml己烷。采用干冰IPA冷浴将烧瓶冷却到-20℃,101g(1.0mol)二氯硅烷被冷凝并溶于己烷中。在搅拌下将113g(1.0mol)2,6-二甲基哌啶和111g(1.1mol)三乙胺的混合物滴加到烧瓶中。添加完成后,在-20℃搅拌反应混合物1小时,然后升温到室温。通过在手套袋中真空过滤去除HCl·Et3N的盐副产物沉淀,用N2吹扫以防止产物与空气中的水分反应。对滤液的GC/MS分析确认了产物2,6-二甲基哌啶子基氯硅烷,显示产物的分子离子质量为177以及M-CH3支持质量片段的质量为162。溶剂己烷通过蒸馏去除,且产物通过真空蒸馏分离。10torr下的沸点为60℃,收率为66%。
实施例2:2,6-二甲基哌啶子基氯硅烷的替代合成路线
-78℃下向7.55g(66.68mmol)顺式-2,6-二甲基哌啶于50mL THF中的溶液滴加28.00mL(70.02mmol)的正丁基锂的2.5M己烷溶液。析出沉淀并在搅拌下将反应混合物升温至室温。一小时后,在-40℃下将该混合物滴加至6.74g(66.68mmol)二氯硅烷于30mL二甲苯和70mL己烷的溶液中,并形成浅灰色沉淀。将反应混合物搅拌16小时,之后将其从析出的固体中倾析并在环境压力下进行蒸馏以去除挥发性物质。分离了3.16g所需产物,收率为27%。产物经GC/MS分析证实为2,6-二甲基哌啶子基氯硅烷。
实施例3:合成2,6-二甲基哌啶子基二氯硅烷
2,6-二甲基哌啶子基二氯硅烷的合成通过在70重量%的己烷溶液中以1份2,6-二甲基哌啶∶1份三乙胺∶1.1份三氯硅烷的摩尔比混合来制备。需要过量的三氯硅烷以防止双(2,6-二甲基哌啶子基)氯硅烷的形成。通过在手套袋中真空过滤去除HCl·Et3N盐副产物沉淀,用N2吹扫以防止产物与空气中的水分反应。对滤液的GC/MS分析(显示于图1中)确认生成了产物2,6-二甲基哌啶子基二氯硅烷,显示质量为211以及-CH3的支持质量片段质量为196。真空过滤后,总己烷量通过第一步骤中的简单蒸馏去除。第二步骤采用真空蒸馏技术,其中收集产物。由真空蒸馏测定18torr下的沸点为93℃,在5torr下的沸点为66℃,产率为64%。
实施例4:含硅薄膜的原子层沉积
使用以下前体:2,6-二甲基哌啶子基氯硅烷进行含硅薄膜的原子层沉积。在实验室规模的ALD处理设备上进行沉积。所有气体(例如,吹扫和反应气体或前体和氧源)预热到100℃,然后进入沉积区。气体和前体流速用具有高速致动的ALD隔膜阀控制。用于沉积的衬底是12英寸长的硅带,使热电偶附着在样品支架上以确认衬底温度。采用臭氧作为氧源气体进行沉积,且沉积的工艺参数提供于表II中:
表II:用臭氧进行含硅薄膜的原子层沉积的工艺
Figure BSA00000789678200311
重复步骤b)到e)直到达到希望的厚度。表征所产生的含硅薄膜的沉积速率和折射率。通过将来自薄膜的反射数据与预设的物理模型(例如Lorentz Oscillator模型)拟合,使用FilmTek 2000SE椭率计测量薄膜的厚度和折射率。薄膜组成用X-射线光电子光谱(XPS)技术来分析。在配备有多通道板(MCD)和聚焦的Al单色X-射线源的PHI 5000VersaProbe分光计上进行X-射线光电子光谱实验。薄膜组成在薄膜中
Figure BSA00000789678200321
处测量以去除偶然的碳信号。测量的薄膜组成省略了氢(因为其不能用XPS检测到),并归一化至100%。
在所有样品上进行X-射线反射计测量(XRR)以确定薄膜密度。在0.2≤2≤0.65范围内采用0.001的步长和1s/步的计数时间来扫描样品。采用两层模型分析数据,其中将衬底定义为Si以及将薄膜定义为氧化硅。
如表III中总结的,以一秒的前体加料,采用2,6-二甲基哌啶子基氯硅烷在150-300℃的各种不同衬底温度下形成氧化硅薄膜:
表III:2,6-二甲基哌啶子基氯硅烷的工艺参数和结果的总结
Figure BSA00000789678200322
如表IV中总结的,以可变的前体加料,采用2,6-二甲基哌啶子基氯硅烷在300℃的衬底温度下形成氧化硅薄膜:
表IV:2,6-二甲基哌啶子基氯硅烷的工艺参数和结果总结
Figure BSA00000789678200323
氧化硅沉积显示随着前体加料增加的沉积速率饱和,表明类似于自限的原子层沉积(ALD)样行为。如通过X射线光电子光谱法(XPS)测定的,沉积薄膜具有33at.%的Si和67at.%的O。没有在薄膜中检测到碳、氮和氯。薄膜密度为1.9±0.05g/cc。

Claims (31)

1.由下式I表示的卤代有机氨基硅烷前体:
XmR1 nHpSi(NR2R3)4-m-n - p    I
其中X是选自Cl、Br、I的卤素;R1独立地选自直链或支链C1-C10烷基、C2-C12烯基、C2-C12炔基、C4-C10环烷基和C6-C10芳基;R2选自直链或支链C1-C10烷基、C3-C12烯基、C3-C12炔基、C4-C10环烷基和C6-C10芳基;R3选自支链C3-C10烷基、C3-C12烯基、C3-C12炔基、C4-C10环烷基和C6-C10芳基;m是1或2;n是0、1或2;p是0、1或2;且(m+n+p)的和小于4,且其中R2和R3连接以形成环。
2.如权利要求1的卤代有机氨基硅烷前体,其中R1和R2相同。
3.如权利要求1的卤代有机氨基硅烷前体,其中R1和R2不同。
4.通过沉积工艺在衬底的至少一个表面上形成介电薄膜的方法,所述沉积工艺选自化学气相沉积工艺和原子层沉积工艺,该方法包括:
在反应室中提供所述衬底的该至少一个表面;
引入至少一种具有下式I的卤代有机氨基硅烷前体:
XmR1 nHpSi(NR2R3)4-m-n-p    I
其中X是选自Cl、Br、I的卤素;R1独立地选自直链或支链C1-C10烷基、C2-C12烯基、C2-C12炔基、C4-C10环烷基和C6-C10芳基;R2选自直链或支链C1-C10烷基、C3-C12烯基、C3-C12炔基、C4-C10环烷基和C6-C10芳基;R3选自支链C3-C10烷基、C3-C12烯基、C3-C12炔基、C4-C10环烷基和C6-C10芳基;m是1或2;n是0、1或2;p是0、1或2;且(m+n+p)的和小于4,且其中R2和R3连接以形成环;
将含氮源引入反应器中,其中所述至少一种有机氨基硅烷前体和所述含氮源反应以在该至少一个表面上获得所述的介电薄膜。
5.如权利要求4的方法,其中所述至少一种有机氨基硅烷前体选自2,6-二甲基哌啶子基二氯硅烷、2,6-二甲基哌啶子基氯硅烷、环己基甲基氨基氯硅烷、环己基乙基氨基氯硅烷和环己基异丙基氨基氯硅烷,优选包括2,6-二甲基哌啶子基二氯硅烷和/或2,6-二甲基哌啶子基氯硅烷。
6.如权利要求4或5的方法,其中所述含氮源选自氨、肼、单烷基肼、二烷基肼、氮/氢、氨等离子体、氮等离子体、氮/氢等离子体及其混合物。
7.如权利要求4-6任一项的方法,其中所述介电薄膜选自氮化硅和碳氮化硅。
8.通过原子层沉积(ALD)工艺形成介电薄膜的方法,该方法包括以下步骤:
a.在ALD反应器中提供衬底;
b.在所述ALD反应器中提供至少一种具有下式I的卤代有机氨基硅烷前体:
XmR1 nHpSi(NR2R3)4-m-n-p    I
其中X是选自Cl、Br、I的卤素;R1独立地选自直链或支链C1-C10烷基、C2-C12烯基、C2-C12炔基、C4-C10环烷基和C6-C10芳基;R2选自直链或支链C3-C10烷基、C3-C12烯基、C3-C12炔基、C4-C10环烷基和C6-C10芳基;R3选自支链C1-C10烷基、C3-C12烯基、C3-C12炔基、C4-C10环烷基和C6-C10芳基;m是1或2;n是0、1或2;p是0、1或2;且(m+n+p)的和小于4,且其中R2和R3连接以形成环或R2和R3不连接形成环;
c.用惰性气体吹扫所述ALD反应器;
d.在所述ALD反应器中提供含氮源;
e.用惰性气体吹扫所述ALD反应器;和
重复步骤b至e直到获得希望的介电薄膜厚度。
9.如权利要求8的方法,其中所述至少一种卤代有机氨基硅烷前体选自2,6-二甲基哌啶子基二氯硅烷、2,6-二甲基哌啶子基氯硅烷、环己基甲基氨基氯硅烷、环己基乙基氨基氯硅烷和环己基异丙基氨基氯硅烷,优选包括2,6-二甲基哌啶子基二氯硅烷和/或2,6-二甲基哌啶子基氯硅烷。
10.如权利要求8或9的方法,其中所述含氮源选自氨、肼、单烷基肼、二烷基肼、氮、氮/氢、氨等离子体、氮等离子体、氮/氢等离子体及其混合物。
11.如权利要求8-10中任一项的方法,其中所述介电薄膜选自氮化硅和碳氮化硅。
12.使用等离子体增强原子层沉积(PEALD)工艺在衬底的至少一个表面上形成介电薄膜的方法,该方法包括:
a.在ALD反应器中提供衬底;
b.在所述ALD反应器中提供至少一种具有下式I的卤代有机氨基硅烷前体:
XmR1 nHpSi(NR2R3)4-m-n-p    I
其中X是选自Cl、Br、I的卤素;R1独立地选自直链或支链C1-C10烷基、C2-C12烯基、C2-C12炔基、C4-C10环烷基和C6-C10芳基;R2选自直链或支链C1-C10烷基、C3-C12烯基、C3-C12炔基、C4-C10环烷基和C6-C10芳基;R3选自支链C3-C10烷基、C3-C12烯基、C3-C12炔基、C4-C10环烷基和C6-C10芳基;m是1或2;n是0、1或2;p是0、1或2;且(m+n+p)的和小于4,且其中R2和R3连接以形成环或R2和R3不连接形成环;
c.用惰性气体吹扫所述ALD反应器;
d.在所述ALD反应器中提供等离子体含氮源;
e.用惰性气体吹扫所述ALD反应器;和
重复步骤b至e直到获得希望的介电薄膜厚度。
13.如权利要求12的方法,其中所述至少一种卤代有机氨基硅烷前体选自2,6-二甲基哌啶子基二氯硅烷、2,6-二甲基哌啶子基氯硅烷、环己基甲基氨基氯硅烷、环己基乙基氨基氯硅烷和环己基异丙基氨基氯硅烷,优选包括2,6-二甲基哌啶子基二氯硅烷和/或2,6-二甲基哌啶子基氯硅烷。
14.如权利要求12或13的方法,其中所述含氮源选自氨、肼、单烷基肼、二烷基肼、氮、氮/氢、氨等离子体、氮等离子体、氮/氢等离子体及其混合物。
15.如权利要求12-14中任一项的方法,其中所述介电薄膜选自氮化硅和碳氮化硅。
16.在衬底上形成氧化硅薄膜的方法,包括:
在气相沉积工艺中使氧化剂与包含下式I表示的卤代有机氨基硅烷的前体反应以在所述衬底上形成氧化硅薄膜:
XmR1 nHpSi(NR2R3)4-m-n-p    I
其中X是选自Cl、Br、I的卤素;R1独立地选自直链或支链C1-C10烷基、C2-C12烯基、C2-C12炔基、C4-C10环烷基和C6-C10芳基;R2选自直链或支链C1-C10烷基、C3-C12烯基、C3-C12炔基、C4-C10环烷基和C6-C10芳基;R3选自支链C3-C10烷基、C3-C12烯基、C3-C12炔基、C4-C10环烷基和C6-C10芳基;m是1或2;n是0、1或2;p是0、1或2;且(m+n+p)的和小于4,且其中R2和R3连接以形成环。
17.如权利要求16的方法,其中所述气相沉积为选自化学气相沉积、低压气相沉积、等离子体增强化学气相沉积、循环化学气相沉积、等离子体增强循环化学气相沉积、原子层沉积和等离子体增强原子层沉积中的至少一种。
18.如权利要求16或17的方法,其中所述至少一种卤代有机氨基硅烷前体选自2,6-二甲基哌啶子基二氯硅烷、2,6-二甲基哌啶子基氯硅烷、环己基甲基氨基氯硅烷、环己基乙基氨基氯硅烷和环己基异丙基氨基氯硅烷,优选包括2,6-二甲基哌啶子基二氯硅烷和/或2,6-二甲基哌啶子基氯硅烷。
19.用于在衬底上形成氧化硅薄膜的方法,包括:
通过气相沉积由包含至少一种具有下式I的有机氨基硅烷前体和至少一种氧化剂的组合物在所述衬底上形成氧化硅薄膜:
XmR1 nHpSi(NR2R3)4-m-n-p    I
其中X是选自Cl、Br、I的卤素;R1独立地选自直链或支链C1-C10烷基、C2-C12烯基、C2-C12炔基、C4-C10环烷基和C6-C10芳基;R2选自直链或支链C1-C10烷基、C3-C12烯基、C3-C12炔基、C4-C10环烷基和C6-C10芳基;R3选自支链C3-C10烷基、C3-C12烯基、C3-C12炔基、C4-C10环烷基和C6-C10芳基;m是1或2;n是0、1或2;p是0、1或2;且(m+n+p)的和小于4,且其中R2和R3连接以形成环或R2和R3不连接形成环,
其中所述气相沉积为选自化学气相沉积、低压气相沉积、等离子体增强化学气相沉积、循环化学气相沉积、等离子体增强循环化学气相沉积、原子层沉积和等离子体增强原子层沉积中的至少一种。
20.如权利要求19的方法,其中所述至少一种卤代有机氨基硅烷前体选自2,6-二甲基哌啶子基二氯硅烷、2,6-二甲基哌啶子基氯硅烷、环己基甲基氨基氯硅烷、环己基乙基氨基氯硅烷和环己基异丙基氨基氯硅烷,优选包括2,6-二甲基哌啶子基二氯硅烷和/或2,6-二甲基哌啶子基氯硅烷。
21.用于在衬底上形成氧化硅薄膜的方法,包括:
向反应器中引入由下式I表示的卤代有机氨基硅烷:
XmR1 nHpSi(NR2R3)4-m-n-p    I
其中X是选自Cl、Br、I的卤素;R1独立选自直链或支链C1-C10烷基、C2-C12烯基、C2-C12炔基、C4-C10环烷基和C6-C10芳基;R2选自直链或支链C1-C10烷基、C3-C12烯基、C3-C12炔基、C4-C10环烷基和C6-C10芳基;R3选自支链C3-C10烷基、C3-C12烯基、C3-C12炔基、C4-C10环烷基和C6-C10芳基;m是1或2;n是0、1或2;p是0、1或2;且(m+n+p)的和小于4,且其中R2和R3连接以形成环或R2和R3不连接形成环;
向反应器中引入至少一种氧化剂,其中,该至少一种氧化剂与所述有机氨基硅烷反应以在所述衬底上提供氧化硅薄膜。
22.用于在衬底上形成具有一定厚度的氧化硅薄膜的方法,该方法包括:
a.向沉积室中引入至少一种由下式I表示的卤代有机氨基硅烷:
XmR1 nHpSi(NR2R3)4-m-n-p    I
其中X是选自Cl、Br、I的卤素;R1独立地选自直链或支链C1-C10烷基、C2-C12烯基、C2-C12炔基、C4-C10环烷基和C6-C10芳基;R2选自直链或支链C1-C10烷基、C3-C12烯基、C3-C12炔基、C4-C10环烷基和C6-C10芳基;R3选自支链C3-C10烷基、C3-C12烯基、C3-C12炔基、C4-C10环烷基和C6-C10芳基;m是1或2;n是0、1或2;p是0、1或2;且(m+n+p)的和小于4,且其中R2和R3连接以形成环;
b.化学吸附所述至少一种卤代有机氨基硅烷前体到所述衬底上;
c.使用吹扫气体吹扫掉未反应的所述至少一种卤代有机氨基硅烷前体;
d.向加热的衬底上的卤代有机氨基硅烷前体提供氧源以与所吸附的至少一种卤代有机氨基硅烷前体反应;和
e.任选地吹扫掉任何未反应的氧源。
23.根据权利要求22所述的方法,其中重复步骤a至d和任选的步骤e,直到达到所述的薄膜厚度。
24.如权利要求22或23的方法,其中所述至少一种有机氨基硅烷前体选自2,6-二甲基哌啶子基二氯硅烷、2,6-二甲基哌啶子基氯硅烷、环己基甲基氨基氯硅烷、环己基乙基氨基氯硅烷和环己基异丙基氨基氯硅烷,优选包括2,6-二甲基哌啶子基二氯硅烷和/或2,6-二甲基哌啶子基氯硅烷。
25.如权利要求22-24中任一项的方法,其是原子层沉积方法或等离子体增强循环化学气相沉积方法。
26.用于输送用于沉积含硅薄膜的前体的容器,该容器包含:
由下式I表示的前体:
XmR1 nHpSi(NR2R3)4-m-n-p    I
其中X是选自Cl、Br、I的卤素;R1独立地选自直链或支链C1-C10烷基、C2-C12烯基、C2-C12炔基、C4-C10环烷基和C6-C10芳基;R2选自直链或支链C1-C10烷基、C2-C12烯基、C2-C12炔基、C4-C10环烷基和C6-C10芳基;R3选自支链C3-C10烷基、C2-C12烯基、C2-C12炔基、C4-C10环烷基和C6-C10芳基;m是1或2;n是0、1或2;p是0、1或2;且(m+n+p)的和小于4,且其中R2和R3连接以形成环;和
其中所述前体的纯度为大约98%或更高。
27.如权利要求26的容器,其中所述容器由不锈钢构成。
28.卤代有机氨基硅烷前体,选自2,6-二甲基哌啶子基氯硅烷、2,6-二甲基哌啶子基二氯硅烷、二环己基氨基氯硅烷、环己基甲基氨基氯硅烷、环己基乙基氨基氯硅烷、环己基异丙基氨基氯硅烷、N-(氯甲硅烷基)十氢喹啉、苯基烯丙基氨基氯硅烷、间甲苯基甲基氨基氯硅烷、N-(氯甲硅烷基)咔唑、N-(氯甲硅烷基)-四氢喹啉、N-(氯甲硅烷基)吲哚、N-(氯甲硅烷基)-2-甲基吲哚、N-(氯甲硅烷基)-3-甲基吲哚和N-(氯甲硅烷基)-1,2,3,4-四氢-2-甲基喹啉。
29.具有下式的卤代有机氨基硅烷前体:
ClH2Si(NR2R3)
其中R2选自直链或支链C3-C10烷基和C6-C10芳基,和R3是支链C3-C10烷基或C6-C10芳基,且其中R2和R3可以形成环或烷基取代的环。
30.具有下式的卤代有机氨基硅烷前体:
R1ClHSi(NR2R3)
其中R1是C1-C10烷基,且R2和R3是直链或支链C3-C10烷基或C4-C10芳基,其中R2和R3可以形成环或烷基取代的环。
31.用于沉积介电薄膜的组合物,包含:
XmR1 nHpSi(NR2R3)4-m-n-p    I
其中X是选自Cl、Br、I的卤素;R1独立地选自直链或支链C1-C10烷基、C2-C12烯基、C2-C12炔基、C4-C10环烷基和C6-C10芳基;R2选自直链或支链C1-C10烷基、C3-C12烯基、C3-C12炔基、C4-C10环烷基和C6-C10芳基;R3选自支链C3-C10烷基、C3-C12烯基、C3-C12炔基、C4-C10环烷基和C6-C10芳基;m是1或2;n是0、1或2;p是0、1或2;且(m+n+p)的和小于4,且其中R2和R3连接以形成环或R2和R3不连接形成环;和
选自醚、叔胺、腈、烷基烃、芳族烃、叔氨基醚或其混合物的溶剂。
CN201210392207.9A 2011-09-27 2012-09-27 卤代有机氨基硅烷前体及包含该前体的薄膜沉积方法 Active CN103012457B (zh)

Priority Applications (2)

Application Number Priority Date Filing Date Title
CN201711096518.XA CN107857774A (zh) 2011-09-27 2012-09-27 卤代有机氨基硅烷前体及包含该前体的薄膜沉积方法
CN201710325154.1A CN107312028B (zh) 2011-09-27 2012-09-27 卤代有机氨基硅烷前体及包含该前体的薄膜沉积方法

Applications Claiming Priority (4)

Application Number Priority Date Filing Date Title
US201161539717P 2011-09-27 2011-09-27
US61/539,717 2011-09-27
US13/622,117 2012-09-18
US13/622,117 US8993072B2 (en) 2011-09-27 2012-09-18 Halogenated organoaminosilane precursors and methods for depositing films comprising same

Related Child Applications (2)

Application Number Title Priority Date Filing Date
CN201710325154.1A Division CN107312028B (zh) 2011-09-27 2012-09-27 卤代有机氨基硅烷前体及包含该前体的薄膜沉积方法
CN201711096518.XA Division CN107857774A (zh) 2011-09-27 2012-09-27 卤代有机氨基硅烷前体及包含该前体的薄膜沉积方法

Publications (2)

Publication Number Publication Date
CN103012457A true CN103012457A (zh) 2013-04-03
CN103012457B CN103012457B (zh) 2018-02-09

Family

ID=46968036

Family Applications (3)

Application Number Title Priority Date Filing Date
CN201210392207.9A Active CN103012457B (zh) 2011-09-27 2012-09-27 卤代有机氨基硅烷前体及包含该前体的薄膜沉积方法
CN201710325154.1A Active CN107312028B (zh) 2011-09-27 2012-09-27 卤代有机氨基硅烷前体及包含该前体的薄膜沉积方法
CN201711096518.XA Pending CN107857774A (zh) 2011-09-27 2012-09-27 卤代有机氨基硅烷前体及包含该前体的薄膜沉积方法

Family Applications After (2)

Application Number Title Priority Date Filing Date
CN201710325154.1A Active CN107312028B (zh) 2011-09-27 2012-09-27 卤代有机氨基硅烷前体及包含该前体的薄膜沉积方法
CN201711096518.XA Pending CN107857774A (zh) 2011-09-27 2012-09-27 卤代有机氨基硅烷前体及包含该前体的薄膜沉积方法

Country Status (6)

Country Link
US (1) US8993072B2 (zh)
EP (1) EP2574611B1 (zh)
JP (3) JP5658214B2 (zh)
KR (1) KR101506940B1 (zh)
CN (3) CN103012457B (zh)
TW (1) TWI437005B (zh)

Cited By (11)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN104250258A (zh) * 2013-06-26 2014-12-31 气体产品与化学公司 氮杂聚硅烷前体和沉积包含该前体的薄膜的方法
CN104672265A (zh) * 2013-09-20 2015-06-03 气体产品与化学公司 有机氨基硅烷前体和包含该前体的沉积膜的方法
CN104805414A (zh) * 2014-01-23 2015-07-29 株式会社日立国际电气 半导体器件的制造方法及衬底处理装置
CN104831254A (zh) * 2013-10-03 2015-08-12 气体产品与化学公司 氮化硅膜的沉积方法
CN107794515A (zh) * 2016-09-01 2018-03-13 Asm Ip控股有限公司 通过形成基于烃的超薄膜对层进行保护的方法
CN108766872A (zh) * 2013-09-27 2018-11-06 乔治洛德方法研究和开发液化空气有限公司 通过催化脱氢偶联以无卤素方式合成氨基硅烷的方法
CN109804101A (zh) * 2016-10-14 2019-05-24 弗萨姆材料美国有限责任公司 用于高生长速率含硅膜的碳桥联氨基硅烷化合物
CN109890997A (zh) * 2016-10-25 2019-06-14 巴斯夫欧洲公司 产生薄含硅膜的方法
CN111630057A (zh) * 2018-01-12 2020-09-04 恩特格里斯公司 氨基碘代硅烷和合成这些氨基碘代硅烷的方法
CN113169070A (zh) * 2018-12-21 2021-07-23 乔治洛德方法研究和开发液化空气有限公司 在550℃或更高的温度下使用ALD沉积含Si膜的前体和工艺
US20220074050A1 (en) * 2016-06-06 2022-03-10 Applied Materials, Inc. Halogen-Containing Silane-Based Metal Silicide As Nucleation Layer For Tungsten ALD

Families Citing this family (384)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US10378106B2 (en) 2008-11-14 2019-08-13 Asm Ip Holding B.V. Method of forming insulation film by modified PEALD
US9394608B2 (en) 2009-04-06 2016-07-19 Asm America, Inc. Semiconductor processing reactor and components thereof
US8802201B2 (en) 2009-08-14 2014-08-12 Asm America, Inc. Systems and methods for thin-film deposition of metal oxides using excited nitrogen-oxygen species
US9312155B2 (en) 2011-06-06 2016-04-12 Asm Japan K.K. High-throughput semiconductor-processing apparatus equipped with multiple dual-chamber modules
US9793148B2 (en) 2011-06-22 2017-10-17 Asm Japan K.K. Method for positioning wafers in multiple wafer transport
US10364496B2 (en) 2011-06-27 2019-07-30 Asm Ip Holding B.V. Dual section module having shared and unshared mass flow controllers
US10854498B2 (en) 2011-07-15 2020-12-01 Asm Ip Holding B.V. Wafer-supporting device and method for producing same
US20130023129A1 (en) 2011-07-20 2013-01-24 Asm America, Inc. Pressure transmitter for a semiconductor processing environment
US9017481B1 (en) 2011-10-28 2015-04-28 Asm America, Inc. Process feed management for semiconductor substrate processing
US8946830B2 (en) 2012-04-04 2015-02-03 Asm Ip Holdings B.V. Metal oxide protective layer for a semiconductor device
KR20150034123A (ko) 2012-07-20 2015-04-02 레르 리키드 쏘시에떼 아노님 뿌르 레뜌드 에렉스뿔라따시옹 데 프로세데 조르즈 클로드 Ald/cvd 규소-함유 필름 적용을 위한 유기실란 전구체
US9558931B2 (en) 2012-07-27 2017-01-31 Asm Ip Holding B.V. System and method for gas-phase sulfur passivation of a semiconductor surface
US9659799B2 (en) 2012-08-28 2017-05-23 Asm Ip Holding B.V. Systems and methods for dynamic semiconductor process scheduling
US9021985B2 (en) 2012-09-12 2015-05-05 Asm Ip Holdings B.V. Process gas management for an inductively-coupled plasma deposition reactor
US9324811B2 (en) 2012-09-26 2016-04-26 Asm Ip Holding B.V. Structures and devices including a tensile-stressed silicon arsenic layer and methods of forming same
US10714315B2 (en) 2012-10-12 2020-07-14 Asm Ip Holdings B.V. Semiconductor reaction chamber showerhead
US9640416B2 (en) 2012-12-26 2017-05-02 Asm Ip Holding B.V. Single-and dual-chamber module-attachable wafer-handling chamber
US20160376700A1 (en) 2013-02-01 2016-12-29 Asm Ip Holding B.V. System for treatment of deposition reactor
US9484191B2 (en) 2013-03-08 2016-11-01 Asm Ip Holding B.V. Pulsed remote plasma method and system
US9589770B2 (en) 2013-03-08 2017-03-07 Asm Ip Holding B.V. Method and systems for in-situ formation of intermediate reactive species
US9564309B2 (en) 2013-03-14 2017-02-07 Asm Ip Holding B.V. Si precursors for deposition of SiN at low temperatures
US9824881B2 (en) * 2013-03-14 2017-11-21 Asm Ip Holding B.V. Si precursors for deposition of SiN at low temperatures
US8993054B2 (en) 2013-07-12 2015-03-31 Asm Ip Holding B.V. Method and system to reduce outgassing in a reaction chamber
TW201509799A (zh) 2013-07-19 2015-03-16 Air Liquide 用於ald/cvd含矽薄膜應用之六配位含矽前驅物
US9018111B2 (en) 2013-07-22 2015-04-28 Asm Ip Holding B.V. Semiconductor reaction chamber with plasma capabilities
US9793115B2 (en) 2013-08-14 2017-10-17 Asm Ip Holding B.V. Structures and devices including germanium-tin films and methods of forming same
US9284642B2 (en) * 2013-09-19 2016-03-15 Asm Ip Holding B.V. Method for forming oxide film by plasma-assisted processing
US9240412B2 (en) 2013-09-27 2016-01-19 Asm Ip Holding B.V. Semiconductor structure and device and methods of forming same using selective epitaxial process
US9556516B2 (en) 2013-10-09 2017-01-31 ASM IP Holding B.V Method for forming Ti-containing film by PEALD using TDMAT or TDEAT
US9576790B2 (en) 2013-10-16 2017-02-21 Asm Ip Holding B.V. Deposition of boron and carbon containing materials
US9543140B2 (en) 2013-10-16 2017-01-10 Asm Ip Holding B.V. Deposition of boron and carbon containing materials
US10023958B2 (en) * 2013-11-22 2018-07-17 Applied Materials, Inc. Atomic layer deposition of films comprising silicon, carbon and nitrogen using halogenated silicon precursors
US10179947B2 (en) 2013-11-26 2019-01-15 Asm Ip Holding B.V. Method for forming conformal nitrided, oxidized, or carbonized dielectric film by atomic layer deposition
US9401273B2 (en) 2013-12-11 2016-07-26 Asm Ip Holding B.V. Atomic layer deposition of silicon carbon nitride based materials
US10683571B2 (en) 2014-02-25 2020-06-16 Asm Ip Holding B.V. Gas supply manifold and method of supplying gases to chamber using same
US10167557B2 (en) 2014-03-18 2019-01-01 Asm Ip Holding B.V. Gas distribution system, reactor including the system, and methods of using the same
US9447498B2 (en) 2014-03-18 2016-09-20 Asm Ip Holding B.V. Method for performing uniform processing in gas system-sharing multiple reaction chambers
US11015245B2 (en) 2014-03-19 2021-05-25 Asm Ip Holding B.V. Gas-phase reactor and system having exhaust plenum and components thereof
US9404587B2 (en) 2014-04-24 2016-08-02 ASM IP Holding B.V Lockout tagout for semiconductor vacuum valve
JP6584496B2 (ja) * 2014-05-30 2019-10-02 ダウ シリコーンズ コーポレーション ジアミノシラン化合物
KR101913106B1 (ko) 2014-05-30 2018-10-31 다우 실리콘즈 코포레이션 모노아미노실란 화합물
KR102065329B1 (ko) * 2014-05-30 2020-01-13 다우 실리콘즈 코포레이션 다이아이소프로필아미노-다이실란의 합성 공정
US10113234B2 (en) * 2014-07-21 2018-10-30 Applied Materials, Inc. UV assisted silylation for porous low-k film sealing
US10858737B2 (en) 2014-07-28 2020-12-08 Asm Ip Holding B.V. Showerhead assembly and components thereof
US9543180B2 (en) 2014-08-01 2017-01-10 Asm Ip Holding B.V. Apparatus and method for transporting wafers between wafer carrier and process tool under vacuum
US9890456B2 (en) 2014-08-21 2018-02-13 Asm Ip Holding B.V. Method and system for in situ formation of gas-phase compounds
US9576792B2 (en) 2014-09-17 2017-02-21 Asm Ip Holding B.V. Deposition of SiN
WO2016049154A1 (en) * 2014-09-23 2016-03-31 L'air Liquide, Societe Anonyme Pour L'etude Et L'exploitation Des Procedes Georges Claude Carbosilane substituted amine precursors for deposition of si-containing films and methods thereof
US9875888B2 (en) * 2014-10-03 2018-01-23 Applied Materials, Inc. High temperature silicon oxide atomic layer deposition technology
US10941490B2 (en) 2014-10-07 2021-03-09 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
US9657845B2 (en) 2014-10-07 2017-05-23 Asm Ip Holding B.V. Variable conductance gas distribution apparatus and method
KR102300403B1 (ko) 2014-11-19 2021-09-09 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
WO2016094711A2 (en) 2014-12-13 2016-06-16 L'air Liquide, Societe Anonyme Pour L'etude Et L'exploitation Des Procedes Georges Claude Organosilane precursors for ald/cvd silicon-containing film applications and methods of using the same
KR102263121B1 (ko) 2014-12-22 2021-06-09 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 및 그 제조 방법
US9520295B2 (en) * 2015-02-03 2016-12-13 Lam Research Corporation Metal doping of amorphous carbon and silicon films used as hardmasks in substrate processing systems
CN107406978B (zh) * 2015-02-06 2019-12-03 弗萨姆材料美国有限责任公司 用于碳掺杂含硅膜的组合物以及使用所述组合物的方法
US9478415B2 (en) 2015-02-13 2016-10-25 Asm Ip Holding B.V. Method for forming film having low resistance and shallow junction depth
CN107430991A (zh) 2015-02-23 2017-12-01 应用材料公司 用于形成高质量薄膜的循环连续工艺
US10529542B2 (en) 2015-03-11 2020-01-07 Asm Ip Holdings B.V. Cross-flow reactor and method
US10276355B2 (en) 2015-03-12 2019-04-30 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
EP3310942B1 (en) * 2015-06-16 2022-07-13 Versum Materials US, LLC Processes for depositing silicon-containing films
US10458018B2 (en) 2015-06-26 2019-10-29 Asm Ip Holding B.V. Structures including metal carbide material, devices including the structures, and methods of forming same
US10600673B2 (en) 2015-07-07 2020-03-24 Asm Ip Holding B.V. Magnetic susceptor to baseplate seal
US20180202042A1 (en) * 2015-07-09 2018-07-19 L'air Liquide, Societe Anonyme Pour L'etude Et L'exploitation Des Procedes Georges Claude Alkylamino-substituted halocarbosilane precursors
US10043661B2 (en) 2015-07-13 2018-08-07 Asm Ip Holding B.V. Method for protecting layer by forming hydrocarbon-based extremely thin film
US9899291B2 (en) 2015-07-13 2018-02-20 Asm Ip Holding B.V. Method for protecting layer by forming hydrocarbon-based extremely thin film
US10083836B2 (en) 2015-07-24 2018-09-25 Asm Ip Holding B.V. Formation of boron-doped titanium metal films with high work function
US10745808B2 (en) * 2015-07-24 2020-08-18 Versum Materials Us, Llc Methods for depositing Group 13 metal or metalloid nitride films
US10087525B2 (en) 2015-08-04 2018-10-02 Asm Ip Holding B.V. Variable gap hard stop design
US9647114B2 (en) 2015-08-14 2017-05-09 Asm Ip Holding B.V. Methods of forming highly p-type doped germanium tin films and structures and devices including the films
US10410857B2 (en) 2015-08-24 2019-09-10 Asm Ip Holding B.V. Formation of SiN thin films
US9711345B2 (en) 2015-08-25 2017-07-18 Asm Ip Holding B.V. Method for forming aluminum nitride-based film by PEALD
EP3347504A1 (en) * 2015-09-11 2018-07-18 Air Products and Chemicals, Inc. Methods for depositing a conformal metal or metalloid silicon nitride film and resultant films
US9960072B2 (en) 2015-09-29 2018-05-01 Asm Ip Holding B.V. Variable adjustment for precise matching of multiple chamber cavity housings
US9909214B2 (en) 2015-10-15 2018-03-06 Asm Ip Holding B.V. Method for depositing dielectric film in trenches by PEALD
US10211308B2 (en) 2015-10-21 2019-02-19 Asm Ip Holding B.V. NbMC layers
US10322384B2 (en) 2015-11-09 2019-06-18 Asm Ip Holding B.V. Counter flow mixer for process chamber
US9455138B1 (en) 2015-11-10 2016-09-27 Asm Ip Holding B.V. Method for forming dielectric film in trenches by PEALD using H-containing gas
US9905420B2 (en) 2015-12-01 2018-02-27 Asm Ip Holding B.V. Methods of forming silicon germanium tin films and structures and devices including the films
US9607837B1 (en) 2015-12-21 2017-03-28 Asm Ip Holding B.V. Method for forming silicon oxide cap layer for solid state diffusion process
JP6594768B2 (ja) * 2015-12-25 2019-10-23 株式会社Kokusai Electric 半導体装置の製造方法、基板処理装置、プログラムおよび記録媒体
US9627221B1 (en) 2015-12-28 2017-04-18 Asm Ip Holding B.V. Continuous process incorporating atomic layer etching
US9735024B2 (en) 2015-12-28 2017-08-15 Asm Ip Holding B.V. Method of atomic layer etching using functional group-containing fluorocarbon
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
US10053775B2 (en) 2015-12-30 2018-08-21 L'air Liquide, Societé Anonyme Pour L'etude Et L'exploitation Des Procédés Georges Claude Methods of using amino(bromo)silane precursors for ALD/CVD silicon-containing film applications
US9701695B1 (en) 2015-12-30 2017-07-11 American Air Liquide, Inc. Synthesis methods for amino(halo)silanes
US9777373B2 (en) 2015-12-30 2017-10-03 American Air Liquide, Inc. Amino(iodo)silane precursors for ALD/CVD silicon-containing film applications and methods of using the same
US10529554B2 (en) 2016-02-19 2020-01-07 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US10468251B2 (en) 2016-02-19 2019-11-05 Asm Ip Holding B.V. Method for forming spacers using silicon nitride film for spacer-defined multiple patterning
US9754779B1 (en) 2016-02-19 2017-09-05 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US10501866B2 (en) 2016-03-09 2019-12-10 Asm Ip Holding B.V. Gas distribution apparatus for improved film uniformity in an epitaxial system
US10343920B2 (en) 2016-03-18 2019-07-09 Asm Ip Holding B.V. Aligned carbon nanotubes
TWI753794B (zh) 2016-03-23 2022-01-21 法商液態空氣喬治斯克勞帝方法研究開發股份有限公司 形成含矽膜之組成物及其製法與用途
US9892913B2 (en) 2016-03-24 2018-02-13 Asm Ip Holding B.V. Radial and thickness control via biased multi-port injection settings
KR102362462B1 (ko) * 2016-03-29 2022-02-14 도쿄엘렉트론가부시키가이샤 피처리체를 처리하는 방법
KR102549308B1 (ko) * 2016-03-29 2023-06-30 도쿄엘렉트론가부시키가이샤 에칭 장치
JP6784530B2 (ja) * 2016-03-29 2020-11-11 東京エレクトロン株式会社 被処理体を処理する方法
JP6770848B2 (ja) 2016-03-29 2020-10-21 東京エレクトロン株式会社 被処理体を処理する方法
US10190213B2 (en) 2016-04-21 2019-01-29 Asm Ip Holding B.V. Deposition of metal borides
US10865475B2 (en) 2016-04-21 2020-12-15 Asm Ip Holding B.V. Deposition of metal borides and silicides
US10087522B2 (en) 2016-04-21 2018-10-02 Asm Ip Holding B.V. Deposition of metal borides
US10032628B2 (en) 2016-05-02 2018-07-24 Asm Ip Holding B.V. Source/drain performance through conformal solid state doping
US10367080B2 (en) 2016-05-02 2019-07-30 Asm Ip Holding B.V. Method of forming a germanium oxynitride film
KR102592471B1 (ko) 2016-05-17 2023-10-20 에이에스엠 아이피 홀딩 비.브이. 금속 배선 형성 방법 및 이를 이용한 반도체 장치의 제조 방법
US11453943B2 (en) 2016-05-25 2022-09-27 Asm Ip Holding B.V. Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor
US10388509B2 (en) 2016-06-28 2019-08-20 Asm Ip Holding B.V. Formation of epitaxial layers via dislocation filtering
US9859151B1 (en) 2016-07-08 2018-01-02 Asm Ip Holding B.V. Selective film deposition method to form air gaps
US10612137B2 (en) 2016-07-08 2020-04-07 Asm Ip Holdings B.V. Organic reactants for atomic layer deposition
US9793135B1 (en) 2016-07-14 2017-10-17 ASM IP Holding B.V Method of cyclic dry etching using etchant film
US10714385B2 (en) 2016-07-19 2020-07-14 Asm Ip Holding B.V. Selective deposition of tungsten
US10381226B2 (en) 2016-07-27 2019-08-13 Asm Ip Holding B.V. Method of processing substrate
US10395919B2 (en) 2016-07-28 2019-08-27 Asm Ip Holding B.V. Method and apparatus for filling a gap
US9812320B1 (en) 2016-07-28 2017-11-07 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10177025B2 (en) 2016-07-28 2019-01-08 Asm Ip Holding B.V. Method and apparatus for filling a gap
US9887082B1 (en) 2016-07-28 2018-02-06 Asm Ip Holding B.V. Method and apparatus for filling a gap
KR102532607B1 (ko) 2016-07-28 2023-05-15 에이에스엠 아이피 홀딩 비.브이. 기판 가공 장치 및 그 동작 방법
US10090316B2 (en) 2016-09-01 2018-10-02 Asm Ip Holding B.V. 3D stacked multilayer semiconductor memory using doped select transistor channel
US10410943B2 (en) 2016-10-13 2019-09-10 Asm Ip Holding B.V. Method for passivating a surface of a semiconductor and related systems
US10643826B2 (en) 2016-10-26 2020-05-05 Asm Ip Holdings B.V. Methods for thermally calibrating reaction chambers
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
US10229833B2 (en) 2016-11-01 2019-03-12 Asm Ip Holding B.V. Methods for forming a transition metal nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10643904B2 (en) 2016-11-01 2020-05-05 Asm Ip Holdings B.V. Methods for forming a semiconductor device and related semiconductor device structures
US10435790B2 (en) 2016-11-01 2019-10-08 Asm Ip Holding B.V. Method of subatmospheric plasma-enhanced ALD using capacitively coupled electrodes with narrow gap
US10714350B2 (en) 2016-11-01 2020-07-14 ASM IP Holdings, B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10134757B2 (en) 2016-11-07 2018-11-20 Asm Ip Holding B.V. Method of processing a substrate and a device manufactured by using the method
KR102546317B1 (ko) 2016-11-15 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기체 공급 유닛 및 이를 포함하는 기판 처리 장치
US10340135B2 (en) 2016-11-28 2019-07-02 Asm Ip Holding B.V. Method of topologically restricted plasma-enhanced cyclic deposition of silicon or metal nitride
KR20180068582A (ko) 2016-12-14 2018-06-22 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11581186B2 (en) 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
US11447861B2 (en) 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
US9916980B1 (en) 2016-12-15 2018-03-13 Asm Ip Holding B.V. Method of forming a structure on a substrate
KR20180070971A (ko) 2016-12-19 2018-06-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10269558B2 (en) 2016-12-22 2019-04-23 Asm Ip Holding B.V. Method of forming a structure on a substrate
US10867788B2 (en) 2016-12-28 2020-12-15 Asm Ip Holding B.V. Method of forming a structure on a substrate
US11390950B2 (en) 2017-01-10 2022-07-19 Asm Ip Holding B.V. Reactor system and method to reduce residue buildup during a film deposition process
JP6857503B2 (ja) * 2017-02-01 2021-04-14 株式会社Kokusai Electric 半導体装置の製造方法、基板処理装置およびプログラム
US10655221B2 (en) 2017-02-09 2020-05-19 Asm Ip Holding B.V. Method for depositing oxide film by thermal ALD and PEALD
US10468261B2 (en) 2017-02-15 2019-11-05 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
US10283353B2 (en) 2017-03-29 2019-05-07 Asm Ip Holding B.V. Method of reforming insulating film deposited on substrate with recess pattern
US10529563B2 (en) 2017-03-29 2020-01-07 Asm Ip Holdings B.V. Method for forming doped metal oxide films on a substrate by cyclical deposition and related semiconductor device structures
US10103040B1 (en) 2017-03-31 2018-10-16 Asm Ip Holding B.V. Apparatus and method for manufacturing a semiconductor device
KR102323894B1 (ko) * 2017-04-05 2021-11-08 이상인 초임계 유체를 사용하는 전구체의 스프레이에 의한 물질의 증착
US11117161B2 (en) 2017-04-05 2021-09-14 Nova Engineering Films, Inc. Producing thin films of nanoscale thickness by spraying precursor and supercritical fluid
USD830981S1 (en) 2017-04-07 2018-10-16 Asm Ip Holding B.V. Susceptor for semiconductor substrate processing apparatus
KR102457289B1 (ko) 2017-04-25 2022-10-21 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10446393B2 (en) 2017-05-08 2019-10-15 Asm Ip Holding B.V. Methods for forming silicon-containing epitaxial layers and related semiconductor device structures
US10892156B2 (en) 2017-05-08 2021-01-12 Asm Ip Holding B.V. Methods for forming a silicon nitride film on a substrate and related semiconductor device structures
US10770286B2 (en) 2017-05-08 2020-09-08 Asm Ip Holdings B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
US10504742B2 (en) 2017-05-31 2019-12-10 Asm Ip Holding B.V. Method of atomic layer etching using hydrogen plasma
US11056353B2 (en) 2017-06-01 2021-07-06 Asm Ip Holding B.V. Method and structure for wet etch utilizing etch protection layer comprising boron and carbon
US10886123B2 (en) 2017-06-02 2021-01-05 Asm Ip Holding B.V. Methods for forming low temperature semiconductor layers and related semiconductor device structures
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
US10685834B2 (en) 2017-07-05 2020-06-16 Asm Ip Holdings B.V. Methods for forming a silicon germanium tin layer and related semiconductor device structures
KR20190009245A (ko) 2017-07-18 2019-01-28 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 구조물 형성 방법 및 관련된 반도체 소자 구조물
US11018002B2 (en) 2017-07-19 2021-05-25 Asm Ip Holding B.V. Method for selectively depositing a Group IV semiconductor and related semiconductor device structures
US10541333B2 (en) 2017-07-19 2020-01-21 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US11374112B2 (en) 2017-07-19 2022-06-28 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US10590535B2 (en) 2017-07-26 2020-03-17 Asm Ip Holdings B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US10312055B2 (en) 2017-07-26 2019-06-04 Asm Ip Holding B.V. Method of depositing film by PEALD using negative bias
US10605530B2 (en) 2017-07-26 2020-03-31 Asm Ip Holding B.V. Assembly of a liner and a flange for a vertical furnace as well as the liner and the vertical furnace
US10770336B2 (en) 2017-08-08 2020-09-08 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US10692741B2 (en) 2017-08-08 2020-06-23 Asm Ip Holdings B.V. Radiation shield
US11139191B2 (en) 2017-08-09 2021-10-05 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US10249524B2 (en) 2017-08-09 2019-04-02 Asm Ip Holding B.V. Cassette holder assembly for a substrate cassette and holding member for use in such assembly
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US10236177B1 (en) 2017-08-22 2019-03-19 ASM IP Holding B.V.. Methods for depositing a doped germanium tin semiconductor and related semiconductor device structures
USD900036S1 (en) 2017-08-24 2020-10-27 Asm Ip Holding B.V. Heater electrical connector and adapter
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
US11056344B2 (en) 2017-08-30 2021-07-06 Asm Ip Holding B.V. Layer forming method
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
KR102491945B1 (ko) 2017-08-30 2023-01-26 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR102401446B1 (ko) 2017-08-31 2022-05-24 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10607895B2 (en) 2017-09-18 2020-03-31 Asm Ip Holdings B.V. Method for forming a semiconductor device structure comprising a gate fill metal
KR102630301B1 (ko) 2017-09-21 2024-01-29 에이에스엠 아이피 홀딩 비.브이. 침투성 재료의 순차 침투 합성 방법 처리 및 이를 이용하여 형성된 구조물 및 장치
US10844484B2 (en) 2017-09-22 2020-11-24 Asm Ip Holding B.V. Apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US10658205B2 (en) 2017-09-28 2020-05-19 Asm Ip Holdings B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
KR20200065007A (ko) * 2017-10-04 2020-06-08 디아이씨 가부시끼가이샤 입자, 잉크 및 발광 소자
US10403504B2 (en) 2017-10-05 2019-09-03 Asm Ip Holding B.V. Method for selectively depositing a metallic film on a substrate
US10319588B2 (en) 2017-10-10 2019-06-11 Asm Ip Holding B.V. Method for depositing a metal chalcogenide on a substrate by cyclical deposition
US10923344B2 (en) 2017-10-30 2021-02-16 Asm Ip Holding B.V. Methods for forming a semiconductor structure and related semiconductor structures
KR102103346B1 (ko) * 2017-11-15 2020-04-22 에스케이트리켐 주식회사 박막 증착용 전구체 용액 및 이를 이용한 박막 형성 방법.
KR102443047B1 (ko) 2017-11-16 2022-09-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 방법 및 그에 의해 제조된 장치
US10910262B2 (en) 2017-11-16 2021-02-02 Asm Ip Holding B.V. Method of selectively depositing a capping layer structure on a semiconductor device structure
US11022879B2 (en) 2017-11-24 2021-06-01 Asm Ip Holding B.V. Method of forming an enhanced unexposed photoresist layer
US11639811B2 (en) 2017-11-27 2023-05-02 Asm Ip Holding B.V. Apparatus including a clean mini environment
KR102597978B1 (ko) 2017-11-27 2023-11-06 에이에스엠 아이피 홀딩 비.브이. 배치 퍼니스와 함께 사용하기 위한 웨이퍼 카세트를 보관하기 위한 보관 장치
US10290508B1 (en) 2017-12-05 2019-05-14 Asm Ip Holding B.V. Method for forming vertical spacers for spacer-defined patterning
US10872771B2 (en) 2018-01-16 2020-12-22 Asm Ip Holding B. V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
TW202325889A (zh) 2018-01-19 2023-07-01 荷蘭商Asm 智慧財產控股公司 沈積方法
CN111630203A (zh) 2018-01-19 2020-09-04 Asm Ip私人控股有限公司 通过等离子体辅助沉积来沉积间隙填充层的方法
USD903477S1 (en) 2018-01-24 2020-12-01 Asm Ip Holdings B.V. Metal clamp
US11018047B2 (en) 2018-01-25 2021-05-25 Asm Ip Holding B.V. Hybrid lift pin
USD880437S1 (en) 2018-02-01 2020-04-07 Asm Ip Holding B.V. Gas supply plate for semiconductor manufacturing apparatus
US10535516B2 (en) 2018-02-01 2020-01-14 Asm Ip Holdings B.V. Method for depositing a semiconductor structure on a surface of a substrate and related semiconductor structures
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
CN111699278B (zh) 2018-02-14 2023-05-16 Asm Ip私人控股有限公司 通过循环沉积工艺在衬底上沉积含钌膜的方法
US10896820B2 (en) 2018-02-14 2021-01-19 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
US10731249B2 (en) 2018-02-15 2020-08-04 Asm Ip Holding B.V. Method of forming a transition metal containing film on a substrate by a cyclical deposition process, a method for supplying a transition metal halide compound to a reaction chamber, and related vapor deposition apparatus
US10658181B2 (en) 2018-02-20 2020-05-19 Asm Ip Holding B.V. Method of spacer-defined direct patterning in semiconductor fabrication
KR102636427B1 (ko) 2018-02-20 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 장치
US10975470B2 (en) 2018-02-23 2021-04-13 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
US11629406B2 (en) 2018-03-09 2023-04-18 Asm Ip Holding B.V. Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate
US11114283B2 (en) 2018-03-16 2021-09-07 Asm Ip Holding B.V. Reactor, system including the reactor, and methods of manufacturing and using same
KR102646467B1 (ko) 2018-03-27 2024-03-11 에이에스엠 아이피 홀딩 비.브이. 기판 상에 전극을 형성하는 방법 및 전극을 포함하는 반도체 소자 구조
US11230766B2 (en) 2018-03-29 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
US10510536B2 (en) 2018-03-29 2019-12-17 Asm Ip Holding B.V. Method of depositing a co-doped polysilicon film on a surface of a substrate within a reaction chamber
US11088002B2 (en) 2018-03-29 2021-08-10 Asm Ip Holding B.V. Substrate rack and a substrate processing system and method
KR102501472B1 (ko) 2018-03-30 2023-02-20 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
US10580645B2 (en) 2018-04-30 2020-03-03 Asm Ip Holding B.V. Plasma enhanced atomic layer deposition (PEALD) of SiN using silicon-hydrohalide precursors
KR20190128558A (ko) 2018-05-08 2019-11-18 에이에스엠 아이피 홀딩 비.브이. 기판 상에 산화물 막을 주기적 증착 공정에 의해 증착하기 위한 방법 및 관련 소자 구조
KR20190129718A (ko) 2018-05-11 2019-11-20 에이에스엠 아이피 홀딩 비.브이. 기판 상에 피도핑 금속 탄화물 막을 형성하는 방법 및 관련 반도체 소자 구조
KR102596988B1 (ko) 2018-05-28 2023-10-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 그에 의해 제조된 장치
US11270899B2 (en) 2018-06-04 2022-03-08 Asm Ip Holding B.V. Wafer handling chamber with moisture reduction
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
US11286562B2 (en) 2018-06-08 2022-03-29 Asm Ip Holding B.V. Gas-phase chemical reactor and method of using same
KR102568797B1 (ko) 2018-06-21 2023-08-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 시스템
US10797133B2 (en) 2018-06-21 2020-10-06 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
TWI819010B (zh) 2018-06-27 2023-10-21 荷蘭商Asm Ip私人控股有限公司 用於形成含金屬材料及包含含金屬材料的膜及結構之循環沉積方法
KR20210027265A (ko) 2018-06-27 2021-03-10 에이에스엠 아이피 홀딩 비.브이. 금속 함유 재료를 형성하기 위한 주기적 증착 방법 및 금속 함유 재료를 포함하는 막 및 구조체
US10612136B2 (en) 2018-06-29 2020-04-07 ASM IP Holding, B.V. Temperature-controlled flange and reactor system including same
KR20200002519A (ko) 2018-06-29 2020-01-08 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10388513B1 (en) 2018-07-03 2019-08-20 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10755922B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10767789B2 (en) 2018-07-16 2020-09-08 Asm Ip Holding B.V. Diaphragm valves, valve components, and methods for forming valve components
US10483099B1 (en) 2018-07-26 2019-11-19 Asm Ip Holding B.V. Method for forming thermally stable organosilicon polymer film
US11053591B2 (en) 2018-08-06 2021-07-06 Asm Ip Holding B.V. Multi-port gas injection system and reactor system including same
US10883175B2 (en) 2018-08-09 2021-01-05 Asm Ip Holding B.V. Vertical furnace for processing substrates and a liner for use therein
JP7265446B2 (ja) * 2018-08-10 2023-04-26 住友精化株式会社 アミノシラン化合物、前記アミノシラン化合物を含むシリコン含有膜形成用の組成物
CN110824599B (zh) 2018-08-14 2021-09-03 白金科技股份有限公司 一种红外带通滤波器
US10829852B2 (en) 2018-08-16 2020-11-10 Asm Ip Holding B.V. Gas distribution device for a wafer processing apparatus
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
KR20200030162A (ko) 2018-09-11 2020-03-20 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
US11024523B2 (en) 2018-09-11 2021-06-01 Asm Ip Holding B.V. Substrate processing apparatus and method
JP6980624B2 (ja) * 2018-09-13 2021-12-15 株式会社Kokusai Electric 半導体装置の製造方法、基板処理方法、基板処理装置およびプログラム
US11049751B2 (en) 2018-09-14 2021-06-29 Asm Ip Holding B.V. Cassette supply system to store and handle cassettes and processing apparatus equipped therewith
CN110970344A (zh) 2018-10-01 2020-04-07 Asm Ip控股有限公司 衬底保持设备、包含所述设备的系统及其使用方法
US11232963B2 (en) 2018-10-03 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102592699B1 (ko) 2018-10-08 2023-10-23 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 박막 증착 장치와 기판 처리 장치
US10847365B2 (en) 2018-10-11 2020-11-24 Asm Ip Holding B.V. Method of forming conformal silicon carbide film by cyclic CVD
US10811256B2 (en) 2018-10-16 2020-10-20 Asm Ip Holding B.V. Method for etching a carbon-containing feature
KR102546322B1 (ko) 2018-10-19 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
KR102605121B1 (ko) 2018-10-19 2023-11-23 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
USD948463S1 (en) 2018-10-24 2022-04-12 Asm Ip Holding B.V. Susceptor for semiconductor substrate supporting apparatus
US10381219B1 (en) 2018-10-25 2019-08-13 Asm Ip Holding B.V. Methods for forming a silicon nitride film
US11087997B2 (en) 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
KR20200051105A (ko) 2018-11-02 2020-05-13 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 기판 처리 장치
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US11031242B2 (en) 2018-11-07 2021-06-08 Asm Ip Holding B.V. Methods for depositing a boron doped silicon germanium film
US10847366B2 (en) 2018-11-16 2020-11-24 Asm Ip Holding B.V. Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process
US10818758B2 (en) 2018-11-16 2020-10-27 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US10559458B1 (en) 2018-11-26 2020-02-11 Asm Ip Holding B.V. Method of forming oxynitride film
EP3680245A4 (en) * 2018-11-30 2020-07-22 Hansol Chemical Co., Ltd SILICON PRECURSOR AND METHOD FOR PRODUCING A THIN FILM CONTAINING SILICON USING THEREOF
KR102157137B1 (ko) 2018-11-30 2020-09-17 주식회사 한솔케미칼 실리콘 전구체 및 이를 이용한 실리콘 함유 박막의 제조방법
US11217444B2 (en) 2018-11-30 2022-01-04 Asm Ip Holding B.V. Method for forming an ultraviolet radiation responsive metal oxide-containing film
KR102636428B1 (ko) 2018-12-04 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치를 세정하는 방법
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
TW202037745A (zh) 2018-12-14 2020-10-16 荷蘭商Asm Ip私人控股有限公司 形成裝置結構之方法、其所形成之結構及施行其之系統
TWI819180B (zh) 2019-01-17 2023-10-21 荷蘭商Asm 智慧財產控股公司 藉由循環沈積製程於基板上形成含過渡金屬膜之方法
KR20200091543A (ko) 2019-01-22 2020-07-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
CN111524788B (zh) 2019-02-01 2023-11-24 Asm Ip私人控股有限公司 氧化硅的拓扑选择性膜形成的方法
JP2020136677A (ja) 2019-02-20 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材表面内に形成された凹部を充填するための周期的堆積方法および装置
JP2020136678A (ja) 2019-02-20 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材表面内に形成された凹部を充填するための方法および装置
US11482533B2 (en) 2019-02-20 2022-10-25 Asm Ip Holding B.V. Apparatus and methods for plug fill deposition in 3-D NAND applications
KR102626263B1 (ko) 2019-02-20 2024-01-16 에이에스엠 아이피 홀딩 비.브이. 처리 단계를 포함하는 주기적 증착 방법 및 이를 위한 장치
JP2020133004A (ja) 2019-02-22 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材を処理するための基材処理装置および方法
KR20200108243A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOC 층을 포함한 구조체 및 이의 형성 방법
KR20200108242A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. 실리콘 질화물 층을 선택적으로 증착하는 방법, 및 선택적으로 증착된 실리콘 질화물 층을 포함하는 구조체
KR20200108248A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOCN 층을 포함한 구조체 및 이의 형성 방법
JP2020167398A (ja) 2019-03-28 2020-10-08 エーエスエム・アイピー・ホールディング・ベー・フェー ドアオープナーおよびドアオープナーが提供される基材処理装置
KR20200116855A (ko) 2019-04-01 2020-10-13 에이에스엠 아이피 홀딩 비.브이. 반도체 소자를 제조하는 방법
US11447864B2 (en) 2019-04-19 2022-09-20 Asm Ip Holding B.V. Layer forming method and apparatus
KR20200125453A (ko) 2019-04-24 2020-11-04 에이에스엠 아이피 홀딩 비.브이. 기상 반응기 시스템 및 이를 사용하는 방법
KR20200130118A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 비정질 탄소 중합체 막을 개질하는 방법
KR20200130121A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 딥 튜브가 있는 화학물질 공급원 용기
KR20200130652A (ko) 2019-05-10 2020-11-19 에이에스엠 아이피 홀딩 비.브이. 표면 상에 재료를 증착하는 방법 및 본 방법에 따라 형성된 구조
JP2020188255A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
JP2020188254A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
USD935572S1 (en) 2019-05-24 2021-11-09 Asm Ip Holding B.V. Gas channel plate
USD922229S1 (en) 2019-06-05 2021-06-15 Asm Ip Holding B.V. Device for controlling a temperature of a gas supply unit
KR20200141002A (ko) 2019-06-06 2020-12-17 에이에스엠 아이피 홀딩 비.브이. 배기 가스 분석을 포함한 기상 반응기 시스템을 사용하는 방법
KR20200143254A (ko) 2019-06-11 2020-12-23 에이에스엠 아이피 홀딩 비.브이. 개질 가스를 사용하여 전자 구조를 형성하는 방법, 상기 방법을 수행하기 위한 시스템, 및 상기 방법을 사용하여 형성되는 구조
USD944946S1 (en) 2019-06-14 2022-03-01 Asm Ip Holding B.V. Shower plate
USD931978S1 (en) 2019-06-27 2021-09-28 Asm Ip Holding B.V. Showerhead vacuum transport
KR20210005515A (ko) 2019-07-03 2021-01-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치용 온도 제어 조립체 및 이를 사용하는 방법
JP7499079B2 (ja) 2019-07-09 2024-06-13 エーエスエム・アイピー・ホールディング・ベー・フェー 同軸導波管を用いたプラズマ装置、基板処理方法
CN112216646A (zh) 2019-07-10 2021-01-12 Asm Ip私人控股有限公司 基板支撑组件及包括其的基板处理装置
KR20210010307A (ko) 2019-07-16 2021-01-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210010820A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 실리콘 게르마늄 구조를 형성하는 방법
KR20210010816A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 라디칼 보조 점화 플라즈마 시스템 및 방법
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
JP2021019198A (ja) 2019-07-19 2021-02-15 エーエスエム・アイピー・ホールディング・ベー・フェー トポロジー制御されたアモルファスカーボンポリマー膜の形成方法
TW202113936A (zh) 2019-07-29 2021-04-01 荷蘭商Asm Ip私人控股有限公司 用於利用n型摻雜物及/或替代摻雜物選擇性沉積以達成高摻雜物併入之方法
CN112309899A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
CN112309900A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
KR20210018759A (ko) 2019-08-05 2021-02-18 에이에스엠 아이피 홀딩 비.브이. 화학물질 공급원 용기를 위한 액체 레벨 센서
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
JP2021031769A (ja) 2019-08-21 2021-03-01 エーエスエム アイピー ホールディング ビー.ブイ. 成膜原料混合ガス生成装置及び成膜装置
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
KR20210024423A (ko) 2019-08-22 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 홀을 구비한 구조체를 형성하기 위한 방법
USD940837S1 (en) 2019-08-22 2022-01-11 Asm Ip Holding B.V. Electrode
USD930782S1 (en) 2019-08-22 2021-09-14 Asm Ip Holding B.V. Gas distributor
USD949319S1 (en) 2019-08-22 2022-04-19 Asm Ip Holding B.V. Exhaust duct
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
KR20210024420A (ko) 2019-08-23 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 비스(디에틸아미노)실란을 사용하여 peald에 의해 개선된 품질을 갖는 실리콘 산화물 막을 증착하기 위한 방법
KR20210029090A (ko) 2019-09-04 2021-03-15 에이에스엠 아이피 홀딩 비.브이. 희생 캡핑 층을 이용한 선택적 증착 방법
KR20210029663A (ko) 2019-09-05 2021-03-16 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
CN112593212B (zh) 2019-10-02 2023-12-22 Asm Ip私人控股有限公司 通过循环等离子体增强沉积工艺形成拓扑选择性氧化硅膜的方法
KR20210042810A (ko) 2019-10-08 2021-04-20 에이에스엠 아이피 홀딩 비.브이. 활성 종을 이용하기 위한 가스 분배 어셈블리를 포함한 반응기 시스템 및 이를 사용하는 방법
TW202129060A (zh) 2019-10-08 2021-08-01 荷蘭商Asm Ip控股公司 基板處理裝置、及基板處理方法
KR20210043460A (ko) 2019-10-10 2021-04-21 에이에스엠 아이피 홀딩 비.브이. 포토레지스트 하부층을 형성하기 위한 방법 및 이를 포함한 구조체
US12009241B2 (en) 2019-10-14 2024-06-11 Asm Ip Holding B.V. Vertical batch furnace assembly with detector to detect cassette
TWI834919B (zh) 2019-10-16 2024-03-11 荷蘭商Asm Ip私人控股有限公司 氧化矽之拓撲選擇性膜形成之方法
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
KR20210047808A (ko) 2019-10-21 2021-04-30 에이에스엠 아이피 홀딩 비.브이. 막을 선택적으로 에칭하기 위한 장치 및 방법
KR20210050453A (ko) 2019-10-25 2021-05-07 에이에스엠 아이피 홀딩 비.브이. 기판 표면 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
KR20210054983A (ko) 2019-11-05 2021-05-14 에이에스엠 아이피 홀딩 비.브이. 도핑된 반도체 층을 갖는 구조체 및 이를 형성하기 위한 방법 및 시스템
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
KR20210062561A (ko) 2019-11-20 2021-05-31 에이에스엠 아이피 홀딩 비.브이. 기판의 표면 상에 탄소 함유 물질을 증착하는 방법, 상기 방법을 사용하여 형성된 구조물, 및 상기 구조물을 형성하기 위한 시스템
CN112951697A (zh) 2019-11-26 2021-06-11 Asm Ip私人控股有限公司 基板处理设备
KR20210065848A (ko) 2019-11-26 2021-06-04 에이에스엠 아이피 홀딩 비.브이. 제1 유전체 표면과 제2 금속성 표면을 포함한 기판 상에 타겟 막을 선택적으로 형성하기 위한 방법
CN112885692A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
CN112885693A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
JP2021090042A (ja) 2019-12-02 2021-06-10 エーエスエム アイピー ホールディング ビー.ブイ. 基板処理装置、基板処理方法
KR20210070898A (ko) 2019-12-04 2021-06-15 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
JP2021097227A (ja) 2019-12-17 2021-06-24 エーエスエム・アイピー・ホールディング・ベー・フェー 窒化バナジウム層および窒化バナジウム層を含む構造体を形成する方法
US11527403B2 (en) 2019-12-19 2022-12-13 Asm Ip Holding B.V. Methods for filling a gap feature on a substrate surface and related semiconductor structures
EP4065746A4 (en) * 2019-12-27 2023-06-28 Versum Materials US, LLC Method for depositing a film
JP2021109175A (ja) 2020-01-06 2021-08-02 エーエスエム・アイピー・ホールディング・ベー・フェー ガス供給アセンブリ、その構成要素、およびこれを含む反応器システム
US11993847B2 (en) 2020-01-08 2024-05-28 Asm Ip Holding B.V. Injector
TW202129068A (zh) 2020-01-20 2021-08-01 荷蘭商Asm Ip控股公司 形成薄膜之方法及修飾薄膜表面之方法
TW202130846A (zh) 2020-02-03 2021-08-16 荷蘭商Asm Ip私人控股有限公司 形成包括釩或銦層的結構之方法
TW202146882A (zh) 2020-02-04 2021-12-16 荷蘭商Asm Ip私人控股有限公司 驗證一物品之方法、用於驗證一物品之設備、及用於驗證一反應室之系統
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
CN111233513A (zh) * 2020-02-12 2020-06-05 南京医科大学 一种氧化锆陶瓷修复体粘接面的改性方法
TW202146715A (zh) 2020-02-17 2021-12-16 荷蘭商Asm Ip私人控股有限公司 用於生長磷摻雜矽層之方法及其系統
TW202203344A (zh) 2020-02-28 2022-01-16 荷蘭商Asm Ip控股公司 專用於零件清潔的系統
KR20210116240A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 조절성 접합부를 갖는 기판 핸들링 장치
US11876356B2 (en) 2020-03-11 2024-01-16 Asm Ip Holding B.V. Lockout tagout assembly and system and method of using same
KR20210117157A (ko) 2020-03-12 2021-09-28 에이에스엠 아이피 홀딩 비.브이. 타겟 토폴로지 프로파일을 갖는 층 구조를 제조하기 위한 방법
KR20210124042A (ko) 2020-04-02 2021-10-14 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법
TW202146689A (zh) 2020-04-03 2021-12-16 荷蘭商Asm Ip控股公司 阻障層形成方法及半導體裝置的製造方法
TW202145344A (zh) 2020-04-08 2021-12-01 荷蘭商Asm Ip私人控股有限公司 用於選擇性蝕刻氧化矽膜之設備及方法
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
US11996289B2 (en) 2020-04-16 2024-05-28 Asm Ip Holding B.V. Methods of forming structures including silicon germanium and silicon layers, devices formed using the methods, and systems for performing the methods
US11898243B2 (en) 2020-04-24 2024-02-13 Asm Ip Holding B.V. Method of forming vanadium nitride-containing layer
KR20210132600A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐, 질소 및 추가 원소를 포함한 층을 증착하기 위한 방법 및 시스템
KR20210132605A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 냉각 가스 공급부를 포함한 수직형 배치 퍼니스 어셈블리
KR20210134226A (ko) 2020-04-29 2021-11-09 에이에스엠 아이피 홀딩 비.브이. 고체 소스 전구체 용기
KR20210134869A (ko) 2020-05-01 2021-11-11 에이에스엠 아이피 홀딩 비.브이. Foup 핸들러를 이용한 foup의 빠른 교환
KR20210141379A (ko) 2020-05-13 2021-11-23 에이에스엠 아이피 홀딩 비.브이. 반응기 시스템용 레이저 정렬 고정구
KR20210143653A (ko) 2020-05-19 2021-11-29 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210145078A (ko) 2020-05-21 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 다수의 탄소 층을 포함한 구조체 및 이를 형성하고 사용하는 방법
TW202200837A (zh) 2020-05-22 2022-01-01 荷蘭商Asm Ip私人控股有限公司 用於在基材上形成薄膜之反應系統
TW202201602A (zh) 2020-05-29 2022-01-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202218133A (zh) 2020-06-24 2022-05-01 荷蘭商Asm Ip私人控股有限公司 形成含矽層之方法
TW202217953A (zh) 2020-06-30 2022-05-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
KR20220010438A (ko) 2020-07-17 2022-01-25 에이에스엠 아이피 홀딩 비.브이. 포토리소그래피에 사용하기 위한 구조체 및 방법
TW202204662A (zh) 2020-07-20 2022-02-01 荷蘭商Asm Ip私人控股有限公司 用於沉積鉬層之方法及系統
KR20220027026A (ko) 2020-08-26 2022-03-07 에이에스엠 아이피 홀딩 비.브이. 금속 실리콘 산화물 및 금속 실리콘 산질화물 층을 형성하기 위한 방법 및 시스템
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
US12009224B2 (en) 2020-09-29 2024-06-11 Asm Ip Holding B.V. Apparatus and method for etching metal nitrides
TW202229613A (zh) 2020-10-14 2022-08-01 荷蘭商Asm Ip私人控股有限公司 於階梯式結構上沉積材料的方法
KR20220053482A (ko) 2020-10-22 2022-04-29 에이에스엠 아이피 홀딩 비.브이. 바나듐 금속을 증착하는 방법, 구조체, 소자 및 증착 어셈블리
TW202223136A (zh) 2020-10-28 2022-06-16 荷蘭商Asm Ip私人控股有限公司 用於在基板上形成層之方法、及半導體處理系統
TW202235675A (zh) 2020-11-30 2022-09-16 荷蘭商Asm Ip私人控股有限公司 注入器、及基板處理設備
KR20220081905A (ko) 2020-12-09 2022-06-16 에이에스엠 아이피 홀딩 비.브이. 실리콘 질화물 증착용 실리콘 전구체
US11946137B2 (en) 2020-12-16 2024-04-02 Asm Ip Holding B.V. Runout and wobble measurement fixtures
TW202231903A (zh) 2020-12-22 2022-08-16 荷蘭商Asm Ip私人控股有限公司 過渡金屬沉積方法、過渡金屬層、用於沉積過渡金屬於基板上的沉積總成
TW202231643A (zh) * 2020-12-24 2022-08-16 南韓商Up化學有限公司 使用上表面改性劑來形成膜的方法
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
USD1023959S1 (en) 2021-05-11 2024-04-23 Asm Ip Holding B.V. Electrode for substrate processing apparatus
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate

Citations (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
EP0902031A2 (en) * 1997-08-28 1999-03-17 Dow Corning Corporation Aminosilanes and method of preparation
CN1584108A (zh) * 2003-04-05 2005-02-23 罗姆和哈斯电子材料有限责任公司 有机金属化合物
US6869638B2 (en) * 2001-03-30 2005-03-22 Advanced Tehnology Materials, Inc. Source reagent compositions for CVD formation of gate dielectric thin films using amide precursors and method of using same
CN102282291A (zh) * 2009-03-19 2011-12-14 株式会社艾迪科 化学气相沉积用原料及使用了该原料的含硅薄膜形成方法
CN103582719A (zh) * 2011-06-03 2014-02-12 气体产品与化学公司 用于沉积碳掺杂含硅膜的组合物和方法

Family Cites Families (22)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CS267800B1 (cs) 1988-12-19 1990-02-12 Jan Ing Csc Janiga Sposob přípravy trisdietylaminosilíciumchloridu
CA1336596C (en) * 1989-07-26 1995-08-08 Constantine A. Stewart Organosilane compounds
JP3282769B2 (ja) * 1994-07-12 2002-05-20 ソニー株式会社 半導体装置の製造方法
EP0894802B1 (en) 1997-07-31 2002-11-06 Nippon Mitsubishi Oil Corporation Silane compound
US6413647B1 (en) 2000-02-28 2002-07-02 Jsr Corporation Composition for film formation, method of film formation, and silica-based film
US7084080B2 (en) 2001-03-30 2006-08-01 Advanced Technology Materials, Inc. Silicon source reagent compositions, and method of making and using same for microelectronic device structure
JP4054957B2 (ja) * 2001-12-07 2008-03-05 信越化学工業株式会社 テトラキス(ジメチルアミノ)シランの塩素分低減方法
JP2005534485A (ja) * 2002-08-02 2005-11-17 アメリカ合衆国 架橋した一酸化窒素−放出ポリアミン被覆基質、それを含む組成物及びその製造方法
US6893750B2 (en) 2002-12-12 2005-05-17 General Electric Company Thermal barrier coating protected by alumina and method for preparing same
US7524735B1 (en) 2004-03-25 2009-04-28 Novellus Systems, Inc Flowable film dielectric gap fill process
US7582555B1 (en) 2005-12-29 2009-09-01 Novellus Systems, Inc. CVD flowable gap fill
US7332618B2 (en) 2004-09-28 2008-02-19 Praxair Technology, Inc. Organometallic precursor compounds
US7875556B2 (en) 2005-05-16 2011-01-25 Air Products And Chemicals, Inc. Precursors for CVD silicon carbo-nitride and silicon nitride films
US7358194B2 (en) 2005-08-18 2008-04-15 Tokyo Electron Limited Sequential deposition process for forming Si-containing films
US7875312B2 (en) 2006-05-23 2011-01-25 Air Products And Chemicals, Inc. Process for producing silicon oxide films for organoaminosilane precursors
US7498273B2 (en) 2006-05-30 2009-03-03 Applied Materials, Inc. Formation of high quality dielectric films of silicon dioxide for STI: usage of different siloxane-based precursors for harp II—remote plasma enhanced deposition processes
US8318966B2 (en) * 2006-06-23 2012-11-27 Praxair Technology, Inc. Organometallic compounds
US20080207007A1 (en) 2007-02-27 2008-08-28 Air Products And Chemicals, Inc. Plasma Enhanced Cyclic Chemical Vapor Deposition of Silicon-Containing Films
JP5119832B2 (ja) 2007-09-27 2013-01-16 富士通株式会社 界面ラフネス緩和膜、配線層、半導体装置および半導体装置の製造方法
US7943531B2 (en) 2007-10-22 2011-05-17 Applied Materials, Inc. Methods for forming a silicon oxide layer over a substrate
EP2730676A1 (en) 2010-04-01 2014-05-14 L'air Liquide, Societe Anonyme Pour L'etude Et L'exploitation Des Procedes Georges Claude Method for deposition of silicon nitride layers
US20120277457A1 (en) * 2010-10-12 2012-11-01 Air Products And Chemicals, Inc. Aminosilanes and methods for making same

Patent Citations (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
EP0902031A2 (en) * 1997-08-28 1999-03-17 Dow Corning Corporation Aminosilanes and method of preparation
US6869638B2 (en) * 2001-03-30 2005-03-22 Advanced Tehnology Materials, Inc. Source reagent compositions for CVD formation of gate dielectric thin films using amide precursors and method of using same
CN1584108A (zh) * 2003-04-05 2005-02-23 罗姆和哈斯电子材料有限责任公司 有机金属化合物
CN102282291A (zh) * 2009-03-19 2011-12-14 株式会社艾迪科 化学气相沉积用原料及使用了该原料的含硅薄膜形成方法
CN103582719A (zh) * 2011-06-03 2014-02-12 气体产品与化学公司 用于沉积碳掺杂含硅膜的组合物和方法

Non-Patent Citations (5)

* Cited by examiner, † Cited by third party
Title
-: "CHEMICAL ABSTRACTS SERVICE RN:18145-37-4", 《STN REGISTRY数据库》, 16 November 1984 (1984-11-16) *
HARLE HEATHER D.等,: "Properties and Reactions of Organosilanes and Organogermanes Containing the Potentially Bidentate (X(CH2)n)2N-Group", 《SYNTHESIS AND REACTIVITY IN INORGANIC AND METAL-ORGANIC CHEMISTRY》, 31 December 2003 (2003-12-31) *
J. EMSLEY: "Aminosilane-Iodosilane Adducts", 《J. CHEM. SOC.-INORG. PHYS. THEOR.》, 1 January 1968 (1968-01-01), pages 1012 *
JOACHIM HEINICKE等,: "Aminosubstituted Disilanes: Synthesis by Unsymmetrical and Symmetrical Reductive Coupling", 《HETEROATOM CHEMISTRY》, 31 December 1998 (1998-12-31) *
ROSCOE A. PIKE等,: "Preparation of β-Cyanoethyltrichlorosilane Using Silylamine Catalysts", 《JOURNAL OF ORGANIC CHEMISTRY》, 1 January 1962 (1962-01-01) *

Cited By (19)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN104250258A (zh) * 2013-06-26 2014-12-31 气体产品与化学公司 氮杂聚硅烷前体和沉积包含该前体的薄膜的方法
CN104250258B (zh) * 2013-06-26 2018-01-19 弗萨姆材料美国有限责任公司 氮杂聚硅烷前体和沉积包含该前体的薄膜的方法
CN104672265A (zh) * 2013-09-20 2015-06-03 气体产品与化学公司 有机氨基硅烷前体和包含该前体的沉积膜的方法
CN104672265B (zh) * 2013-09-20 2020-10-30 弗萨姆材料美国有限责任公司 有机氨基硅烷前体和包含该前体的沉积膜的方法
CN108766872A (zh) * 2013-09-27 2018-11-06 乔治洛德方法研究和开发液化空气有限公司 通过催化脱氢偶联以无卤素方式合成氨基硅烷的方法
CN108766872B (zh) * 2013-09-27 2022-11-01 乔治洛德方法研究和开发液化空气有限公司 通过催化脱氢偶联以无卤素方式合成氨基硅烷的方法
CN104831254B (zh) * 2013-10-03 2019-04-12 弗萨姆材料美国有限责任公司 氮化硅膜的沉积方法
CN104831254A (zh) * 2013-10-03 2015-08-12 气体产品与化学公司 氮化硅膜的沉积方法
CN104805414B (zh) * 2014-01-23 2017-06-30 株式会社日立国际电气 半导体器件的制造方法及衬底处理装置
CN104805414A (zh) * 2014-01-23 2015-07-29 株式会社日立国际电气 半导体器件的制造方法及衬底处理装置
US20220074050A1 (en) * 2016-06-06 2022-03-10 Applied Materials, Inc. Halogen-Containing Silane-Based Metal Silicide As Nucleation Layer For Tungsten ALD
US11702742B2 (en) * 2016-06-06 2023-07-18 Applied Materials, Inc. Methods of forming nucleation layers with halogenated silanes
CN107794515A (zh) * 2016-09-01 2018-03-13 Asm Ip控股有限公司 通过形成基于烃的超薄膜对层进行保护的方法
CN107794515B (zh) * 2016-09-01 2021-06-22 Asm Ip控股有限公司 通过形成基于烃的超薄膜对层进行保护的方法
CN109804101A (zh) * 2016-10-14 2019-05-24 弗萨姆材料美国有限责任公司 用于高生长速率含硅膜的碳桥联氨基硅烷化合物
CN109890997A (zh) * 2016-10-25 2019-06-14 巴斯夫欧洲公司 产生薄含硅膜的方法
US11149349B2 (en) 2016-10-25 2021-10-19 Basf Se Process for the generation of thin silicon-containing films
CN111630057A (zh) * 2018-01-12 2020-09-04 恩特格里斯公司 氨基碘代硅烷和合成这些氨基碘代硅烷的方法
CN113169070A (zh) * 2018-12-21 2021-07-23 乔治洛德方法研究和开发液化空气有限公司 在550℃或更高的温度下使用ALD沉积含Si膜的前体和工艺

Also Published As

Publication number Publication date
JP2013100262A (ja) 2013-05-23
JP5658214B2 (ja) 2015-01-21
EP2574611B1 (en) 2016-03-23
JP2016219834A (ja) 2016-12-22
JP6100743B2 (ja) 2017-03-22
EP2574611A1 (en) 2013-04-03
CN107312028B (zh) 2023-04-14
JP6310018B2 (ja) 2018-04-11
KR20130034001A (ko) 2013-04-04
US20130078392A1 (en) 2013-03-28
JP2015026849A (ja) 2015-02-05
KR101506940B1 (ko) 2015-03-31
TWI437005B (zh) 2014-05-11
CN103012457B (zh) 2018-02-09
CN107857774A (zh) 2018-03-30
CN107312028A (zh) 2017-11-03
TW201319077A (zh) 2013-05-16
US8993072B2 (en) 2015-03-31

Similar Documents

Publication Publication Date Title
CN103012457A (zh) 卤代有机氨基硅烷前体及包含该前体的薄膜沉积方法
JP7177209B2 (ja) 有機アミノジシラン前駆体、及びそれを含む膜の堆積方法
CN103451619B (zh) 有机氨基乙硅烷前体和包含该前体的薄膜沉积的方法
CN102295657B (zh) 有机氨基硅烷前体及沉积包含该前体的薄膜的方法
EP2860182B1 (en) Organoaminosilane precursors and methods for depositing films comprising same
CN104250258B (zh) 氮杂聚硅烷前体和沉积包含该前体的薄膜的方法
CN102827198B (zh) 有机氨基硅烷前体及其制备和使用方法
CN103224510B (zh) 烷氧基氨基硅烷化合物及其应用
CN103864837A (zh) 烷氧基甲硅烷基胺化合物及其应用

Legal Events

Date Code Title Description
C06 Publication
PB01 Publication
C10 Entry into substantive examination
SE01 Entry into force of request for substantive examination
TA01 Transfer of patent application right

Effective date of registration: 20170602

Address after: Arizona, USA

Applicant after: Versum Materials US, LLC

Address before: American Pennsylvania

Applicant before: Air Products and Chemicals, Inc.

TA01 Transfer of patent application right
GR01 Patent grant
GR01 Patent grant