KR20130034001A - 할로겐화된 유기아미노실란 전구체 및 이를 포함하는 필름을 증착시키기 위한 방법 - Google Patents

할로겐화된 유기아미노실란 전구체 및 이를 포함하는 필름을 증착시키기 위한 방법 Download PDF

Info

Publication number
KR20130034001A
KR20130034001A KR1020120108363A KR20120108363A KR20130034001A KR 20130034001 A KR20130034001 A KR 20130034001A KR 1020120108363 A KR1020120108363 A KR 1020120108363A KR 20120108363 A KR20120108363 A KR 20120108363A KR 20130034001 A KR20130034001 A KR 20130034001A
Authority
KR
South Korea
Prior art keywords
groups
group
branched
alkyl
alkynyl
Prior art date
Application number
KR1020120108363A
Other languages
English (en)
Other versions
KR101506940B1 (ko
Inventor
만차오 시아오
신지안 레이
마크 레오나르드 오'네일
빙 한
로날드 마틴 펄스타인
하리핀 찬드라
히터 레기나 보웬
아그네스 데렉스케이-코박스
Original Assignee
에어 프로덕츠 앤드 케미칼스, 인코오포레이티드
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 에어 프로덕츠 앤드 케미칼스, 인코오포레이티드 filed Critical 에어 프로덕츠 앤드 케미칼스, 인코오포레이티드
Publication of KR20130034001A publication Critical patent/KR20130034001A/ko
Application granted granted Critical
Publication of KR101506940B1 publication Critical patent/KR101506940B1/ko

Links

Images

Classifications

    • CCHEMISTRY; METALLURGY
    • C07ORGANIC CHEMISTRY
    • C07FACYCLIC, CARBOCYCLIC OR HETEROCYCLIC COMPOUNDS CONTAINING ELEMENTS OTHER THAN CARBON, HYDROGEN, HALOGEN, OXYGEN, NITROGEN, SULFUR, SELENIUM OR TELLURIUM
    • C07F7/00Compounds containing elements of Groups 4 or 14 of the Periodic Table
    • C07F7/02Silicon compounds
    • C07F7/025Silicon compounds without C-silicon linkages
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • CCHEMISTRY; METALLURGY
    • C07ORGANIC CHEMISTRY
    • C07FACYCLIC, CARBOCYCLIC OR HETEROCYCLIC COMPOUNDS CONTAINING ELEMENTS OTHER THAN CARBON, HYDROGEN, HALOGEN, OXYGEN, NITROGEN, SULFUR, SELENIUM OR TELLURIUM
    • C07F7/00Compounds containing elements of Groups 4 or 14 of the Periodic Table
    • C07F7/02Silicon compounds
    • C07F7/08Compounds having one or more C—Si linkages
    • C07F7/10Compounds having one or more C—Si linkages containing nitrogen having a Si-N linkage
    • CCHEMISTRY; METALLURGY
    • C07ORGANIC CHEMISTRY
    • C07FACYCLIC, CARBOCYCLIC OR HETEROCYCLIC COMPOUNDS CONTAINING ELEMENTS OTHER THAN CARBON, HYDROGEN, HALOGEN, OXYGEN, NITROGEN, SULFUR, SELENIUM OR TELLURIUM
    • C07F7/00Compounds containing elements of Groups 4 or 14 of the Periodic Table
    • C07F7/02Silicon compounds
    • C07F7/08Compounds having one or more C—Si linkages
    • C07F7/12Organo silicon halides
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/24Deposition of silicon only
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/40Oxides
    • C23C16/401Oxides containing silicon
    • C23C16/402Silicon dioxide
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45527Atomic layer deposition [ALD] characterized by the ALD cycle, e.g. different flows or temperatures during half-reactions, unusual pulsing sequence, use of precursor mixtures or auxiliary reactants or activations
    • C23C16/45536Use of plasma, radiation or electromagnetic fields
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45553Atomic layer deposition [ALD] characterized by the use of precursors specially adapted for ALD
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/02126Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material containing Si, O, and at least one of H, N, C, F, or other non-metal elements, e.g. SiOC, SiOC:H or SiONC
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/02164Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material being a silicon oxide, e.g. SiO2
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02205Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition
    • H01L21/02208Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si
    • H01L21/02219Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si the compound comprising silicon and nitrogen
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/0228Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition deposition by cyclic CVD, e.g. ALD, ALE, pulsed CVD
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02518Deposited layers
    • H01L21/02521Materials
    • H01L21/02524Group 14 semiconducting materials
    • H01L21/02532Silicon, silicon germanium, germanium
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02612Formation types
    • H01L21/02617Deposition types
    • H01L21/0262Reduction or decomposition of gaseous compounds, e.g. CVD

Landscapes

  • Chemical & Material Sciences (AREA)
  • Engineering & Computer Science (AREA)
  • Organic Chemistry (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Physics & Mathematics (AREA)
  • Mechanical Engineering (AREA)
  • Metallurgy (AREA)
  • General Chemical & Material Sciences (AREA)
  • Materials Engineering (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Power Engineering (AREA)
  • Inorganic Chemistry (AREA)
  • Plasma & Fusion (AREA)
  • Electromagnetism (AREA)
  • Chemical Vapour Deposition (AREA)
  • Formation Of Insulating Films (AREA)
  • Internal Circuitry In Semiconductor Integrated Circuit Devices (AREA)

Abstract

본 발명은 필름을 형성하는 방법 및 전구체에 관한 것이다. 일 양태에서, 하기 화학식 I을 갖는 전구체가 제공된다:
XmR1 nHpSi(NR2R3)4-m-n-p I
상기 식에서, X는 Cl, Br 및 I로부터 선택되고; R1은 선형 또는 분지형의 C1 내지 C10 알킬기, C2 내지 C12의 알케닐기, C2 내지 C12 알키닐기, C4 내지 C10 사이클릭 알킬기, 및 C6 내지 C10 아릴기로부터 선택되며; R2는 선형 또는 분지형의 C1 내지 C10 알킬, C3 내지 C12 알케닐기, C3 내지 C12 알키닐기, C4 내지 C10 사이클릭 알킬기, 및 C6 내지 C10 아릴기로부터 선택되고; R3는 분지형의 C3 내지 C10 알킬기, C3 내지 C12 알케닐기, C3 내지 C12 알키닐기, C4 내지 C10 사이클릭 알킬기, 및 C6 내지 C10 아릴기로부터 선택되며; m은 1 또는 2이고; n은 0, 1, 또는 2이며; p는 0, 1 또는 2이고; m+n+p는 4 미만이며, 여기서 R2 및 R3는 고리를 형성하도록 연결되거나 연결되지 않는다.

Description

할로겐화된 유기아미노실란 전구체 및 이를 포함하는 필름을 증착시키기 위한 방법{HALOGENATED ORGANOAMINOSILANE PRECURSORS AND METHODS FOR DEPOSITING FILMS COMPRISING SAME}
관련 출원에 대한 상호 참조
본 출원은 2011년 9월 27일에 출원된 미국 가출원 제61/539,717호의 35 U.S.C. §119 하의 우선권 이익을 주장하며, 이의 개시는 이의 전체로서 인용에 의해서 본원에 포함된다.
발명의 배경
실리콘, 비정질 실리콘, 결정질 실리콘, 미정질 실리콘, 다결정질 실리콘, 실리콘 니트라이드, 실리콘 옥사이드, 탄소-도핑된(doped) 실리콘 옥사이드, 실리콘 카보-니트라이드, 및 실리콘 옥시니트라이드 필름과 같은 실리콘 함유 필름을 포함하지만 이에 제한되지 않는, 유전체 필름의 증착에 사용될 수 있는 전구체, 특히 할로겐화된 유기아미노실란 전구체가 본원에 기재된다. 또 다른 측면에서, 본원에 기재된 것은 집적 회로 디바이스의 제작에서 실리콘-함유 유전체 필름을 증착시키기 위한 할로겐화된 유기아미노실란 전구체의 용도이다. 이들 또는 다른 측면에서, 할로겐화된 유기아미노실란 전구체는, 원자층 증착 (“ALD”), 화학적 기상 증착 (“CVD”), 사이클릭 화학적 기상 증착 (“CCVD”), 플라즈마 강화 화학적 기상 증착 (“PECVD”), 저압 화학적 기상 증착 (“LPCVD”), 및 대기압 화학적 기상 증착 (“APCVD”)을 포함하지만 이에 제한되지 않는 여러 가지의 기상 증착 방법 또는 스핀-온 (spin-on), 딥 코트 (dip coat), 에어로졸, 잉크 젯, 스크린 프린팅 또는 스프레이 증착을 포함하지만 이에 제한되지 않는 액상 (liquid based) 증착 방법 또는 필름 형성 방법에 사용될 수 있다.
여러 계열의 화합물들이 실리콘-함유 막 예컨대, 이에 제한되는 것은 아니지만, 실리콘 옥사이드 또는 실리콘 니트라이드 필름에 대한 전구체로서 사용될 수 있다. 전구체로서 사용하기에 적합한 이들 화합물들의 예는, 실란, 클로로실란, 폴리실라잔, 아미노실란, 및 아지도실란을 포함한다. 또한, 불활성 캐리어 가스 또는 희석제 예컨대, 이에 제한되는 것은 아니지만, 헬륨, 수소, 질소, 등이 반응 챔버로 전구체를 운반하는데 사용될 수 있다.
미국특허번호 제6,869,638호는 메탈로아미드 화합물 및 다음 식의 아미노실란 화합물을 이용하여 기판 상에 게이트 유전체, 고유전율 금속 산화물, 및 강유전성 금속 산화물과 같은 게이트 유전체 박막을 형성하는 CVD 방법을 개시한다: HxSiAy(NR1R2)4-x-y, 여기서 H는 수소이며; x는 0 내지 3이며; N은 질소이며; R1 및 R2 각각은 동일하거나 다르며 H, 아릴, 퍼플루오로아릴, C1-C8 알킬 및 C1-C8 퍼플로오로알킬로 이루어지는 군으로부터 독립적으로 선택되며; n은 1-6이다. '638 특허에 개시된 아미노실란 전구체의 예는 비스(디에틸아미노)디클로로실란 및 트리스(디에틸아미노)클로로실란을 포함한다.
CWO 2011/123792는 아미노-금속 전구체 및 할로겐화된 금속 전구체의 조합으로부터 금속-니트라이드-함유 필름을 형성하기 위한, 바람직하게 아미노실란 전구체 및 클로로실란 전구체의 조합으로부터 SiN-함유 필름을 형성하기 위한, 저온, 열 또는 플라즈마 기반 ALD 방법을 개시한다. '792 출원은 식 Cl4 - xSi(NR'R")x (여기서 x=2 또는 3이며, R' 및 R"은 H 또는 알킬기로부터 독립적으로 선택되며, R' 및 R"은 고리 구조를 형성하도록 연결될 수 있음)를 갖는 아미노클로로실란을 포함하는 아미노실란 전구체 및 식 R"'4- xSi(NR'R")x (여기서 x=1, 2, 또는 3이며, R' 및 R"은 H 또는 알킬기로부터 독립적으로 선택되며, R' 및 R"은 고리 구조를 형성하도록 연결될 수 있으며 R"'은 3개 미만의 탄소를 갖는 알킬기임)을 갖는 아미노알킬실란 전구체를 개시한다.
참조문헌 “Substitution of chlorine in silicon tetrachloride by dimethyl, diethylamino, and piperidino groups”, Breederveld, et al., Research (London) 5:537-9 (1952)는 SiCl4의 원자를 디알킬아미노기로 순차적으로 교체함으로써 하나 이상의 다음의 화합물을 생성하는 디알킬아미노클로로실란을 합성하는 것을 개시한다: 디에틸아미노트리클로로실란, 디(디에틸아미노)디클로로실란, 트리(디에틸아미노)클로로실란 또는 테트라(디에틸아미노)실란. 유사한 방법이 피페리디노트리클로로실란 및 디피페리디노디클로로실란을 제조하는데 사용되었다.
참조문헌 "Molecular structures of some (dimethylamino)halogenosilanes in the gas phase by electron diffraction and the crystal and molecular structures on mono- and di-chloro(dimethylamino)silane by x-ray diffraction at low temperatures", Anderson et al., J. Chem. Soc., (1987)은 (디메틸아미노)할로겐실란 SiH2X(NMe2) (여기서 X = Cl, Br, 또는 I임)을 개시한다.
참조문헌 "Chloroaminosilanes. I. Preparation of chloro(dimethylamino)hydrogen silanes", Washburne et al., Inorg. Nucl. Chem., 5(1):17-19 (1969)는 HSiCl2NMe2 (I), HSiCl(NMe2)2 (II), 및 HSi(NMe2)3 (III)의 제조 및 이들 화합물의 관련된 화학적 특성들을 개시한다.
참조문헌 "Preparation of β-cyanoethyltrichlorosilane using silylamine catalysts”, Pike et al., Journal of Organic Chemistry, 27(6): 21-90-92 (1962)는 트리클로로실란의 아크릴로니트릴에 대한 첨가에 의해서 지시적인 촉매가 될 것으로 보이는 유형 (CH3)3SiNR2의 실릴아민을 개시한다. 이 참조문헌에 개시된 실릴아민의 예는 (iPr2N)SiCl2H이다.
당해 기술분야에서 하나 이상의 다음의 이점들을 제공하는 실리콘을 포함하는 증착 필름에 사용될 수 있는 전구체를 제공할 필요성이 있다: 낮은 공정 온도(예컨대, 300 ℃ 이하); 상대적으로 양호한 증착 속도; 조성적 균일성; 및/또는 고순도.
본 발명의 간단한 요약
본원에 기재된 것은 할로겐화된 유기아미노실란 전구체 및 실리콘, 예컨대, 그러나 이에 제한되지 않는, 실리콘 필름, 실리콘 옥사이드, 탄소 도핑된 실리콘 옥사이드, 실리콘 니트라이드, 실리콘 옥시니트라이드, 실리콘 카바이드, 실리콘 카보니트라이드, 및 이들의 조합을 포함하는 필름을 기판의 적어도 일부 위에 형성하기 위하여 이를 사용하는 방법이다. 또한 본원에 개시된 것은, 예를 들어, 반도체 웨이퍼와 같은, 처리될 물체 위에 유전체 필름 또는 코팅을 형성하기 위한 방법이다. 본원에 기재된 방법의 일 구현예에서, 기판 상에 실리콘 옥사이드 층을 생성하기 위한 조건 하에서 증착 챔버에서 할로겐화된 유기아미노실란 전구체, 임의로 하나 이상의 추가의 비-할로겐화된 유기아미노실란 전구체, 및 산화제를 사용하여 실리콘 및 산소를 포함하는 층이 기판 상에 증착된다. 본원에 기재된 방법의 또 다른 구현예에서, 기판 상에 실리콘 니트라이드 층을 생성하기 위한 조건 하에서 증착 챔버에서 할로겐화된 전구체, 임의로 하나 이상의 비-할로겐화된 유기아미노실란 전구체, 및 질소 함유 전구체를 사용하여 실리콘 및 질소를 포함하는 층이 기판 상에 증착된다. 추가 구현예에서, 본원에 기재된 할로겐화된 유기아미노실란 전구체는 또한 금속 함유 필름, 예컨대, 그러나 이에 제한되지 않는, 금속 옥사이드 필름 또는 금속 니트라이드 필름을 위한 도펀트(dopant)로서 사용될 수 있다. 본원에 기재된 공정에서, 본원에 기재된 화학식 I를 갖는 할로겐화된 유기아미노실란은 실리콘 함유 전구체 중 적어도 하나로서 이용된다.
한 측면에서, 본원에 기재된 할로겐화된 유기아미노실란 전구체는 하기 화학식 I를 갖는 실리콘 전구체를 포함한다:
XmR1 nHpSi(NR2R3)4-m-n-p I
상기 식에서, X는 Cl, Br 및 I로 이루어진 군으로부터 선택되는 할라이드이고; R1은 선형 또는 분지형의 C1 내지 C10 알킬기, C2 내지 C12 알케닐기, C2 내지 C12 알키닐기, C4 내지 C10 사이클릭 알킬, 및 C6 내지 C10 아릴기로부터 독립적으로 선택되며; R2는 선형 또는 분지형의 C1 내지 C10 알킬, C3 내지 C12 알케닐기, C3 내지 C12 알키닐기, C4 내지 C10 사이클릭 알킬기, 및 C6 내지 C10 아릴기로부터 선택되고; R3은 분지형의 C3 내지 C10 알킬기, C3 내지 C12 알케닐기, C3 내지 C12 알키닐기, C4 내지 C10 사이클릭 알킬기, 및 C6 내지 C10 아릴기로부터 선택되며; m은 1 또는 2이고; n은 0, 1, 또는 2이며; p는 0, 1 또는 2이고; (m+n+p)의 합은 4 미만이며, 여기서 R2 및 R3은 고리를 형성하도록 연결되거나 R2 및 R3은 고리를 형성하도록 연결되지 않는다. 어떤 구현예에서, 화학식 I의 R2 및 R3은 고리를 형성하도록 서로 연결될 수 있다. 다른 구현예에서, 화학식 I의 R2 및 R3은 고리를 형성하도록 서로 연결되지 않는다.
또 다른 측면에서, 다음 단계를 포함하는 기판의 하나 이상의 표면상에 실리콘-함유 필름을 형성하기 위한 방법이 제공된다:
기판의 하나 이상의 표면을 반응 챔버에 제공하는 단계; 및
하기 화학식 I을 갖는 할로겐화된 유기아미노실란 전구체를 사용하여 화학적 기상 증착 공정 및 원자층 증착 공정으로부터 선택된 증착 공정에 의해서 하나 이상의 표면상에 실리콘-함유 필름을 형성하는 단계:
XmR1 nHpSi(NR2R3)4-m-n-p I
상기 식에서, X는 Cl, Br 및 I로 이루어진 군으로부터 선택된 할라이드이고; R1은 선형 또는 분지형의 C1 내지 C10 알킬기, C2 내지 C12의 알케닐기, C2 내지 C12 알키닐기, C4 내지 C10 사이클릭 알킬, 및 C6 내지 C10 아릴기로부터 독립적으로 선택되며; R2는 선형 또는 분지형의 C1 내지 C10 알킬기, C3 내지 C12 알케닐기, C3 내지 C12 알키닐기, C4 내지 C10 사이클릭 알킬기, 및 C6 내지 C10 아릴기로부터 선택되고; R3는 분지형의 C3 내지 C10 알킬기, C3 내지 C12 알케닐기, C3 내지 C12 알키닐기, C4 내지 C10 사이클릭 알킬기, 및 C6 내지 C10 아릴기로부터 선택되며; m은 1 또는 2이고; n은 0, 1, 또는 2이며; p는 0, 1 또는 2이고; (m+n+p)의 합은 4 미만이며, 여기서 R2 및 R3는 고리를 형성하도록 연결되거나 R2 및 R3는 고리를 형성하도록 연결되지 않는다.
또 다른 측면에서, 원자층 증착 공정 또는 사이클릭 화학적 기상 증착 공정을 통하여 실리콘 옥사이드 필름을 형성하는 방법이 제공되며, 상기 방법이 다음 단계들을 포함한다:
a. 기판을 반응기에 제공하는 단계;
b. 반응기에 하기 화학식 I로 표시되는 하나 이상의 할로겐화된 유기아미노실란 전구체로부터 선택된 하나 이상의 실리콘 전구체를 도입하는 단계:
XmR1 nHpSi(NR2R3)4-m-n-p I
상기 식에서, X는 Cl, Br 및 I로 이루어진 군으로부터 선택된 할라이드이고; R1은 선형 또는 분지형의 C1 내지 C10 알킬기, C2 내지 C12의 알케닐기, C2 내지 C12 알키닐기, C4 내지 C10 사이클릭 알킬, 및 C6 내지 C10 아릴기로부터 독립적으로 선택되며; R2는 선형 또는 분지형 C1 내지 C10 알킬기, C3 내지 C12 알케닐기, C3 내지 C12 알키닐기, C4 내지 C10 사이클릭 알킬기, 및 C6 내지 C10 아릴기로부터 선택되고; R3는 분지형의 C3 내지 C10 알킬, C3 내지 C12 알케닐기, C3 내지 C12 알키닐기, C4 내지 C10 사이클릭 알킬기, 및 C6 내지 C10 아릴기로부터 선택되며; m은 1 또는 2이고; n은 0, 1, 또는 2이며; p는 0, 1 또는 2이고; (m+n+p)의 합은 4 미만이며, 여기서 R2 및 R3는 고리를 형성하도록 연결되거나 R2 및 R3는 고리를 형성하도록 연결되지 않으며;
c. 반응기를 퍼지 기체로 퍼징(purging)시키는 단계;
d. 산소 공급원을 반응기 내에 도입하는 단계;
e. 반응기를 퍼지 기체로 퍼징시키는 단계; 및
원하는 두께의 필름을 얻을 때까지 단계 b 내지 e를 반복하는 단계.
추가 측면에서, 다음 단계를 포함하는 CVD 공정을 이용하여 기판의 하나 이상의 표면상에 실리콘 옥사이드 필름을 형성하는 방법이 제공된다:
a. 기판을 반응기에 제공하는 단계;
b. 반응기에 하기 화학식 I로 표시되는 하나 이상의 할로겐화된 유기아미노실란 전구체를 도입하는 단계:
XmR1 nHpSi(NR2R3)4-m-n-p I
상기 식에서, X는 Cl, Br 및 I로 이루어진 군으로부터 선택된 할라이드이고; R1은 선형 또는 분지형의 C1 내지 C10 알킬기, C2 내지 C12의 알케닐기, C2 내지 C12 알키닐기, C4 내지 C10 사이클릭 알킬, 및 C6 내지 C10 아릴기로부터 독립적으로 선택되며; R2는 선형 또는 분지형 C1 내지 C10 알킬, C3 내지 C12 알케닐기, C3 내지 C12 알키닐기, C4 내지 C10 사이클릭 알킬기, 및 C6 내지 C10 아릴기로부터 선택되고; R3는 분지형의 C3 내지 C10 알킬기, C3 내지 C12 알케닐기, C3 내지 C12 알키닐기, C4 내지 C10 사이클릭 알킬기, 및 C6 내지 C10 아릴기로부터 선택되며; m은 1 또는 2이고; n은 0, 1, 또는 2이며; p는 0, 1 또는 2이고; (m+n+p)의 합은 4 미만이며, 여기서 R2 및 R3는 고리를 형성하도록 연결되거나 R2 및 R3는 고리를 형성하도록 연결되지 않으며;
c. 산소 공급원을 제공하여 실리콘 옥사이드 필름을 하나 이상의 표면상에 증착시키는 단계.
또 다른 측면에서, 원자층 증착 공정 또는 사이클릭 화학적 기상 증착 공정을 통하여 실리콘 니트라이드 필름을 형성하는 방법이 제공되며, 상기 방법이 다음 단계들을 포함한다:
a. 기판을 반응기에 제공하는 단계;
b. 반응기에 하기 화학식 I로 표시되는 하나 이상의 실리콘 전구체를 도입하는 단계:
XmR1 nHpSi(NR2R3)4-m-n-p I
상기 식에서, X는 Cl, Br 및 I로 이루어진 군으로부터 선택된 할라이드이고; R1은 선형 또는 분지형의 C1 내지 C10 알킬기, C2 내지 C12의 알케닐기, C2 내지 C12 알키닐기, C4 내지 C10 사이클릭 알킬, 및 C6 내지 C10 아릴기로부터 독립적으로 선택되며; R2는 선형 또는 분지형 C1 내지 C10 알킬기, C3 내지 C12 알케닐기, C3 내지 C12 알키닐기, C4 내지 C10 사이클릭 알킬기, 및 C6 내지 C10 아릴기로부터 선택되고; R3는 분지형의 C3 내지 C10 알킬, C3 내지 C12 알케닐기, C3 내지 C12 알키닐기, C4 내지 C10 사이클릭 알킬기, 및 C6 내지 C10 아릴기로부터 선택되며; m은 1 또는 2이고; n은 0, 1, 또는 2이며; p는 0, 1 또는 2이고; (m+n+p)의 합은 4 미만이며, 여기서 R2 및 R3는 고리를 형성하도록 연결되거나 R2 및 R3는 고리를 형성하도록 연결되지 않으며;
c. 반응기를 퍼지 기체로 퍼징(purging)시키는 단계;
d. 질소-함유 공급원을 반응기 내에 도입하는 단계;
e. 반응기를 퍼지 기체로 퍼징시키는 단계; 및
원하는 두께의 실리콘 니트라이드 필름을 얻을 때까지 단계 b 내지 e를 반복하는 단계.
추가 측면에서, 다음 단계를 포함하는 CVD 공정을 이용하여 기판의 하나 이상의 표면상에 실리콘 니트라이드 필름을 형성하는 방법이 제공된다:
a. 기판을 반응기에 제공하는 단계;
b. 반응기에 하기 화학식 I로 표시되는 하나 이상의 유기아미노실란 전구체를 도입하는 단계:
XmR1 nHpSi(NR2R3)4-m-n-p I
상기 식에서, X는 Cl, Br 및 I로 이루어진 군으로부터 선택된 할라이드이고; R1은 선형 또는 분지형의 C1 내지 C10 알킬기, C2 내지 C12의 알케닐기, C2 내지 C12 알키닐기, C4 내지 C10 사이클릭 알킬, 및 C6 내지 C10 아릴기로부터 독립적으로 선택되며; R2는 선형 또는 분지형 C1 내지 C10 알킬, C3 내지 C12 알케닐기, C3 내지 C12 알키닐기, C4 내지 C10 사이클릭 알킬기, 및 C6 내지 C10 아릴기로부터 선택되고; R3는 분지형의 C3 내지 C10 알킬기, C3 내지 C12 알케닐기, C3 내지 C12 알키닐기, C4 내지 C10 사이클릭 알킬기, 및 C6 내지 C10 아릴기로부터 선택되며; m은 1 또는 2이고; n은 0, 1, 또는 2이며; p는 0, 1 또는 2이고; (m+n+p)의 합은 4 미만이며, 여기서 R2 및 R3는 고리를 형성하도록 연결되거나 R2 및 R3는 고리를 형성하도록 연결되지 않으며;
c. 질소-함유 공급원을 제공하는 단계, 여기서 하나 이상의 유기아미노실란 전구체 및 질소-함유 공급원이 반응하여 실리콘 및 질소를 모두 포함하는 필름을 하나 이상의 표면상에 증착시킨다.
또 다른 측면에서, 화학식 I를 갖는 하나 이상의 할로겐화된 유기아미노실란 전구체를 포함하는 유전체 필름을 증착시키기 위한 용기(vessel)가 본원에 기재된다. 하나의 구체적인 구현예에서, 용기는 하나 이상의 전구체의 CVD 또는 ALD 공정을 위한 반응기로의 전달을 가능하게 하는 적절한 밸브 및 피팅(fittings)과 맞춰진 (바람직하게 스테인리스 강의) 하나 이상의 가압가능한 용기를 포함한다.
또 다른 측면에서, 하기 화학식 I로 표시되는 화합물 및 에테르, 3차 아민, 니트릴, 알킬 탄화수소, 방향족 탄화수소, 3차 아미노 에테르, 또는 이들의 혼합물로 이루어진 군으로부터 선택된 용매를 포함하는 유전체 필름의 증착을 위한 조성물이 제공된다:
XmR1 nHpSi(NR2R3)4-m-n-p I
상기 식에서, X는 Cl, Br 및 I로 이루어진 군으로부터 선택되는 할라이드이고; R1은 선형 또는 분지형의 C1 내지 C10 알킬기, C2 내지 C12의 알케닐기, C2 내지 C12 알키닐기, C4 내지 C10 사이클릭 알킬, 및 C6 내지 C10 아릴기로부터 선택되며; R2는 선형 또는 분지형의 C1 내지 C10 알킬, C3 내지 C12 알케닐기, C3 내지 C12 알키닐기, C4 내지 C10 사이클릭 알킬기, 및 C6 내지 C10 아릴기로부터 선택되고; R3는 분지형의 C3 내지 C10 알킬기, C3 내지 C12 알케닐기, C3 내지 C12 알키닐기, C4 내지 C10 사이클릭 알킬기, 및 C6 내지 C10 아릴기로부터 선택되며; m은 1 또는 2이고; n은 0, 1 또는 2이며; p는 0, 1 또는 2이고; (m+n+p)의 합은 4 미만이며, 여기서 R2 및 R3는 고리를 형성하도록 연결되거나 R2 및 R3는 고리를 형성하도록 연결되지 않는다.
할로겐화된 유기아미노실란은 전구체로서 사용되어 화학량적인 그리고 비화학량적인 실리콘 함유 필름 예컨대, 그러나 이에 제한되지 않는, 실리콘, 비정질 실리콘, 결정질 실리콘, 미정질 실리콘, 다결정질, 실리콘 옥사이드, 탄소 도핑된 실리콘 옥사이드, 실리콘 니트라이드, 실리콘 옥시니트라이드 및 실리콘 옥시카보니트라이드를 형성한다. 이들 전구체는 또한, 예를 들어, 금속 함유 필름을 위한 도펀트로서 사용될 수 있다. 할로겐화된 유기아미노실란 전구체는 반도체 장치를 위한 CVD 또는 ALD 공정을 통하여 실리콘 함유 필름을 증착시키기 위해서 기화되고 기체로서 증착 챔버 또는 반응기로 전달되는, 전형적으로 고순도의 휘발성 액상 전구체 화합물질이다. 다른 구현예에서, 할로겐화된 유기아미노실란은 액상 증착 또는 필름 형성 방법, 예컨대, 그러나 이에 제한되지 않는, 스핀-온, 딥 코트, 에어로졸, 잉크 젯, 스크린 프린팅 또는 스프레이 어플리케이션에서 사용될 수 있다. 증착을 위한 전구체 물질의 선택은 원하는 결과 유전체 물질 또는 필름에 따라 달라진다. 예를 들어, 전구체 물질은 이의 화학 원소의 함량, 이의 화학 원소의 화학량적 비, 및/또는 CVD 하에서 형성되는 결과 유전체 필름 또는 코팅을 위해서 선택될 수 있다. 전구체 물질은 또한 다양한 다른 특성들, 예컨대, 비용, 비독성, 취급 특성들, 상온에서 액상으로 유지하는 능력, 휘발성, 분자량, 및/또는 다른 고려사항을 위해서 선택될 수 있다. 어떤 구현예에서, 본원에 기재된 전구체는, 액상 전구체의 증착 챔버 또는 반응기로의 전달을 가능하게 하는, 임의의 개수의 수단에 의해서, 바람직하게 적절한 밸브 및 피팅과 맞춰진 가압가능한 스테인리스 강 용기을 사용하여, 반응기 시스템으로 전달될 수 있다.
본원에 기재된 할로겐화된 유기아미노실란 전구체가 비할로겐화된 유기아미노실란에 비하여, 유기아민 및 HCl을 방출하고, 그 다음에 결합하여 아민-수소 클로라이드 염을 형성하는 인 시튜(in situ) 촉매 반응을 통하여 Si-N-Si 연결, Si-Si 결합, Si-O-Si 연결을 형성하기 위해서 화학적 기상 증착, 특히 사이클릭 CVD 증착, 또는 원자층 증착 동안에 기판 표면을 향하여 더 양호한 반응성을 제공할 수 있는 것으로 여겨진다. 종래의 실리콘 전구체, 예컨대 실리콘 테트라클로라이드 또는 유기아미노실란에 비하여 할로겐화된 유기아미노실란 전구체의 하나의 특별한 이점은 할라이드 및 유기아미노기 모두가 기판 표면상에서 Si-OH 또는 SiNH2와 반응하여 ALD 또는 CCVD 공정 동안에 전구체를 고정시킬 수 있으며, 이에 따라 실리콘-함유 필름의 증착을 용이하게 하는 것으로 여겨진다. 앞서 말한 이점들 뿐만 아니라, 사이클릭 CVD, ALC, 또는 PEALD 증착 공정을 이용한 실리콘 옥사이드 또는 실리콘 니트라이드 필름을 증착시키기 위한 것과 같은 어떤 구현예에서, 본원에 기재된 할로겐화된 유기아미노실란 전구체는 상대적으로 낮은 증착 온도에서, 예를 들어, 500 ℃ 이하에서, 400 ℃ 이하에서, 또는 300 ℃ 이하에서 고밀도 물질을 증착시킬 수 있다. 다른 구현예에서, 본원에 기재된 전구체는, 예를 들어, 범위가 약 500 ℃ 내지 약 800 ℃인 온도에서의 더 높은 온도 증착에서 사용될 수 있다.
한 측면에서, 하기 화학식 I로 표시되는 어떤 전구체 또는 할로겐화된 유기아미노실란이 제공된다:
XmR1 nHpSi(NR2R3)4-m-n-p I
상기 식에서, X는 Cl, Br 및 I로 이루어진 군으로부터 선택되는 할라이드이고; R1은 선형 또는 분지형의 C1 내지 C10 알킬기, C2 내지 C12의 알케닐기, C2 내지 C12 알키닐기, C4 내지 C10 사이클릭 알킬, 및 C6 내지 C10 아릴기로부터 독립적으로 선택되며; R2는 선형 또는 분지형의 C1 내지 C10 알킬, C3 내지 C12 알케닐기, C3 내지 C12 알키닐기, C4 내지 C10 사이클릭 알킬기, 및 C6 내지 C10 아릴기로부터 선택되고; R3는 분지형의 C3 내지 C10 알킬기, C3 내지 C12 알케닐기, C3 내지 C12 알키닐기, C4 내지 C10 사이클릭 알킬기, 및 C6 내지 C10 아릴기로부터 선택되며; m은 1 또는 2이고; n은 0, 1 또는 2이며; p는 0, 1 또는 2이고; (m+n+p)의 합은 4 미만이며, 여기서 R2 및 R3는 고리를 형성하도록 연결되거나 R2 및 R3는 고리를 형성하도록 연결되지 않는다. 화학식 I의 할로겐화된 유기아미노실란의 어떤 구현예에서, R2 및 R3는 고리를 형성하도록 서로 연결될 수 있다. 화학식 I의 할로겐화된 유기아미노실란의 대안적인 구현예에서, R2 및 R3는 고리를 형성하도록 서로 연결되지 않는다.
화학식 I-III에서 그리고 명세서 전체에서, 용어 “알킬”은 1 내지 10 또는 1 내지 4 개의 탄소 원자를 갖는 선형, 또는 분지형 작용기를 나타낸다. 예시적인 알킬기는 메틸, 에틸, n-프로필, 이소프로필, n-부틸, 이소부틸, 2차-부틸, 3차-부틸, n-펜틸, 이소-펜틸, 3차-펜틸, 헥실, 이소헥실, 및 네오헥실을 포함하지만, 이에 제한되지 않는다. 어떤 구현예에서, 알킬기는 이에 결합된, 알콕시기, 디알킬아미노기 또는 이들의 조합과 같은, 그러나 이에 제한되지 않는, 하나 이상의 작용기를 가질 수 있다. 다른 구현예에서, 알킬기는 이에 결합된 하나 이상의 작용기를 가지지 않는다.
화학식 I-III에서 그리고 명세서 전체에서, 용어 “사이클릭 알킬”은 3 내지 12 또는 4 내지 10개의 탄소 원자를 갖는 사이클릭 작용기를 나타낸다. 예시적인 사이클릭 알킬기는 사이클로부틸, 사이클로펜틸, 사이클로헥실, 및 사이클로옥틸 기를 포함하지만, 이에 제한되지 않는다.
화학식 I-III에서 그리고 명세서 전체에서, 용어 “아릴”은 6 내지 12 개의 탄소 원자를 갖는 방향족 사이클릭 작용기를 나타낸다. 예시적인 아릴기는 페닐, 벤질, 클로로벤질, 톨릴, 및 오쏘-크실릴을 포함하지만, 이에 제한되지 않는다.
화학식 I-III에서 그리고 명세서 전체에서, 용어 “알케닐기”는 하나 이상의 탄소-탄소 이중 결합을 가지고 2 내지 12 또는 2 내지 6개의 탄소 원자를 가지는 기를 나타낸다. 예시적인 알케닐기는 비닐 또는 알릴 기를 포함하지만, 이에 제한되지 않는다.
화학식 I-III에서 그리고 명세서 전체에서, 용어 “알키닐기”는 하나 이상의 탄소-탄소 삼중 결합을 가지고 2 내지 12 또는 2 내지 6개의 탄소 원자를 가지는 기를 나타낸다.
화학식 I-III에서 그리고 명세서 전체에서, 용어 “알콕시”는 산소 원자에 연결되고 (예컨대, R-O) 1 내지 12, 또는 1 내지 6개의 탄소 원자를 가질 수 있는 알킬기를 나타낸다. 예시적인 알콕시기는 메톡시(-OCH3), 에톡시(-OCH2CH3), n-프로폭시(-OCH2CH2CH3), 및 이소-프로폭시(-OCHMe2)를 포함하지만, 이제 제한되지 않는다.
어떤 구현예에서, 화학식 I-III에서의 하나 이상의 알킬기, 알케닐기, 알키닐기, 알콕시기, 및/또는 아릴기는 치환될 수 있거나, 예를 들어, 수소 원자의 자리에 치환된 하나 이상의 원자 또는 원자들의 기를 가질 수 있다. 예시적인 치환체는 산소, 황, 할로겐 원자 (예컨대, F, Cl, I, 또는 Br), 질소, 및 인을 포함하지만, 이에 제한되지 않는다. 다른 구현예에서, 화학식 I에서의 하나 이상의 알킬기, 알케닐기, 알키닐기, 알콕시기, 및/또는 아릴은 비치환될 수 있다.
어떤 구현예에서, 치환체 R2 및 R3은 고리 구조를 형성하도록 화학식 I에서 연결된다. 다른 구현예에서, 치환체 R2 및 R3은 화학식 I에서 연결되지 않는다.
다음의 표 1은 화학식 I를 갖는 유기아미노실란의 특정한 구현예의 일부 비제한적인 예를 제공한다.
표 1: 화학식 I을 갖는 예시적인 유기아미노실란
Figure pat00001
Figure pat00002
Figure pat00003
Figure pat00004
어떤 구체예에서, 화학식 I의 할로겐화된 유기아미노실란은 X로서 Cl을 포함하며, ClH2Si(NR2R3) (여기서 m=1, n=0, p=2, R2는 선형 또는 분지형 C3 내지 C10 알킬기 및 C6 내지 C10 아릴기로부터 선택되며 R3는 분지형의 C3 내지 C10 알킬기 또는 C6 내지 C10 아릴기이고 여기서 R2 및 R3는 사이클릭 또는 알킬 치환된 사이클릭 고리를 형성할 수 있다); 및 R1ClHSi(NR3R4) (여기서 m=1, n=1, p=1, R1은 C1 내지 C10 알킬기이고 R2 및 R3는 선형 또는 분지형 C3 내지 C10 알킬기 또는 C4 내지 C10 아릴기이고, 여기서 R2 및 R3는 사이클릭 또는 알킬 치환된 사이클릭 고리를 형성할 수 있다)를 포함하는 군으로부터 선택된다.
어떤 구체예에서, 화학식 I을 갖는 할로겐화된 유기아미노실란은, 부산물인 염산을 흡수하기 위한 1 또는 2 몰 당량 3차 아민 예컨대 트리에틸아민 또는 트리부틸아민(바람직하게는 아래 실시예 1 및 2에서 보여주는 바와 같은 3차 아민이 사용됨)을 사용하여, 유기 용매 또는 용매 혼합물 중 1 몰 당량 디클로로실란 (DCS)과 1 몰 당량 2차 아민 또는 트리클로로실란 (TCS)과 하기 화학식 II를 갖는 1 또는 2 몰 당량 2차 아민을 반응시켜 제조될 수 있다. 어떤 구체예에서, 3차 아민은 동일 당량의 2차 아민으로 대체된다. 이 구체예에서 사용될 수 있는 선택된 2차 아민은 하기 화학식 II를 가진다:
Figure pat00005
R2는 선형 또는 분지형의 C1 내지 C10 알킬, C3 내지 C12 알케닐기, C3 내지 C12 알키닐기, C4 내지 C10 사이클릭 알킬기, 및 C6 내지 C10 아릴기로부터 선택되며; R3는 분지형의 C3 내지 C10 알킬기, C3 내지 C12 알케닐기, C3 내지 C12 알키닐기, C4 내지 C10 사이클릭 알킬기, 및 C6 내지 C10 아릴기로부터 선택되고, 여기서 R2 및 R3는 연결되어 고리를 형성하거나 R2 및 R3는 고리를 형성하도록 연결되지 않는다. R2 및/또는 R3가 분지형 알킬, 사이클릭 알킬, 또는 방향족 기인 구체예에서, 이들 아민으로부터 합성된 할로겐화된 유기아미노실란의 안정성은 현저히 개선될 수 있는데, 이는 이러한 R2 및/또는 R3의 입체적인 큰 부피로 인해 아미노기 및 할로겐 원자의 분자간 치환 교환 반응이 방해받기 때문이다. 화학식 II를 갖는 예시적인 2차 아민은, 이들로 제한되는 것은 아니지만, 디-이소-프로필아민, 디-이소-부틸아민, 디-sec-부틸아민, 이소-프로필메틸아민, 이소-프로필에틸아민, 사이클로헥실메틸아민, 사이클로프로필에틸아민, 디사이클로프로필아민, 사이클로헥실이소프로필아민, N-메틸아닐린(페닐메틸아민), N-에틸아닐린 (페닐에틸아민), N-이소-프로필아닐린, n-부틸아닐린, N-알릴아닐린, N-에틸-m-톨루이딘, N-메틸-o-톨루이딘, N-메틸-p-톨루이딘, 4-플루오로-N-메틸아닐린, 4-클로로-N-메틸아닐린, N-사이클로헥실아닐린, 3-아닐리노프로피오니트릴, 또는N-페닐글리시노니트릴을 포함한다.
R2 및 R3가 연결되어 고리를 형성하는, 화학식 II를 갖는 예시적인 아민은, 이들로 제한되는 것은 아니지만, 2,6-디메틸피페리딘, 2-메틸피페리딘, 2-메틸피롤리딘, 2,5-디메틸피롤리딘, 2,2,6,6,-테트라메틸피페리딘, 3-메틸인돌, 2-메틸인돌, 인돌, 퍼하이드로퀴놀린, 8-메틸-1,2,3,4-테트라하이드로퀴놀린, 3-인돌아세토니트릴, 2-메틸인돌린, 2,3-디하이드로인돌, 5-메틸인돌린, 1,2,3,4-테트라하이드로퀴놀린, 1,2,3,4-테트라하이드로-2-메틸퀴놀린, 1,2,3,4-테트라하이드로-6-메틸퀴놀린, 3,4-디하이드로-2H-1,4-벤족사진, 카바졸, 2,6-디메틸몰포린 및 3,5-디메틸몰포린을 포함한다.
하기 반응식 1, 2 및 3은, 여기에 기재된 바와 같은 화학식 I을 갖는 할로겐화된 유기아미노실란을 제조하는데 사용될 수 있는 반응 도식 또는 합성 경로의 예들을 제공한다. 반응식 1, 2, 및 3에서 반응은 유기 용매와 함께 (예를 들어, 존재하에) 수행될 수 있다. 유기 용매가 사용되는 구체예에서, 적합한 유기 용매의 예들은, 이들로 제한되는 것은 아니지만, 탄화수소 예컨대 헥산, 옥탄, 톨루엔, 및 에테르 예컨대 디에틸에테르, 및 테트라하이드로푸란 (THF)을 포함한다. 이들 또는 다른 구체예에서, 반응 온도는 약 -70℃부터 만약 용매가 포함되는 경우 사용된 용매의 끓는점까지의 범위이다. 얻어진 유기아미노실란은 진공 증류를 통해 정제될 수 있으며, 이후 용매뿐 아니라 모든 부산물이 제거된다. 반응식 1-3은 디클로로실란 또는 트리클로로실란의 반응을 사용하는 구체예이다. 부분적인 아민화 반응을 사용하는 합성 경로의 예들이 실시예 1 및 3과 같이 여기에 제공되는 반면, 금속 알킬과 함께 화학식 II를 갖는 아민의 반응을 통해 제조될 수 있는 금속 아미드를 사용하는 반응식 2는 실시예 2에 보여진다.
반응식 1
Figure pat00006
반응식 2
Figure pat00007
반응식 3
Figure pat00008
실리콘-함유 유전체 막 또는 코팅을 형성하는데 사용되는 방법은 증착 공정이다. 여기에 기재된 적합한 증착 공정의 예들은, 이들로 제한되는 것은 아니지만, 순환 CVD(CCVD), MOCVD(금속 유기 CVD), 열적 화학적 기상 증착, 플라즈마 강화 화학적 기상 증착("PECVD"), 고밀도 PECVD, 광자 유발 CVD, 플라즈마-광자 유발 CVD("PPECVD"), 극저온 화학적 기상 증착, 화학적 유발 기상 증착, 핫-필라멘트 화학적 기상 증착, 액체 고분자 전구체의 CVD, 초임계 유체로부터의 증착, 및 저 에너지 CVD(LECVD)를 포함한다. 어떤 구체예에서, 금속 함유 막은 원자층 증착(ALD), 플라즈마 강화 ALD(PEALD) 또는 플라즈마 강화 순환 CVD(PECCVD) 공정을 통해 증착된다. 여기에 사용된, 용어 "화학적 기상 증착 공정"은 하나 이상의 휘발성 전구체에 기판을 노출시키고, 기판 표면상에서 반응 및/또는 분해되어 원하는 증착을 생성하는 임의의 공정을 나타낸다. 여기에 사용된, 용어 "원자층 증착 공정"은, 다양한 조성물의 기판상에 막 재료를 증착하는 자기-제어(예를 들어, 각 반응 사이클에서 증착되는 막 재료의 양은 일정하다), 순차적 표면 화학을 나타낸다. 여기에 사용된 전구체, 시약 및 공급원은 종종 "기체상"으로 기재될 수 있지만, 전구체는 직접 기화, 버블링 또는 승화를 통해 반응기 내로 비활성 기체와 함께 또는 비활성 기체 없이 운반되는 액체 또는 고체가 될 수 있음이 이해된다. 몇몇 예에서, 기화된 전구체는 플라즈마 생성기를 통과할 수 있다. 한 구체예에서, 유전체 막은 ALD 공정을 사용하여 증착된다. 다른 구체예에서, 유전체 막은 CCVD 공정을 사용하여 증착된다. 추가적인 구체예에서, 유전체 막은 열적 CVD 공정을 사용하여 증착된다. 여기서 사용된 용어 "반응기"는 반응 챔버 또는 증착 챔버를 포함하지만, 이들로 제한되는 것은 아니다.
어떤 구체예에서, 여기에 기재된 방법은, 반응기로 도입되기 이전 및/또는 동안 전구체를 분리하는 ALD 또는 CCVD 공정을 사용함에 의해 전구체의 예비-반응을 피한다. 이와 관련하여, 증착 기술 예컨대 ALD 또는 CCVD 공정이 유전체 막을 증착하는데 사용된다. 한 구체예에서, 막은 하나 이상의 실리콘-함유 전구체, 산소 공급원, 질소-함유 공급원, 또는 다른 전구체 또는 시약에 택일적으로 기판 표면을 노출시켜 ALD 공정을 통해 증착된다. 막 성장은 표면 반응의 자기-제어 컨트롤, 각 전구체 또는 시약의 펄스 길이, 및 증착 온도에 의해 진행된다. 그러나, 일단 기판의 표면이 포화되면, 막의 성장은 정지된다.
어떤 구체예에서, 본 발명의 방법은 상술한 화학식 I을 갖는 할로겐화된 유기아미노실란 전구체 외에 하나 이상의 추가적인 실리콘-함유 전구체 또는 비-할로겐화된 유기아미노실란 전구체를 추가로 포함한다. 추가적인 실리콘-함유 전구체의 예들은, 이들로 제한되는 것은 아니지만, 유기-실리콘 화합물 예컨대 실록산(예를 들어, 헥사메틸 디실록산(HMDSO) 및 디메틸 실록산(DMSO)); 유기실란(예를 들어, 메틸실란; 디메틸실란; 비닐 트리메틸실란; 트리메틸실란; 테트라메틸실란; 에틸실란; 디실릴메탄; 2,4-디실라펜탄; 1,4-디실라부탄; 2,5-디실라헥산; 2,2-디실릴프로판; 1,3,5-트리실라사이클로헥산, 및 이들 화합물의 플로오르화 유도체; 페닐-함유 유기-실리콘 화합물(예를 들어, 디메틸페닐실란 및 디페닐메틸실란); 산소-함유 유기-실리콘 화합물, 예를 들어, 디메틸디메톡시실란; 1,3,5,7-테트라메틸사이클로테트라실록산; 1,1,3,3-테트라메틸디실록산; 1,3,5,7-테트라실라-4-옥소-헵탄; 2,4,6,8-테트라실라-3,7-디옥소-노난; 2,2-디메틸-2,4,6,8-테트라실라-3,7-디옥소-노난; 옥타메틸사이클로테트라실록산; [1,3,5,7,9]-펜타메틸사이클로펜타실록산; 1,3,5,7-테트라실라-2,6-디옥소-사이클로옥탄; 헥사메틸사이클로트리실록산; 1,3-디메틸디실록산; 1,3,5,7,9-펜타메틸사이클로펜타실록산; 헥사메톡시디실록산, 및 이들 화합물의 플로오르화 유도체를 포함한다.
본원에 기재된 방법의 특정 일 구체예에서, 비-할로겐화된, 실리콘-함유 전구체는 하기 화학식 III을 갖는 화합물이다:
(R2R3N)SiH3 III
상기 식에서, R2는 선형 또는 분지형의 C1 내지 C10 알킬, C3 내지 C12 알케닐기, C3 내지 C12 알키닐기, C4 내지 C10 사이클릭 알킬기, 및 C6 내지 C10 아릴기로부터 선택되며; R3는 분지형의 C3 내지 C10 알킬기, C3 내지 C12 알케닐기, C3 내지 C12 알키닐기, C4 내지 C10 사이클릭 알킬기, 및 C6 내지 C10 아릴기로부터 선택되고, 여기서 R2 및 R3는 고리를 형성하기 위해 연결되거나 R2 및 R3는 고리를 형성하기 위해 연결되지 않는다. 화학식 III의 특정 구체예에서, R2 및 R3는 사이클릭기 또는 고리를 형성하기 위해 결합될 수 있다. 화학식 III의 다른 구체예에서, R2 및 R3는 사이클릭기 또는 고리를 형성하기 위해 결합되지 않는다. 비-할로겐화된 실리콘-함유 전구체의 예들에는 디-이소-프로필아미노실란, 디-sec-부틸아미노실란, 페닐메틸아미노실란, 및 2,6-디메틸피페리디노실란이 포함되지만, 이에 제한되는 것은 아니다.
증착 방법에 따라, 특정 구체예들에서, 하나 이상의, 할로겐화되거나 비-할로겐화된, 실리콘-함유 전구체들이 미리 결정된 몰부피(molar volume)에서, 또는 약 0.1 내지 약 1000 마이크로몰에서, 반응기 내에 도입될 수 있다. 본 구체예 또는 다른 구체예들에서, 할로겐화되거나 비-할로겐화된 유기아미노실란 전구체는 미리 결정된 기간 동안 반응기 내로 도입될 수 있다. 특정 구체예들에서, 기간의 범위는 약 0.001 내지 약 500 초이다.
특정 구체예들에서, 본원에 기재된 방법을 사용하여 증착된 유전체 필름은 산소 공급원, 산소를 포함하는 시약 또는 전구체를 사용하여 산소의 존재 하에 형성된다. 산소 공급원은 하나 이상의 산소 공급원의 형태로 반응기 내에 도입될 수 있고/있거나 증착 방법에 사용되는 다른 전구체들에 부수적으로 존재할 수 있다. 적절한 산소 공급원 가스에는, 예를 들면, 물 (H2O) (예컨대, 탈이온수, 정화수, 및/또는 증류수), 산소 (O2), 산소 플라즈마, 오존 (O3), NO, NO2, 일산화탄소 (CO), 이산화탄소 (CO2) 및 이들의 조합물이 포함될 수 있다. 특정 구체예들에서, 산소 공급원은, 약 1 내지 약 2000 스퀘어 큐빅 센티미터(sccm) 또는 약 1 내지 약 1000 sccm 범위의 유량으로 반응기 내에 도입되는 산소 공급원 가스를 포함한다. 산소 공급원은 약 0.1 내지 약 100 초 범위의 시간 동안 도입될 수 있다. 일 특정 구체예에서, 산소 공급원은 10℃ 또는 그 초과의 온도를 갖는 물을 포함한다. 필름이 ALD 또는 사이클릭 CVD 방법에 의해 증착되는 구체예에서, 전구체 펄스는 0.01 초 초과의 펄스 지속시간(pulse duration)을 가질 수 있고, 산소 공급원은 0.01 초 미만의 펄스 지속시간을 가질 수 있으며, 이 경우 물 펄스 지속시간은 0.01 초 미만의 펄스 지속시간을 가질 수 있다. 또 다른 구체예에서, 펄스들 사이의 퍼지 지속시간(purge duration)은 0초 만큼 낮을 수 있거나 중간에 퍼지 없이 연속적으로 펄싱된다(pulsed). 산소 공급원 또는 시약은 실리콘 전구체에 1:1 미만의 비율의 분자량(molecular amount)으로 제공되어, 적어도 일부의 탄소가 증착된 유전체 필름에 유지된다.
특정 구체예들에서, 유전체 필름은 실리콘 및 질소를 포함한다. 이들 구체예들에서, 본원에 기재된 방법을 사용하여 증착된 유전체 필름은 질소-함유 공급원의 존재 하에 형성된다. 질소-함유 공급원은 하나 이상의 질소 공급원의 형태로 반응기 내에 도입될 수 있고/있거나 증착 방법에 사용되는 다른 전구체들에 부가적으로 존재할 수 있다. 적절한 질소-함유 공급원 가스에는, 예컨대, 암모니아, 하이드라진, 모노알킬하이드라진, 디알킬하이드라진, 질소, 질소/수소, 암모니아 플라즈마, 질소 플라즈마, 질소/수소 플라즈마, 및 이들의 혼합물이 포함될 수 있다. 특정 구체예들에서, 질소-함유 공급원은 약 1 내지 약 2000 스퀘어 큐빅 센티미터(sccm) 또는 약 1 내지 약 1000 sccm 범위의 유량으로 반응기 내에 도입되는 암모니아 플라즈마 또는 수소/질소 플라즈마 공급원 가스를 포함한다. 질소-함유 공급원은 약 0.1 내지 약 100 초 범위의 시간 동안 도입될 수 있다. 필름이 ALD 또는 사이클릭 CVD 방법에 의해 증착되는 구체예에서, 전구체 펄스는 0.01 초 초과의 펄스 지속시간을 가질 수 있고, 질소-함유 공급원은 0.01 초 미만의 펄스 지속시간을 가질 수 있으며, 이 경우 물 펄스 지속시간은 0.01 초 미만의 펄스 지속시간을 가질 수 있다. 또 다른 구체예에서, 펄스들 사이의 퍼지 지속시간은 0 초 만큼 낮을 수 있거나 중간에 퍼지 없이 연속적으로 펄싱된다.
본원에 개시된 증착 방법은 하나 이상의 퍼지 가스를 포함할 수 있다. 소모되지 않은 반응물 및/또는 반응 부산물을 퍼징시켜내는데 사용되는 퍼지 가스는, 전구체와 반응하지 않는 비활성 기체이다. 예시적인 퍼지 가스에는, 아르곤 (Ar), 질소 (N2), 헬륨 (He), 네온, 수소 (H2), 및 이들의 혼한물이 포함되지만, 이에 제한되는 것은 아니다. 특정 구체예들에서, 퍼지 가스, 예컨대 Ar은 약 0.1 내지 1000초 동안 약 10 내지 약 2000 sccm 범위의 유량으로 반응기 내에 공급되어, 반응기 내에 남아있을 수 있는 반응하지 않은 물질 및 임의의 부산물을 퍼징시킨다.
전구체, 산소 공급원, 질소-함유 공급원, 및/또는 다른 전구체들, 공급원 가스, 및/또는 시약을 공급하는 각각의 단계는 이들을 공급하는 시간을 변화시켜 결과적으로 생성되는 유전체 필름의 화학량론적 조성을 변화시킴으로써 수행될 수 있다.
반응을 유도하고 유전체 필름 또는 코팅을 기판상에 형성하기 위해, 전구체, 질소-함유 공급원, 환원제, 다른 전구체들 또는 이들의 조합물 중 하나 이상에 에너지가 적용된다. 이러한 에너지는, 열, 플라즈마, 펄스(pulsed) 플라즈마, 헬리콘(helicon) 플라즈마, 고밀도 플라즈마, 유도 결합 플라즈마, X-선, e-빔, 광자, 원격 플라즈마 방법, 및 이들의 조합에 의해 제공될 수 있지만, 이에 제한되는 것은 아니다. 특정 구체예들에서, 보조 RF 주파수 공급원은 기판 표면에서 플라즈마 특징을 변경하기 위해 사용될 수 있다. 증착이 플라즈마를 포함하는 구현예에서, 플라즈마-발생 방법은 플라즈마가 반응기 내에서 직접 발생하는 직접 플라즈마-발생 방법, 또는 택일적으로는 플라즈마가 반응기의 외부에서 발생하여 반응기 내에 공급되는 원격 플라즈마-발생 방법을 포함할 수 있다.
유기아미노실란 전구체 및/또는 다른 실리콘-함유 전구체는 여러 방법으로 반응 챔버, 예컨대 CVD 또는 ALD 반응기에 전달될 수 있다. 일 구체예에서, 액체 전달 시스템이 이용될 수 있다. 택일적인 일 구체예에서, 저휘발성 물질이 용량적으로(volumetrically) 전달 (이는 전구체의 열분해 없이 재생 가능한 이동 및 증착을 유도한다)될 수 있도록, 결합된 액체 전달 및 플래시 증류 방법 유닛, 예컨대, MSP 코포레이션 (MN, 쇼어뷰)에 의해 제조된 터보 증발기(vaporizer)이 사용될 수 있다. 액체 전달 포뮬레이션에서, 본원에 기재된 전구체는 순수한(neat) 액체 형태로 전달될 수 있거나, 택일적으로는 용매 포뮬레이션 또는 이를 포함하는 조성물로 사용될 수 있다. 따라서, 특정 구체예들에서, 전구체 포뮬레이션은 기판상에 필름을 형성하기 위해 정해진 최종 사용 어플리케이션에 바람직하고 이로운 적절한 용매 성분(들)을 포함할 수 있다.
본원에 기재된 화학식 (I)을 갖는 할로겐화된 유기아미노실란 전구체(들)이 용매 및 화학식 (I)을 갖는 할로겐화된 유기아미노실란 전구체를 포함하는 조성물에 사용되는 구체예들의 경우, 선택된 용매 또는 이들의 혼합물은 유기아미노실란과 반응하지 않는다. 조성물 내에서 용매의 양 (중량%)은 0.5중량% 내지 99.5중량% 또는 10중량% 내지 75중량%의 범위이다. 본 구체예 또는 다른 구체예들에서, 용매는 화학식 (I)의 할로겐화된 유기아미노실란의 끓는점과 비슷한 끓는점(b.p.)을 갖거나, 용매의 끓는점과 화학식 (I)의 할로겐화된 유기아미노실란의 끓는점 사이의 차이가 40℃ 또는 그 미만, 30℃ 또는 그 미만, 또는 20℃ 또는 그 미만, 또는 10℃이다. 택일적으로, 끓는점들 간의 차이의 범위에서 종점(end-point)은 하기 종점들(end-points) 중 어느 하나 이상이다: 0, 10, 20, 30, 또는 40℃. 끓는점 차이의 적절한 범위의 예들에는, 0℃ 내지 40℃, 20℃ 내지 30℃, 또는 10℃ 내지 30℃가 포함되지만, 이에 제한되는 것은 아니다. 조성물 내의 적절한 용매의 예들에는 에테르(예컨대 1,4-디옥산, 디부틸 에테르), 3차 아민(예컨대 피리딘, 1-메틸피페리딘, 1-에틸피페리딘, N,N'-디메틸피페라진, N,N,N',N'-테트라메틸에틸렌디아민), 니트릴(예컨대 벤조니트릴), 알킬 탄화수소(예컨대 옥탄, 노난, 도데칸, 에틸사이클로헥산), 방향족 탄화수소(예컨대 톨루엔, 메시틸렌), 3차 아미노에테르(예컨대 비스(2-디메틸아미노에틸) 에테르), 또는 이들의 혼합물이 포함되지만, 이에 제한되는 것은 아니다. 일부 비-제한적인 예시적인 조성물에는, 디-이소-프로필아미노실란(끓는점: 약 116℃) 및 옥탄(끓는점: 125 내지 126℃)을 포함하는 조성물; 디-이소-프로필아미노실란(끓는점: 약 116℃) 및 피리딘(끓는점: 115℃); 디-이소-프로필아미노실란(끓는점: 약 116℃) 및 톨루엔(끓는점: 110℃); N-메틸사이클로헥실아미노실란(끓는점: 약 171℃) 및 데칸(끓는점: 174℃)을 포함하는 조성물; N-메틸사이클로헥실아미노실란(끓는점: 약 171℃) 및 디에틸렌 글리콜 디메틸 에테르(끓는점: 162℃)를 포함하는 조성물; N-이소-프로필사이클로헥실아미노실란(끓는점: 약 199℃) 및 비스(2-디메틸아미노에틸) 에테르(끓는점: 189℃)를 포함하는 조성물; N-이소-프로필사이클로헥실아미노실란(끓는점: 약 199℃) 및 벤조니트릴(끓는점: 191℃)이 포함되지만, 이에 제한되는 것은 아니다.
또 다른 구체예에서, 화학식 (I)을 갖는 하나 이상의 유기아미노실란 전구체를 포함하는 유전체 필름을 증착하기 위한 용기(vessel)가 본원에 기재된다. 일 특정 구체예에서, 용기는 CVD 또는 ALD 공정을 위해 반응기에 하나 이상의 전구체를 전달하도록, 적절한 밸브와 맞는 하나 이상의 가압가능한 용기(바람직하게는, 스테인리스 강으로 된 용기) 및 부품(fittings)을 포함한다. 본 구체예 또는 다른 구체예들에서, 화학식 (I)의 할로겐화된 유기아미노실란 전구체는 스테인리스 강으로 구성된 가압가능한 용기에 제공되며, 전구체의 순도는 대부분의 반도체 어플리케이션에 적합한 98중량% 또는 그 초과, 또는 99.5% 또는 그 초과이다. 특정 구체예들에서, 이러한 용기는 또한 전구체들을 원하는 경우 하나 이상의 추가의 전구체와 혼합하기 위한 수단을 지닐 수 있다. 본 구체예 또는 다른 구체예들에서, 용기(들)의 내용물들은 추가의 전구체와 미리 혼합될 수 있다. 택일적으로, 할로겐화된 유기아미노실란 전구체 및/또는 다른 전구체는 별도의 용기에서 또는 저장하는 동안 유기아미노실란 전구체 및 다른 전구체가 분리되게 유지시키는 분리 수단을 갖는 단일 용기에서 유지될 수 있다. 특정 구체예들에서, 용기 내의 화학식 (I)을 갖는 할로겐화된 유기아미노실란 전구체는 백필(back fill) 가스(들), 예컨대, 이에 제한되는 것은 아니지만, 질소, 헬륨과 같은 비활성 기체, 또는 이들의 조합물을 추가로 포함한다. 택일적인 구체예에서, 용기는 백필 가스를 함유하지 않는다.
전술한 바와 같이, 할로겐화된 유기아미노실란의 순도 레벨은 신뢰할 수 있는 반도체 제조업에 있어 허용가능하도록 충분히 높다. 특정 구체예들에서, 본원에 기재된 할로겐화된 유기아미노실란 전구체는 2중량% 미만, 또는 1중량% 미만, 또는 0.5중량% 미만의 하기 불순물 중 하나 이상을 포함한다: 유리(free) 아민, 유리 할라이드 또는 할로겐 이온, 및 보다 높은 분자량의 종(species). 본원에 기재된 보다 높은 순도 레벨의 유기아미노실란은 하기 공정들 중 하나 이상을 통해 얻을 수 있다: 정제, 흡착, 및/또는 증류.
본원에 기재된 방법의 일 구체예에서, 사이클릭 증착 공정, 예컨대 CCVD, ALD, 또는 PEALD가 사용될 수 있으며, 여기서 화학식 (I)을 갖는 할로겐화된 유기아미노실란 전구체 및 선택적으로 질소-함유 공급원, 예컨대 암모니아, 하이드라진, 모노알킬하이드라진, 디알킬하이드라진, 질소, 질소/수소, 암모니아 플라즈마, 질소 플라즈마, 질소/수소 플라즈마로부터 선택된 하나 이상의 실리콘-함유 전구체가 사용된다.
특정 구체예들에서, 전구체 캐니스터(canisters)로부터 반응 챔버에 연결된 가스 라인은 공정 요건들에 따른 하나 이상의 온도로 가열되며, 화학식 (I)을 갖는 할로겐화된 유기아미노실란 전구체 콘테이너는 버블링(bubbling)을 위해 하나 이상의 온도로 유지된다. 다른 구체예들에서, 화학식 (I)을 갖는 하나 이상의 할로겐화된 유기아미노실란 전구체를 포함하는 용액은 직접 액체 주입을 위해 하나 이상의 온도에서 유지되는 증발기 내에 주입된다.
아르곤 플로우 및/또는 다른 가스는 전구체 퍼싱하는 동안에 하나 이상의 유기아미노살린 전구체의 증기를 반응 챔버에 이동하도록 돕는 캐리어 가스로서 이용될 수 있다. 어떤 실시예에서는, 반응 챔버 프로세스 압력이 약 1 Torr이다.
전형적인 ALD 또는 CCVD 프로세스에서, 실리콘 옥사이드 기판과 같은 기판은, 복합체가 화학적으로 기판의 표면 위에 초기에 흡착되도록 실리콘-함유 전구체에 노출된 리액션 챔버내의 히터 스테이지상에서 가열된다.
아르곤 같은 퍼지 가스는 프로세스 챔버로부터 비흡착된 과량의 복합체를 퍼지해낸다. 충분한 퍼징 후, 질소-함유 공급원은 흡착된 표면과 반응시키기 위해 반응 챔버내로 유도될 수 있고, 뒤이어 다른 가스로 반응 생성물을 챔버에서 제거하기 위해 퍼지할 수 있다. 프로세스 사이클은 원하는 필름 두께에 이를 때까지 반복될 수 있다.
다른 실시예에서는, 프로세스에 환원제를 사용한다. 환원제는 전형적으로는 가스 상태로 유도된다. 적합한 환원제의 예로는, 수소 가스, 수소 플라즈마, 원격 수소 플라즈마, 실란 (즉, 디에틸실란, 에틸실란, 디메틸실란, 페닐실란, 실란, 디실란, 아미노실란, 클로로실란), 보란 (즉, 보란, 디보란), 알란, 게르만(germane), 하이드라진, 암모니아, 또는 이들의 혼합물이 포함되지만 이에 제한되지 않는다. 무정형 실리콘의 흡착과 같은 특정한 일 실시예에서는, 환원제가 사용된다.
상기 또는 다른 실시예는, 여기에 기술된 방법의 단계가 다양한 순서로 실시될 수 있고, 순차적으로 또는 동시적으로(예를 들어, 하나 이상의 다른 단계의 부분동안에) 및 이들의 임의의 조합으로 실시될 수 있는 것으로 이해된다. 전구체 및 질소-함유 공급원 가스를 공급하는 각 단계는 그것들을 공급하기 위한 시간의 간격을 달리함으로써 유전체 필름 결과의 화학량적인 조성물이 변화되도록 실시될 수 있다.
여기에 개시된 방법에 대한 다른 실시예에서, 실리콘 및 질소 모두를 함유하는 필름이 ALD 증착 방법을 사용하여 형성되며, 상기 방법은,
ALD 반응기에 기판을 제공하는 단계;
하기 화학식 I로 나타내어지는 하나 이상의 할로겐화된 유기아미노실란 전구체를 ALD 반응기로 도입하는 단계;
XmR1 nHpSi(NR2R3)4-m-n-p I
상기 식에서, X는 Cl, Br 및 I로 이루어진 군으로부터 선택된 할라이드(halide)이고; R1은 선형 또는 분지형의 C1 내지 C10 알킬기, C2 내지 C12의 알케닐기, C2 내지 C12 알키닐기, C4 내지 C10 사이클릭 알킬기, 및 C6 내지 C10 아릴기로부터 독립적으로 선택되며; R2는 선형 또는 분지형의 C1 내지 C10 알킬, C3 내지 C12 알케닐기, C3 내지 C12 알키닐기, C4 내지 C10 사이클릭 알킬기, 및 C6 내지 C10 아릴기로부터 선택되고; R3는 분지형의 C3 내지 C10 알킬기, C3 내지 C12 알케닐기, C3 내지 C12 알키닐기, C4 내지 C10 사이클릭 알킬기, 및 C6 내지 C10 아릴기로부터 선택되며; m은 1 또는 2이고; n은 0, 1, 또는 2이며; p는 0, 1 또는 2이고; (m+n+p)의 합은 4 미만이며, 여기서 R2 및 R3는 연결되어 고리를 형성하거나, R2 및 R3는 고리를 형성하도록 연결되지 않는다.
하나 이상의 할로겐화된 유기아미노실란 전구체를 기판상에 화학흡착(chemisorbing)시키는 단계;
반응하지 않은 하나 이상의 유기아미노실란 전구체를 퍼지 가스를 사용하여 퍼징시켜내는 단계;
질소-함유 공급원을 가열된 기판상의 유기아미노실란전구체에 제공하여 흡수된 하나 이상의 할로겐화된 유기아미노실란전구체와 반응시키는 단계; 및
선택적으로 임의의 반응하지 않은 질소-함유 공급원을 퍼징시켜내는 단계를 포함한다.
여기에 개시된 다른 실시예에서, 유전체 필름이 ALD 증착방법을 사용하여 형성되며, 상기 방법은,
반응기에 기판을 제공하는 단계;
하기 화학식 I로 나타내어지는 하나 이상의 할로겐화된 유기아미노실란 전구체를 반응기로 도입하는 단계;
XmR1 nHpSi(NR2R3)4-m-n-p I
[상기 식에서, X는 Cl, Br 및 I로 이루어진 군으로부터 선택된 할라이드(halide)이고; R1은 선형 또는 분지형의 C1 내지 C10 알킬기, C2 내지 C12의 알케닐기, C2 내지 C12 알키닐기, C4 내지 C10 사이클릭 알킬기, 및 C6 내지 C10 아릴기로부터 독립적으로 선택되며; R2는 선형 또는 분지형의 C1 내지 C10 알킬, C3 내지 C12 알케닐기, C3 내지 C12 알키닐기, C4 내지 C10 사이클릭 알킬기, 및 C6 내지 C10 아릴기로부터 선택되고; R3는 분지형의 C3 내지 C10 알킬기, C3 내지 C12 알케닐기, C3 내지 C12 알키닐기, C4 내지 C10 사이클릭 알킬기, 및 C6 내지 C10 아릴기로부터 선택되며; m은 1 또는 2이고; n은 0, 1, 또는 2이며; p는 0, 1 또는 2이고; (m+n+p)의 합은 4 미만이며, 여기서 R2 및 R3는 연결되어 고리를 형성하거나, R2 및 R3는 고리를 형성하도록 연결되지 않는다];
하나 이상의 유기아미노실란 전구체를 기판상에 화학흡착(chemisorbing)시키는 단계;
반응하지 않은 하나 이상의 유기아미노실란 전구체를 퍼지 가스를 사용하여 퍼징시켜내는 단계;
산소 공급원을 가열된 기판상의 유기아미노실란전구체에 제공하여 흡수된 하나 이상의 유기아미노실란전구체와 반응시키는 단계; 및
선택적으로 임의의 반응하지 않은 산소 공급원을 퍼징시켜내는 단계를 포함한다.
상기 단계는 여기에 기술된 방법을 한 사이클로 정의하고, 상기 사이클은 원하는 유전체 필름 두께를 얻을 때까지 반복될 수 있다. 상기 또는 다른 실시예는, 여기에 기술된 방법의 단계가 다양한 순서로 실시될 수 있고, 순차적으로 또는 동시적으로(예를 들어, 하나 이상의 다른 단계의 부분 동안에) 및 이들의 임의의 조합으로 실시될 수 있는 것으로 이해된다. 전구체 및 산소 공급원을 공급하는 각 단계는 그것들을 공급하기 위한 시간의 간격을 달리함으로써, 이용가능한 실리콘과 관련하여 화학정량 미만의 산소를 항상 사용하기는 하나, 유전체 필름 결과의 화학량적인 조성물이 변화되도록 실시될 수 있다.
다-성분 유전체필름을 위해, 실리콘-함유 전구체, 질소-함유 전구체와 같은 다른 전구체, 환원제, 또는 다른 시약으로 대체되어 반응 챔버에 도입될 수 있다.
여기에 기술된 방법에 관한 또 다른 실시예에서, 유전체 필름은 열 CVD 프로세스를 사용하여 증착된다. 본 실시예에서, 상기 방법은,
하나 이상의 기판을 실온에서 약 700℃의 온도 범위로 가열시켜 1 Torr 또는 그 미만의 기압에서 유지된 반응기 내에 설치하는 단계;
하기 화학식 I을 갖는 하나 이상의 할로겐화된 유기아미노실란 전구체를 도입하는 단계;
XmR1 nHpSi(NR2R3)4-m-n-p I
[상기 식에서, X는 Cl, Br 및 I로 이루어진 군으로부터 선택된 할라이드(halide)이고; R1은 선형 또는 분지형의 C1 내지 C10 알킬기, C2 내지 C12의 알케닐기, C2 내지 C12 알키닐기, C4 내지 C10 사이클릭 알킬기, 및 C6 내지 C10 아릴기로부터 독립적으로 선택되며; R2는 선형 또는 분지형의 C1 내지 C10 알킬, C3 내지 C12 알케닐기, C3 내지 C12 알키닐기, C4 내지 C10 사이클릭 알킬기, 및 C6 내지 C10 아릴기로부터 선택되고; R3는 분지형의 C3 내지 C10 알킬기, C3 내지 C12 알케닐기, C3 내지 C12 알키닐기, C4 내지 C10 사이클릭 알킬기, 및 C6 내지 C10 아릴기로부터 선택되며; m은 1 또는 2이고; n은 0, 1, 또는 2이며; p는 0, 1 또는 2이고; (m+n+p)의 합은 4 미만이며, 여기서 R2 및 R3는 연결되어 고리를 형성하거나, R2 및 R3는 고리를 형성하도록 연결되지 않는다.]; 및
산소 공급원을 반응기에 제공하여, 하나 이상의 유기아미노실란 전구체와 최소한 부분적으로라도 반응시키고, 하나 또는 그 이상의 기판상에 유전체 필름을 증작시키는 단계를 포함한다. CVD 방법의 다른 실시예에서, 반응기는 상기 도입단계 동안 100 mTorr 내지 600 mTorr의 압력으로 유지된다.
상기 단계는 여기에 기술된 방법을 한 사이클로 정의하고, 상기 사이클은 원하는 유전체 필름 두께를 얻을 때까지 반복될 수 있다. 상기 또는 다른 실시예는, 여기에 기술된 방법의 단계가 다양한 순서로 실시될 수 있고, 순차적으로 또는 동시적으로(예를들어, 하나 이상의 다른 단계의 부분 동안에) 및 이들의 임의의 조합으로 실시될 수 있는 것으로 이해된다. 전구체 및 산소 공급원을 공급하는 각 단계는 그것들을 공급하기 위한 시간의 간격을 달리함으로써, 이용가능한 실리콘과 관련하여 화학정량 보다 적은 산소를 항상 사용하기는 하나, 유전체 필름 결과의 화학량적인 조성물이 변화되도록 실시될 수 있다.
멀티-콤포넌트 유전체필름을 위해, 실리콘-함유 전구체, 질소-함유 전구체와 같은 다른 전구체, 산소 공급원, 환원제 및/또는 다른 리전트로 대체되어 반응 챔버에 도입될 수 있다.
여기에 기술된 방법에 관한 또 다른 실시예에서, 유전체 필름은 열 CVD 프로세스를 사용하여 증착된다. 본 실시예에서, 상기 방법은,
하나 이상의 기판을, 실온에서 약 700℃의 온도 범위로 가열시켜 1 Torr 또는 그 미만의 기압에서 유지된 반응기내에 설치하는 단계;
하기 화학식 I을 갖는 하나 이상의 할로겐화된 유기아미노실란 전구체를 도입하는 단계;
XmR1 nHpSi(NR2R3)4-m-n-p I
[상기 식에서, X는 Cl, Br 및 I로 이루어진 군으로부터 선택된 할라이드(halide)이고; R1은 선형 또는 분지형의 C1 내지 C10 알킬기, C2 내지 C12의 알케닐기, C2 내지 C12 알키닐기, C4 내지 C10 사이클릭 알킬기, 및 C6 내지 C10 아릴기로부터 독립적으로 선택되며; R2는 선형 또는 분지형의 C3 내지 C10 알킬, C3 내지 C12 알케닐기, C3 내지 C12 알키닐기, C4 내지 C10 사이클릭 알킬기, 및 C6 내지 C10 아릴기로부터 선택되고; R3는 분지형의 C3 내지 C10 알킬기, C3 내지 C12 알케닐기, C3 내지 C12 알키닐기, C4 내지 C10 사이클릭 알킬기, 및 C6 내지 C10 아릴기로부터 선택되며; m은 1 또는 2이고; n은 0, 1, 또는 2이며; p는 0, 1 또는 2이고; (m+n+p)의 합은 4 미만이며, 여기서 R2 및 R3는 연결되어 고리를 형성하거나, R2 및 R3는 고리를 형성하도록 연결되지 않는다.]; 및
질소-함유 공급원을 반응기에 제공하여, 하나 이상의 유기아미노실란 전구체와 최소한 부분적으로라도 반응시키고, 하나 또는 그 이상의 기판상에 유전체 필름을 증작시키는 단계를 포함한다. CVD 방법의 다른 실시예에서, 반응기는 상기 도입단계 동안 100 mTorr 내지 600 mTorr의 압력으로 유지된다.
이전에 언급한 바와 같이, 여기에 기술된 프로세스는 하나 이상의 전구체를 사용하여 필름을 증착하기 위해 사용될 수 있다. 상기 전구체는, 여기에 기술된 화학식I의 구조를 갖는 할로겐화된 유기아미노실란과 같은 전구체와, 여기 기술된 화학식 III의 구조를 갖는 할로겐화 되지 않은 유기아미노실란과 같은 추가적인 전구체, 여기에 기술된 것과 같은 실리콘-함유전구체 및/또는 클로로실란(예를 들어, 모노클로로실란(MCS), 디클로로실란(DCS), 트리클로로실란 또는 테트라클로로실란이 있으나,이에 한정되지 않음) 및/또는 알킬클로로실란(예를 들어, 메틸클로로실란, 에틸클로로실란, 메틸디클로로실란, 에틸디클로로실란이 있으나, 이에 한정되지 않음). 본 실시예에서는, 하나 또는 그 이상의 전구체가 제 1 전구체, 제 2전구체, 제 3 전구체 등 사용된 다른 전구체의 수에 기하여 기술되어 있다. 상기 프로세스는, 예를 들어, 사이클릭 화학적 기상 증착 또는 원자층 증착에 이용될 수 있다. 본 또는 다른 실시예에서, 상기 전구체는 다양한 방법(예: 1) 제 1 전구체 도입; b) 퍼지; c) 제 2 전구체 도입; d) 퍼지; e) 제 3 전구체 도입; f) 퍼지, 등, 또는 이 대신 a) 제 1전구체 도입; b) 퍼지; c) 제 2 전구체 도입; d) 퍼지; e) 제 2 전구체 도입; 등)으로 도입될 수 있다. 일 실시예에서, 실리콘 옥사이드 필름, 또는 실리콘,카본 및 옥사이드 필름을 증착하는, 하기의 단계를 포함하는 프로세스가를 제공된다.
a) 제 1 전구체로부터 생성된 증기를 가열된 기판과 접촉시켜, 가열된 기판상의 제 1 전구체를 화학적으로 흡착하는 단계;
b) 임의의 미흡착된 전구체를 퍼징시켜내는 단계;
c) 가열된 기판상에 산소 공급원을 도입하여 흡착된 제 1 전구체와 반응시키는 단계;
d) 임의의 반응하지 않은 산소 공급원을 퍼징시켜내는 단계;
e) 제 1 전구체와 다른 제 2 전구체로 부터 발생한 증기를 가열된 기판에 접촉하여 가열된 기판상의 제 2 전구체를 화학적으로 흡착하는 단계;
f) 임의의 미흡착된 전구체를 퍼징시켜내는 단계;
g) 가열된 기판상의 산소 공급원을 도입하여 흡착된 제 1 및 제 2 전구체와 반응시키는 단계; 및
h) 임의의 반응하지 않은 산소 공급원을 퍼징시켜내는 단계;
상기 a) 내지 h) 단계는, 원하는 두께에 도달할 때까지 반복될 수 있다.
여기에 기술된 프로세스에 대한 또 다른 실시예에서, 실리콘 니트라이드 또는 실리콘 카보니트라이드 필름을 증착하는, 하기의 단계를 포함하는 프로세스를 제공된다.
a) 제 1 전구체로부터 생성된 증기를 가열된 기판과 접촉시켜, 가열된 기판상의 제 1 전구체를 화학적으로 흡착하는 단계;
b) 임의의 미흡착된 전구체를 퍼징시켜내는 단계;
c) 가열된 기판상에 질소 공급원을 도입하여 흡착된 제 1 전구체와 반응시키는 단계;
d) 임의의 반응하지 않은 질소 공급원을 퍼징시켜내는 단계;
e) 제 1 전구체와 다른 제 2 전구체로 부터 발생한 증기를 가열된 기판에 접촉하여 가열된 기판상의 제 2 전구체를 화학적으로 흡착하는 단계;
f) 임의의 미흡착된 전구체를 퍼징시켜내는 단계;
g) 가열된 기판상의 질소 공급원을 도입하여 흡착된 제 1 및 제 2 전구체와 반응시키는 단계; 및
h) 임의의 반응하지 않은 질소 공급원을 퍼징시켜내는 단계;
상기 a) 내지 h) 단계는, 원하는 두께에 도달할 때까지 반복될 수 있다.
또 다른 실시예로, 여기서 기술하고 있는 것은, 사이클릭 화학적 기상 증착(CCVD) 또는 원자층 증착(ALD) 기술(예로는, 플라즈마 강화 ALD (PEALD) 또는 플라즈마 강화 CCVD (PECCVD) 방법이 있으나, 이에 제한되지 않음)을 채용하여 실리콘-함유 필름을 증착하는 방법이다. 이러한 구체예에서는, 증착 온도가 상대적으로 높거나, 또는 약 500℃ 내지 800℃로하여, 특정한 반도체 어플리케이션에 요구되는 필름 성질의 사양을 조절할 수 있다. 특정 일 구체예에서, 상기 방법은 하기의 화학식 I 의 할로겐화된 유기아미노실란으로 부터 발생된 증기를 가열된 기판에 접촉하여, 가열된 기판상의 전구체에 화학적으로 흡착시키는 단계; 임의의 미흡착된 전구체를 퍼징시켜내는 단계; 환원제를 도입하여 흡착된 전구체를 환원시키는 단계; 및 임의의 반응하지 않은 환원제를 퍼징시켜내는 단계를 포함한다.
특정한 구체예에서, 여기에 기술된 화학식 I을 갖는 할로겐화된 유기아미노실란 전구체는 또한, 금속 함유 필름(예를 들면, 금속 옥사이드 필름 또는 금속 니트라이드 필름, 그러나 이에 한정되지 않음)을 위한 도판트(dopant)로 사용되어 질 수 있다. 이러한 구체예에서, 금속 함유 필름은 여기 기술된 금속 알콕시드, 금속 아미드, 또는 휘발성의 유기금속성 전구체를 사용하는 방법과 같은, ALD 또는 CVD 방법을 사용하여 흡착된다. 본원에 기술된 방법과 함께 사용되어 질 수 있는 적합한 금속 알콕시드 전구체의 예로는, 3 내지 6 그룹 금속 알콕시드, 알콕시기와, 사이클로펜타디에닐 리간드로 치환된 알킬기 모두를 갖는 3 내지 6 그룹 금속복합체, 알콕시기와, 디케톤화된 리간드로 치환된 알킬기 모두를 갖는 3 내지 6 그룹 금속복합체를 포함하지만, 이에 한정되지 않는다. 여기 개시된 방법과 함께 사용될 수 있는 적합한 금속 아미드 전구체의 예로, 테트라키스(디메틸아미노)지르코니움(TDMAZ), 테트라키스(디에틸아미노)지르코니움(TDEAZ), 테트라키스(에틸메틸아미노)지르코니움 (TEMAZ), 테트라키스(디메틸아미노)하프니움(TDMAH), 테트라키스(디에틸아미노)하프니움(TDEAH), 및 테트라키스(에틸메틸아미노)하프니움(TEMAH), 테트라키스(디메틸아미노)티타니움(TDMAT), 테트라키스(디에틸아미노)티타니움(TDEAT), 테트라키스(에틸메틸아미노)티타니움(TEMAT), 3차-부틸아미노 트리(디에틸아미노)탄탈룸 (TBTDET), 3차-부틸아미노트리(디메틸아미노)탄탈룸(TBTDMT), 3차-부틸아미노트리(에틸메틸아미노)탄탈룸(TBTEMT), 에틸이미노트리(디에틸아미노)탄탈룸(EITDET), 에틸이미노트리(디메틸아미노)탄탈룸(EITDMT), 에틸이미노트리(에틸메틸아미노)탄탈룸(EITEMT), 3차-아밀이미노트리(디메틸아미노)탄탈룸(TAIMAT), 3차아밀이미노 트리(di에틸아미노)탄탈룸, 펜타키스(디메틸아미노)탄탈룸, 3차아밀이미노트리(에틸메틸아미노)탄탈룸, 비스(3차부틸이미노)비스(디메틸아미노)텅스텐(BTBMW), 비스(3차부틸이미노)비스(di에틸아미노)텅스텐, 비스(3차부틸이미노)비스(에틸메틸아미노)텅스텐, 및 이들의 조합물을 포함하지만, 이에 한정되지 않는다. 여기 개시된 방법과 함께 사용될 수 있는 적합한 유기금속성 전구체의 예로, 사이클로펜타디에닐화된 3그룹 금속 또는 사이클로펜타디에닐화된 알킬이 포함되지만 이에 한정되지 않는다. 여기서, 3 내지 6 그룹 금속의 바람직한 예로는 Y, La, Ce, Pr, Nd, Sm, Eu, Gd, Tb, Dy, Er, Yb, Lu, Ti, Hf, Zr, V, Nb, Ta, Cr, Mo 및 W를 포함하지만, 이에 한정되지 않는다. 다른 휘발성의 유기금속성 전구체는 무제한의 트리에틸알루미늄(TEA), 트리메틸알루미늄 (TMA)와 같은 금속 알킬 전구체를 포함하지만 이에 한정되지 않는다.
특정 구체예에서, 할로겐화된 유기아미노실란은 스핀-온, 딥 코트, 에어로졸, 잉크 젯, 스크린 프린팅 또는 스프레이 어플리케이션과 같은 리퀴드-베이스 증착 또는 필름 형성 방법에 사용될 수 있으나, 이에 한정되지 않는다. 실리콘 필름이 리퀴드-베이스 증착을 통해 형성되는 구체예에서, 합성 필름은 그중에서도, 실리카 공급원으로서 화학식I을 갖는 할로겐화된 유기아미노실란과 같은, 하나 이상의 실리콘-함유 전구체 및 촉매 및 물을 포함하는 조성물로부터 형성된다. 상기 조성물은 용매 및 계면활성제를 더 포함할 수 있다. 간단하게는, 조성물을 기판상에 분산시키고, 용매 및 물을 증발시키는 것으로 필름을 형성할 수 있다. 계면활성제, 남아있는 용매 및 물은 코팅된 기판을 하나 또는 그 이상의 에너지 공급원에 한동안 실리콘-함유 필름이 생산되기에 충분하도록 노출시킴으로서 일반적으로 제거된다. 어떤 경우에는 필름을 예열하여서, 실리카 공급원의 가수분해가 실질적으로 이루어지고, 크로스링킹 프로세스가 계속되며, 필름으로 부터 (남아있다면) 남아있는 임의의 용매를 날려보낼 수 있다.
상기 화학식 I을 갖는 할로겐화된 유기아미노실란외에, 실리카 공급원의 다른 예로서, 포어(pore)를 형성하는 물질이 제거됨에 따라 Si-H 결합을 형성하는 화합물을 포함할 수 있다.
상기 공급원의 또 다른 예로서, 비-가수분해성 화학적 방법이 예를 들어, Hay et al.,"Synthesis of Organic-Inorganic Hybrids via the Non-hydrolytic Sol-Gel Proess", Chem. Mater., 13, 3396-3403 (2001) 또는 Hay, et al., "A Versatile Route to Organically-Modified 실리카s and Porous 실리카s via the Non-Hydrolytic Sol-Gel Process", J. Mater. Chem., 10, 1811-1818 (2000)의 참고자료에서 발견된다.
상기 실리카 공급원의 또 다른 예로, 콜로이드성 실리카, 암모니아로 훈증된 실리카, 또는 규산 시작 물질을 포함할 수 있다.
실리카 공급원의 또 다른 예로, 하이드로겐 실세스퀴옥산(HSQ, HSiO1.5) 및 메틸 실세스퀴옥산(MSQ, RSiO1 .5 여기서 R은 메틸기)와 같은 실세스퀴옥산을 포함한다.
특정한 구쳬예에서, 실리카 공급원은 가수분해 및 축합 결과물로서 혼합물에 첨가될 수 있다. 실리카 공급원의 가수분해 및 축합은 물 및 촉매를 용매에 첨가하고, 실리카 공급원을 한번에, 간헐적으로 또는 연속적으로 첨가함으로써 발생하며, 일반적으로 -30 내지 100℃의 범위, 바람직하게는 20 내지 100℃ 범위의 온도에서 0 내지 24 시간 동안 혼합물을 교반하면서 실리카 공급원 가수분해 및 축합반응을 일으킨다. 상기 조성물은 각각의 제조 단계에서 용매를 이용하여 희석 또는 농축을 수행함으로써 원하는 고체 함량을 제공하도록 조절될 수 있다. 또한, 실리카 공급원은 가수분해시 아세트산을 발생시키는 화합물일 수 있다.
실리카 공급원의 가수분해 및 축합은 필름의 형성 동안 임의의 시점에서, 즉, 혼합물을 추가하기 전에, 혼합물을 추가한 후에, 하나 이상의 에너지 공급원에 노출시키기 이전에, 및/또는 노출시키는 동안 등에 발생할 수 있다. 예컨대, 특정 구체예에서, 하나 이상의 실리카 공급원은 용기 내에서 용매, 물, 및 계면활성제와 결합되며, 촉매는 용기 내에 서서히 첨가되어 혼합된다. 혼합물에의 여러 상이한 첨가 순서가 본 발명의 의도를 벗어나지 않고도 사용될 수 있음이 구상된다.
본 발명에 적합한 촉매는 물의 존재 하에 실리카 공급으로부터의 치환체의 가수분해 및/또는 Si-O-Si 브릿지를 형성하기 위한 두 개의 실리카 공급원의 축합의 촉매작용을 할 수 있는 임의의 유기 또는 무기 산 또는 염기를 포함한다. 촉매는 유기 염기, 예컨대 이에 제한되는 것은 아니지만 4차 암모늄염 및 수산화물(hydroxides), 예컨대 암모늄 또는 테트라메틸암모늄, 아민, 예컨대 1차, 2차, 및 3차 아민, 및 아민 옥사이드일 수 있다. 촉매는 또한 산, 예컨대 이에 제한되는 것은 아니지만, 질산, 말레산, 옥살산, 아세트산, 포름산, 글리콜산, 글리옥살산, 또는 이들의 혼합물일 수 있다. 바람직한 구체예들에서, 촉매는 질산을 포함한다.
본 발명에 사용하기에 적합한 용매는 시약에 가용성(solubility)을 나타내는 임의의 용매를 포함할 수 있다. 용매는, 예컨대, 알코올 용매, 케톤 용매, 아미드 용매, 또는 에스터 용매일 수 있다. 특정 구체예들에서, 용매는 초임계 유체, 예컨대 이산화탄소, 플루오로카본, 6불화 유황(sulfur hexafluoride), 알칸, 및 다른 적합한 다-성분 혼합물들 등일 수 있다. 특정 구체예들에서, 본 발명에 사용되는 하나 또는 그 초과의 용매들은 상대적으로 낮은 끓는점, 즉 160℃ 미만의 끓는점을 가진다. 이러한 용매는 THF, 아세톤, 1,4-디옥산, 1,3-디옥소란, 에틸아세테이트 및 메틸에틸케톤을 포함하나, 이에 한정되지 않는다. 본 발명에 사용될 수 있고, 160℃ 이상의 끓는점을 갖는 다른 용매는 디메틸포름아미드, 디메틸아세트아미드, N-메틸 피롤리돈, 에틸렌 카보네이트, 프로필렌 카보네이트, 글리세롤 및 유도체, 나프탈렌 및 치환체들, 무수 아세트산, 프로피오닉 산 및 무수 프로피오닉 산, 디메틸술폰 벤조페논, 디페닐 술폰, 페놀, m-크레솔, 디메틸 술폭시드, 디페닐 에테르, 테르페닐 등을 포함한다. 바람직한 용매는, 프로필렌 글리콜 프로필에테르(PGPE), 3-헵타놀, 2-메틸-1-펜타놀, 5-메틸-2-헥산올, 3-헥산올, 2-헵타노, 2-헥산올, 2,3-디메틸-3-펜타놀, 프로필렌 글리콜 메틸 에테르 아세테이트 (PGMEA), 에틸렌 글리콜 n-부틸 에테르, 프로필렌 글리콜 n-부틸 에테르 (PGBE), 1-부톡시-2-프로판올, 2-메틸-3-펜타놀, 2-메톡시에틸 아세테이트, 2-부톡시에탄올, 2-에톡시에틸 아세토아세테이트, 1-펜타놀, 및 프로필렌 글리콜 메틸에테르. 이보다 더 바람직한 용매로는, 락테이트, 피루베이트 및 디올을 포함한다. 더 바람직한 용매는 EP 1,127,929에 기재된 용매를 포함한다. 상기 예시된 용매는 단독 또는 둘 이상의 용매를 혼합하여 사용될 수 있다.
특정 구체예들에서, 조성물 내의 시약들이 반응하여 기판상에 축합된 유동성 필름을 형성한다. 이러한 필름은 갭을 유전체 물질로 채우기 위해 갭 내로 흐른다. 필름은 그 다음 열 어닐(thermal anneal), 자외선(UV) 노출, 마이크로파 노출, 또는 산화 플라즈마에의 노출을 포함한, 여러 기술들 중 하나 이상에 의해 고체 유전체 물질로 전환된다. 특정 구체예들에 따르면, 필름은 전구체를 가교(cross-linking)시켜 고체 물질을 생성하고/거나 수소(--H), 하이드록실(--OH) 또는 물(H2O) 그룹들을 제거하는 것을 포함하는 (다만, 이에 제한되는 것은 아니다) 메커니즘에 의해 고체 물질로 전환된다. 특정 구체예에서, 필름을 변환하기 위해 유도결합 플라즈마가 사용된다.
특정 구체예들에서, 결과적으로 생성된 유전체 필름 또는 코팅은 증착후(post-deposition) 처리, 예컨대 이에 제한되는 것은 아니지만, 플라즈마 처리, 화학적 처리, 자외선 노출, 전자빔 노출, 및/또는 필름의 하나 이상의 성질에 영향을 주는 다른 처리에 노출될 수 있다.
특정 구체예들에서, 본원에 기재된 유전체 필름은 6 또는 그 미만의 유전상수를 갖는다. 본 구체예 또는 다른 구체예들에서, 필름의 유전상수는 약 5 또는 그 미만, 약 4 또는 그 미만, 또는 약 3.5 또는 그 미만일 수 있다. 그러나, 다른 유전상수(예컨대 이보다 높거나 낮은 유전상수)를 갖는 필름이 필름의 원하는 최종 용도에 따라 형성될 수 있음이 구상된다. 일 구체예에서, 본원에 기재된 공정 및 유기아미노실란 전구체를 사용하여 형성된 실리콘 함유 또는 유전체 필름은 포뮬레이션 SixOyCzNvHw를 가지며, 예컨대, XPS 또는 다른 수단에 의해 측정했을 때, 여기서 Si은 약 10% 내지 약 40%의 범위이고; O는 약 0% 내지 약 65%의 범위이며; C는 약 0% 내지 약 75% 또는 약 0% 내지 약 50%의 범위이고; N은 약 0% 내지 약 75% 또는 약 0% 내지 50%의 범위이며; H는 약 0% 내지 약 50%의 범위의 원자 퍼센트 중량%이며, 여기서 x+y+z+v+w=100 원자 중량 퍼센트이다. 그러나, 상이한 포뮬레이션을 갖는 유전체 또는 실리콘-함유 필름의 다른 구체예들이 본원에 기재된 할로겐화된 유기아미노실란 전구체를 사용하여 제조될 수 있다.
전술한 바와 같이, 본원에 기재된 방법은 기판의 적어도 일부 상에 실리콘-함유 필름을 증착시키는데 사용될 수 있다. 적합한 기판의 예들에는 실리콘, SiO2, Si3N4, OSG, FSG, 실리콘 카바이드, 수소첨가된 실리콘 카바이드, 실리콘 니트라이드, 수소첨가된 실리콘 니트라이드, 실리콘 카보니트라이드, 수소첨가된 실리콘 카보니트라이드, 보로니트라이드, 반사 방지(antireflective) 코팅, 포토레지스트, 유기 중합체, 다공성 유기 및 무기 물질, 금속, 예컨대 구리 및 알루미늄, 및 확산 배리어 층, 예컨대 이에 제한되는 것은 아니지만 TiN, Ti(C)N, TaN, Ta(C)N, Ta, W, 또는 WN이 포함되지만, 이에 제한되는 것은 아니다. 필름은 여러 그 다음 가공 단계들, 예컨대, 화학 기계적 평탄화(CMP) 및 이방성 에칭 공정(anisotropic etching processes)과 양립 가능하다.
증착된 필름은, 이에 제한되는 것은 아니지만, 컴퓨터 칩, 광학 소자, 자기 정보 축적(magnetic information storages), 지지 물질 또는 기판상의 코팅, 마이크로전자기계 시스템(MEMS), 나노전자기계 시스템, 박막 트랜지스터(TFT), 및 액정 디스플레이(LCD)를 포함한 적용을 갖는다.
하기 청구항들에서, 문자들은 청구된 단계들(예컨대 (a), (b), 및 (c))을 식별하기 위해 사용되었다. 이러한 문자들은, 이러한 순서가 청구항 내에 특별히 재인용되지 않는 한, 그리고 이러한 순서가 청구항 내에 특별히 재인용되는 정도로만, 방법 단계들을 나타내기 위한 목적으로 사용된 것이지 수행되는 청구된 단계들의 순서를 가리키기 위한 의도가 아니다.
하기 예들은 본원에 기재된 할로겐화된 유기아미노실란 전구체들뿐만 아니라 본원에 기재된 증착된 실리콘-함유 필름의 제조 방법을 예시하는 것이며, 이를 임의의 방법으로 제한하고자 하는 것은 아니다.
도 1은 실시예 3에 기재된 2,6-디메틸피페리디노디클로로실란의 질량 분광학 (MS) 스펙트럼을 제공한다.
실시예 1: 2,6-디메틸피페리디노클로로실란의 합성
교반기 및 적하관(additional funnel)이 장착된 2000ml의 목이 3개인 둥근 바닥 플라스크(3-necked round bottom flask)에, 헥산 1000ml를 첨가시켰다. 플라스크에 드라이 아이스 IPA 냉각조를 사용하여 -20℃로 냉각시키고, 디클로로실란101 g (1.0 mol)을 헥산에 농축 및 용해시켰다. 교반하면서, 2,6-디메틸피페리딘 113 g (1.0 mol) 및 트리에틸아민 111g (1.1 mol)의 혼합물을 한방울씩 플라스크에 첨가하였다. 첨가가 완료된 후, 반응혼합물을 -20 ℃에서 1시간동안 교반한 후, 실온으로 상승하도록 두었다. HCl·Et3N의 염 부산물인 침전이 공기중의 습기와 반응하지 않도록 질소로 배기(purging)한 글로브 백에서 진공여과기로 제거시켰다. 여과액 위의 GC/MS 분석기로 분자 이온 질량(molecular ion mass) 177을 나타내고, 매스 프래그먼트(mass fragment) M-CH3 질량 162에 의해 뒷받침되는, 수득물 2,6-디메틸피페리디노클로로실란을 확인하였다. 용매 헥산은 증류하여 제거하고, 수득물을 진공여과기로 분리하였다. 끓는점은 10Torr(torr)에서 60℃였다. 수득율은 66% 이었다.
실시예 2: 2,6-디메틸피페리디노클로로실란의 대체 합성 방법
THF 50mL에 시스-2,6-디메틸피페리딘 7.55g (66.68mmol)을 -78℃에서 용해시킨 용액에 n-부틸리튬(n-butyllithium)/헥산 2.5M 용액 28.00mL (70.02mmol)를 한방울씩 첨가하였다. 침전을 진행시키고, 반응 혼합물을 교반하면서 실온으로 가온시켰다. 한 시간 후 이 혼합물을 -40 ℃에서 자일렌 30mL 및 헥산 70mL에 디클로로실란 6.74g (66.68mmol)을 용해시킨 용액에 한 방울씩 첨가하여 옅은 회색의 침전을 형성시켰다. 반응 혼합물을 16시간동안 교반하고, 끝난 후에는 형성된 고형물로부터 옮겨서 주변 압력에서 증류시켜 휘발물을 제거하였다. 기대 생성물은 27%의 수득율로 분리하였다. MS 분석기를 통해 생성물이 2,6-디메틸피페리디노클로로실란으로 확인한다.
실시예 3: 2,6-디메틸피페리디노디클로로실란의 합성
2,6-디메틸피페리디노디클로로실란의 합성은 헥산 용액 70 중량%에 2,6-디메틸피페리딘,트리에틸아민,트리클로로실란을 1: 1: 1의 몰비로 혼합함으로써 생성하였다. 과량의 트리클로로실란이 비스((2,6-디메틸피페린도)클로로실란의 형성을 방지하기 위해 필요하다. HCl·Et3N의 염 부산물인 침전이 공기중의 습기와 반응하지 않도록 질소로 배기한 글로브 백에서 진공여과기로 제거시켰다. 여과액 위의 GC/MS 분석기(도 1에 도시됨)로 생성물 2,6-디메틸피페리디노디클로로실란이 생성되었음을 확인하고, 질량 결과는 211, 매스 프래그먼트(mass fragment) M-CH3 질량 196에 의해 뒷받침된다. 진공 여과후, 헥산의 총양은 1 단계 단순 증류에 의해 제거시키고, 2단계 진공 증류 기술을 사용하여, 생성물을 수집하였다. 진공 증류로 결정되는 끓는점은 18 Torr에서 93℃, 5Torr에서 66℃였다. 수득율은 64%이었다.
실시예 4: 실리콘-함유 필름의 원자층 증착
실리콘-함유 필름의 원자층 증착은 다음의 전구체: 2,6-디메틸피페리디노클로로실란를 사용함으로써 유도되었다. 증착은 실험실 규모의 ALD 프로세싱 툴상에서 실시되었다. 모든 가스(예를 들면, 퍼지 및 리액턴트 가스 또는 전구체 및 산소 공급원)는 증착 존에 들어가기 전에 100℃로 예열시켰다. 가수 및 전구체 플로우율은 고속 액츄에이션을 갖는 ALD 다이아프램 밸브로 조절시켰다. 증착에 사용된 기판은 샘플 홀더위에 부착된 열전대를 갖고 기판온도를 확인하기 위한 12 인치 실리콘 스트립스였다. 증착은 산소 공급원으로서 오존을 사용하여 실시하였고, 증착의 프로세스 파라미터는 표2에 제공되어 있다.
표 2: 오존으로 실리콘 함유 필름의 원자층 증착 방법
Figure pat00009
단계 b) 내지 e)는 원하는 두께에 도달할 때까지 반복하였다. 결과적으로 생성된 실리콘-함유 필름은 증착 속도 및 굴절률(refractive index)을 특징으로 한다. 필름의 두께 및 굴절률은 FilmTek 2000SE 엘립소미터(ellipsometer)를 사용하여, 필름으로부터 미리 정해진(pre-set) 물리적 모델 (예컨대, Lorentz Oscillator 모델)로의 반사 데이터를 맞게 함으로써 측정하였다. 필름 조성은 X-선 광전자 분광법(XPS) 기술을 사용하여 분석하였다. X-선 광전자 분광법 실험은 다중 채널 플레이트(MCD) 및 집속 Al 단색 X-선 공급원을 갖춘 PHI 5000VersaProbe Spectrometer 상에서 수행하였다. 필름 조성은 우발적인 탄소 신호를 제거하기 위해 필름 내에서 100Å에서 측정하였다. 측정된 필름 조성에서 수소는 제외되었으며(이는 수소가 XPS로 감지되지 않기 때문이다), 100%로 정규화시켰다.
X-선 반사측정법(XRR)은 필름 밀도를 측정하기 위해 모든 샘플들 상에서 수행하였다. 샘플들을 0.001의 스텝 크기 및 1s/step의 카운트 시간(count time)을 사용하여 0.2 ≤ 2 ≤ 0.65 범위에 걸쳐 스캔하였다. 데이터는 Si으로 정의된 기판 및 실리콘 옥사이드로서의 필름이 있는 2-층(two-layer) 모델을 사용하여 분석하였다.
실리콘 옥사이드 필름을 표 III에 요약되어 있는 바와 같이 1초(one second) 전구체 투여량으로 150 내지 300℃의 다양한 기판 온도에서 2,6-디메틸피페리디노클로로실란을 사용하여 형성하였다:
표 III. 2,6-디메틸피페리디노클로로실란에 대한 공정 파라미터 및 결과 요약
Figure pat00010
실리콘 옥사이드 필름을 표 IV에 요약되어 있는 바와 같이 다양한 전구체 투여량으로 300℃의 기판 온도에서 2,6-디메틸피페리디노클로로실란을 사용하여 형성하였다:
표 IV: 2,6-디메틸피페리디노클로로실란의 공정 파라미터 및 결과 요약
Figure pat00011
실리콘 옥사이드 증착은 전구체 투여량의 증가에 따른 증착 속도 포화를 보여주며, 이는 자가 제한적 원자층 증착(ALD)과 같은 거동을 증명한다. X-선 포토스펙트로스코피(XPS)로 측정했을 때, 증착된 필름은 33 at.%의 Si 및 67 at.%의 O를 지닌다. 필름에서 탄소, 질소 및 염소는 검출되지 않았다. 필름 밀도는 1.9 ± 0.05 g/cc였다.

Claims (44)

  1. 하기 화학식 I로 표시되는 할로겐화된 유기아미노실란 전구체:
    XmR1 nHpSi(NR2R3)4-m-n-p I
    상기 식에서, X는 Cl, Br 및 I로 이루어진 군으로부터 선택된 할라이드(halide)이고; R1은 선형 또는 분지형의 C1 내지 C10 알킬기, C2 내지 C12의 알케닐기, C2 내지 C12 알키닐기, C4 내지 C10 사이클릭 알킬기, 및 C6 내지 C10 아릴기로부터 독립적으로 선택되며; R2는 선형 또는 분지형의 C1 내지 C10 알킬, C3 내지 C12 알케닐기, C3 내지 C12 알키닐기, C4 내지 C10 사이클릭 알킬기, 및 C6 내지 C10 아릴기로부터 선택되고; R3는 분지형의 C3 내지 C10 알킬기, C3 내지 C12 알케닐기, C3 내지 C12 알키닐기, C4 내지 C10 사이클릭 알킬기, 및 C6 내지 C10 아릴기로부터 선택되며; m은 1 또는 2이고; n은 0, 1, 또는 2이며; p는 0, 1 또는 2이고; (m+n+p)의 합은 4 미만이며, 여기서 R2 및 R3는 고리를 형성하도록 연결된다.
  2. 제 1항에 있어서, R1 및 R2가 동일한 할로겐화된 유기아미노실란 전구체.
  3. 제 1항에 있어서, R1 및 R2가 상이한 할로겐화된 유기아미노실란 전구체.
  4. 화학적 기상 증착(CVD) 공정 및 원자층 증착(ALD) 공정으로부터 선택된 증착 공정에 의해 기판의 하나 이상의 표면상에 유전체 필름을 형성하는 방법으로서, 상기 방법이,
    기판의 하나 이상의 표면을 반응 챔버에 제공하는 단계;
    하기 화학식 I을 갖는 하나 이상의 할로겐화된 유기아미노실란 전구체를 도입하는 단계:
    XmR1 nHpSi(NR2R3)4-m-n-p I
    [상기 식에서, X는 Cl, Br 및 I로 이루어진 군으로부터 선택된 할라이드이고; R1은 선형 또는 분지형의 C1 내지 C10 알킬기, C2 내지 C12의 알케닐기, C2 내지 C12 알키닐기, C4 내지 C10 사이클릭 알킬, 및 C6 내지 C10 아릴기로부터 독립적으로 선택되며; R2는 선형 또는 분지형의 C1 내지 C10 알킬기, C3 내지 C12 알케닐기, C3 내지 C12 알키닐기, C4 내지 C10 사이클릭 알킬기, 및 C6 내지 C10 아릴기로부터 선택되고; R3는 분지형의 C3 내지 C10 알킬기, C3 내지 C12 알케닐기, C3 내지 C12 알키닐기, C4 내지 C10 사이클릭 알킬기, 및 C6 내지 C10 아릴기로부터 선택되며; m은 1 또는 2이고; n은 0, 1, 또는 2이며; p는 0, 1 또는 2이고; (m+n+p)의 합은 4 미만이며, 여기서 R2 및 R3는 고리를 형성하도록 연결된다];
    질소-함유 공급원을 반응기 내로 도입하는 단계로서, 여기서 하나 이상의 유기아미노실란 전구체 및 질소-함유 공급원이 반응하여 하나 이상의 표면상에 유전체 필름을 형성하는 단계를 포함하는, 방법.
  5. 제 4항에 있어서, 하나 이상의 유기아미노실란 전구체가 2,6-디메틸피페리디노디클로로실란, 2,6-디메틸피페리디노클로로실란, 사이클로헥실메틸아미노클로로실란, 사이클로헥실에틸아미노클로로실란, 및 사이클로헥실-이소-프로필아미노클로로실란으로 이루어진 군으로부터 선택되는 방법.
  6. 제 5항에 있어서, 하나 이상의 유기아미노실란 전구체가 2,6-디메틸피페리디노디클로로실란을 포함하는 방법.
  7. 제 5항에 있어서, 하나 이상의 유기아미노실란 전구체가 2,6-디메틸피페리디노클로로실란을 포함하는 방법.
  8. 제 4항에 있어서, 질소-함유 공급원이 암모니아, 하이드라진, 모노알킬하이드라진, 디알킬하이드라진, 질소/수소, 암모니아 플라즈마, 질소 플라즈마, 질소/수소 플라즈마, 및 이들의 혼합물로 이루어진 군으로부터 선택되는 방법.
  9. 제 4항에 있어서, 유전체 필름이 실리콘 니트라이드 및 실리콘 카보니트라이드로 이루어진 군으로부터 선택되는 방법.
  10. 원자층 증착(ALD) 공정에 의해 유전체 필름을 형성하는 방법으로서, 상기 방법이,
    a. 기판을 ALD 반응기에 제공하는 단계;
    b. ALD 반응기에 하기 화학식 I을 갖는 하나 이상의 할로겐화된 유기아미노실란 전구체를 제공하는 단계:
    XmR1 nHpSi(NR2R3)4-m-n-p I
    [상기 식에서, X는 Cl, Br 및 I로 이루어진 군으로부터 선택된 할라이드이고; R1은 선형 또는 분지형의 C1 내지 C10 알킬기, C2 내지 C12의 알케닐기, C2 내지 C12 알키닐기, C4 내지 C10 사이클릭 알킬, 및 C6 내지 C10 아릴기로부터 독립적으로 선택되며; R2는 선형 또는 분지형 C3 내지 C10 알킬기, C3 내지 C12 알케닐기, C3 내지 C12 알키닐기, C4 내지 C10 사이클릭 알킬기, 및 C6 내지 C10 아릴기로부터 선택되고; R3는 분지형의 C1 내지 C10 알킬, C3 내지 C12 알케닐기, C3 내지 C12 알키닐기, C4 내지 C10 사이클릭 알킬기, 및 C6 내지 C10 아릴기로부터 선택되며; m은 1 또는 2이고; n은 0, 1, 또는 2이며; p는 0, 1 또는 2이고; (m+n+p)의 합은 4 미만이며, 여기서 R2 및 R3는 고리를 형성하도록 연결되거나 R2 및 R3는 고리를 형성하도록 연결되지 않는다];
    c. ALD 반응기를 비활성 기체로 퍼징(purging)시키는 단계;
    d. 질소-함유 공급원을 ALD 반응기에 제공하는 단계;
    e. ALD 반응기를 비활성 기체로 퍼징시키는 단계; 및
    원하는 두께의 유전체 필름을 얻을 때까지 단계 b 내지 e를 반복하는 단계를 포함하는 방법.
  11. 제 10항에 있어서, 하나 이상의 할로겐화된 유기아미노실란 전구체가 2,6-디메틸피페리디노디클로로실란, 2,6-디메틸피페리디노클로로실란, 사이클로헥실메틸아미노클로로실란, 사이클로헥실에틸아미노클로로실란, 및 사이클로헥실-이소-프로필아미노클로로실란으로 이루어진 군으로부터 선택되는 방법.
  12. 제 11항에 있어서, 하나 이상의 할로겐화된 유기아미노실란 전구체가 2,6-디메틸피페리디노디클로로실란을 포함하는 방법.
  13. 제 11항에 있어서, 하나 이상의 할로겐화된 유기아미노실란 전구체가 2,6-디메틸피페리디노클로로실란을 포함하는 방법.
  14. 제 10항에 있어서, 질소-함유 공급원이 암모니아, 하이드라진, 모노알킬하이드라진, 디알킬하이드라진, 질소, 질소/수소, 암모니아 플라즈마, 질소 플라즈마, 질소/수소 플라즈마, 및 이들의 혼합물로 이루어진 군으로부터 선택되는 방법.
  15. 제 10항에 있어서, 유전체 필름이 실리콘 니트라이드 및 실리콘 카보니트라이드로 이루어진 군으로부터 선택되는 방법.
  16. 플라즈마 강화 원자층 증착(PEALD) 공정을 사용하여 기판의 하나 이상의 표면상에 유전체 필름을 형성하는 방법으로서, 상기 방법이,
    a. 기판을 ALD 반응기에 제공하는 단계;
    b. ALD 반응기에 하기 화학식 I을 갖는 하나 이상의 할로겐화된 유기아미노실란 전구체를 제공하는 단계:
    XmR1 nHpSi(NR2R3)4-m-n-p I
    [상기 식에서, X는 Cl, Br 및 I로 이루어진 군으로부터 선택된 할라이드이고; R1은 선형 또는 분지형의 C1 내지 C10 알킬기, C2 내지 C12의 알케닐기, C2 내지 C12 알키닐기, C4 내지 C10 사이클릭 알킬, 및 C6 내지 C10 아릴기로부터 독립적으로 선택되며; R2는 선형 또는 분지형의 C1 내지 C10 알킬기, C3 내지 C12 알케닐기, C3 내지 C12 알키닐기, C4 내지 C10 사이클릭 알킬기, 및 C6 내지 C10 아릴기로부터 선택되고; R3는 분지형의 C3 내지 C10 알킬기, C3 내지 C12 알케닐기, C3 내지 C12 알키닐기, C4 내지 C10 사이클릭 알킬기, 및 C6 내지 C10 아릴기로부터 선택되며; m은 1 또는 2이고; n은 0, 1, 또는 2이며; p는 0, 1 또는 2이고; (m+n+p)의 합은 4 미만이며, 여기서 R2 및 R3는 고리를 형성하도록 연결되거나 R2 및 R3는 고리를 형성하도록 연결되지 않는다];
    c. ALD 반응기를 비활성 기체로 퍼징시키는 단계;
    d. 플라즈마 질소-함유 공급원을 ALD 반응기에 제공하는 단계;
    e. ALD 반응기를 비활성 기체로 퍼징시키는 단계; 및
    원하는 두께의 유전체 필름을 얻을 때까지 단계 b 내지 e를 반복하는 단계를 포함하는 방법.
  17. 제 16항에 있어서, 하나 이상의 할로겐화된 유기아미노실란 전구체가 2,6-디메틸피페리디노디클로로실란, 2,6-디메틸피페리디노클로로실란, 사이클로헥실메틸아미노클로로실란, 사이클로헥실에틸아미노클로로실란, 및 사이클로헥실-이소-프로필아미노클로로실란으로 이루어진 군으로부터 선택되는 방법.
  18. 제 17항에 있어서, 하나 이상의 할로겐화된 유기아미노실란 전구체가 2,6-디메틸피페리디노디클로로실란을 포함하는 방법.
  19. 제 17항에 있어서, 하나 이상의 할로겐화된 유기아미노실란 전구체가 2,6-디메틸피페리디노클로로실란을 포함하는 방법.
  20. 제 16항에 있어서, 질소-함유 공급원이 암모니아, 하이드라진, 모노알킬하이드라진, 디알킬하이드라진, 질소, 질소/수소, 암모니아 플라즈마, 질소 플라즈마, 질소/수소 플라즈마, 및 이들의 혼합물로 이루어진 군으로부터 선택되는 방법.
  21. 제 16항에 있어서, 유전체 필름이 실리콘 니트라이드 및 실리콘 카보니트라이드로 이루어진 군으로부터 선택되는 방법.
  22. 기판상에 실리콘 옥사이드 필름을 형성하는 방법으로서, 상기 방법이,
    산화제를 하기 화학식 I로 표시되는 할로겐화된 유기아미노실란을 포함하는 전구체와 반응시키는 단계:
    XmR1 nHpSi(NR2R3)4-m-n-p I
    [상기 식에서, X는 Cl, Br 및 I로 이루어진 군으로부터 선택되는 할라이드이고; R1은 선형 또는 분지형의 C1 내지 C10 알킬기, C2 내지 C12의 알케닐기, C2 내지 C12 알키닐기, C4 내지 C10 사이클릭 알킬, 및 C6 내지 C10 아릴기로부터 독립적으로 선택되며; R2는 선형 또는 분지형의 C1 내지 C10 알킬기, C3 내지 C12 알케닐기, C3 내지 C12 알키닐기, C4 내지 C10 사이클릭 알킬기, 및 C6 내지 C10 아릴기로부터 선택되고; R3는 분지형의 C3 내지 C10 알킬기, C3 내지 C12 알케닐기, C3 내지 C12 알키닐기, C4 내지 C10 사이클릭 알킬기, 및 C6 내지 C10 아릴기로부터 선택되며; m은 1 또는 2이고; n은 0, 1, 또는 2이며; p는 0, 1 또는 2이고; (m+n+p)의 합은 4 미만이며, 여기서 R2 및 R3는 기상 증착 공정으로 고리를 형성하도록 연결되어 기판상에 실리콘 옥사이드 필름을 형성한다]를 포함하는 방법.
  23. 제 22항에 있어서, 기상 증착이 화학적 기상 증착, 저압 기상 증착, 플라즈마 강화 화학적 기상 증착, 사이클릭 화학적 기상 증착, 플라즈마 강화 사이클릭 화학적 기상 증착, 원자층 증착, 및 플라즈마 강화 원자층 증착으로부터 선택된 하나 이상으로 이루어진 군으로부터 선택되는 하나 이상인 방법.
  24. 제 22항에 있어서, 하나 이상의 할로겐화된 유기아미노실란 전구체가 2,6-디메틸피페리디노디클로로실란, 2,6-디메틸피페리디노클로로실란, 사이클로헥실메틸아미노클로로실란, 사이클로헥실에틸아미노클로로실란, 및 사이클로헥실-이소-프로필아미노클로로실란으로 이루어진 군으로부터 선택되는 방법.
  25. 제 24항에 있어서, 하나 이상의 할로겐화된 유기아미노실란 전구체가 2,6-디메틸피페리디노디클로로실란을 포함하는 방법.
  26. 제 24항에 있어서, 하나 이상의 할로겐화된 유기아미노실란 전구체가 2,6-디메틸피페리디노클로로실란을 포함하는 방법.
  27. 기판상에 실리콘 옥사이드 필름을 형성하는 방법으로서, 상기 방법이,
    기상 증착을 통해 하기 화학식 I을 갖는 하나 이상의 유기아미노실란 전구체를 포함하는 조성물로부터 기판상에 실리콘 옥사이드 필름을 형성하는 단계:
    XmR1 nHpSi(NR2R3)4-m-n-p I
    [상기 식에서, X는 Cl, Br 및 I로 이루어진 군으로부터 선택되는 할라이드이고; R1은 선형 또는 분지형의 C1 내지 C10 알킬기, C2 내지 C12의 알케닐기, C2 내지 C12 알키닐기, C4 내지 C10 사이클릭 알킬, 및 C6 내지 C10 아릴기로부터 독립적으로 선택되며; R2는 선형 또는 분지형의 C1 내지 C10 알킬기, C3 내지 C12 알케닐기, C3 내지 C12 알키닐기, C4 내지 C10 사이클릭 알킬기, 및 C6 내지 C10 아릴기로부터 선택되고; R3는 분지형의 C3 내지 C10 알킬기, C3 내지 C12 알케닐기, C3 내지 C12 알키닐기, C4 내지 C10 사이클릭 알킬기, 및 C6 내지 C10 아릴기로부터 선택되며; m은 1 또는 2이고; n은 0, 1, 또는 2이며; p는 0, 1 또는 2이고; (m+n+p)의 합은 4 미만이며, 여기서 R2 및 R3는 고리를 형성하도록 연결되거나 R2 및 R3는 고리 및 하나 이상의 산화제를 형성하도록 연결되지 않는다]를 포함하고,
    여기서 기상 증착은 화학적 기상 증착, 저압 기상 증착, 플라즈마 강화 화학적 기상 증착, 사이클릭 화학적 기상 증착, 플라즈마 강화 사이클릭 화학적 기상 증착, 원자층 증착, 및 플라즈마 강화 원자층 증착으로부터 선택되는 하나 이상인 방법.
  28. 제 27항에 있어서, 하나 이상의 할로겐화된 유기아미노실란 전구체가 2,6-디메틸피페리디노디클로로실란, 2,6-디메틸피페리디노클로로실란, 사이클로헥실메틸아미노클로로실란, 사이클로헥실에틸아미노클로로실란, 및 사이클로헥실-이소-프로필아미노클로로실란으로 이루어진 군으로부터 선택된 방법.
  29. 제 28항에 있어서, 하나 이상의 할로겐화된 유기아미노실란 전구체가 2,6-디메틸피페리디노디클로로실란을 포함하는 방법.
  30. 제 27항에 있어서, 하나 이상의 할로겐화된 유기아미노실란 전구체가 2,6-디메틸피페리디노클로로실란을 포함하는 방법.
  31. 기판상에 실리콘 옥사이드 필름을 형성하는 방법으로서, 상기 방법이,
    하기 화학식 I로 표시되는 할로겐화된 유기아미노실란을 도입하는 단계:
    XmR1 nHpSi(NR2R3)4-m-n-p I
    [상기 식에서, X는 Cl, Br 및 I로 이루어진 군으로부터 선택되는 할라이드이고; R1은 선형 또는 분지형의 C1 내지 C10 알킬기, C2 내지 C12의 알케닐기, C2 내지 C12 알키닐기, C4 내지 C10 사이클릭 알킬, 및 C6 내지 C10 아릴기로부터 독립적으로 선택되며; R2는 선형 또는 분지형의 C1 내지 C10 알킬, C3 내지 C12 알케닐기, C3 내지 C12 알키닐기, C4 내지 C10 사이클릭 알킬기, 및 C6 내지 C10 아릴기로부터 선택되고; R3는 분지형의 C3 내지 C10 알킬기, C3 내지 C12 알케닐기, C3 내지 C12 알키닐기, C4 내지 C10 사이클릭 알킬기, 및 C6 내지 C10 아릴기로부터 선택되며; m은 1 또는 2이고; n은 0, 1, 또는 2이며; p는 0, 1 또는 2이고; (m+n+p)의 합은 4 미만이며, 여기서 R2 및 R3는 고리를 형성하도록 연결되거나 R2 및 R3는 반응기 내에 고리를 형성하도록 연결되지 않는다];
    하나 이상의 산화제를 반응기 내로 도입하는 단계로서, 여기서 하나 이상의 산화제가 유기아미노실란과 반응하여 기판상에 실리콘 옥사이드 필름을 제공하는 단계를 포함하는 방법.
  32. 기판상에 실리콘 옥사이드 필름을 형성하는 방법으로서, 상기 필름이 두께를 가지며, 상기 방법이,
    a. 하기 화학식 I로 표시되는 하나 이상의 할로겐화된 유기아미노실란을 도입하는 단계:
    XmR1 nHpSi(NR2R3)4-m-n-p I
    [상기 식에서, X는 Cl, Br 및 I로 이루어진 군으로부터 선택되는 할라이드이고; R1은 선형 또는 분지형의 C1 내지 C10 알킬기, C2 내지 C12의 알케닐기, C2 내지 C12 알키닐기, C4 내지 C10 사이클릭 알킬, 및 C6 내지 C10 아릴기로부터 독립적으로 선택되며; R2는 선형 또는 분지형의 C1 내지 C10 알킬, C3 내지 C12 알케닐기, C3 내지 C12 알키닐기, C4 내지 C10 사이클릭 알킬기, 및 C6 내지 C10 아릴기로부터 선택되고; R3는 분지형의 C3 내지 C10 알킬기, C3 내지 C12 알케닐기, C3 내지 C12 알키닐기, C4 내지 C10 사이클릭 알킬기, 및 C6 내지 C10 아릴기로부터 선택되며; m은 1 또는 2이고; n은 0, 1, 또는 2이며; p는 0, 1 또는 2이고; (m+n+p)의 합은 4 미만이며, 여기서 R2 및 R3는 증착 챔버 내에 고리를 형성하도록 연결된다];
    b. 하나 이상의 할로겐화된 유기아미노실란 전구체를 기판상에 화학흡착시키는(chemisorbing) 단계;
    c. 반응하지 않은 하나 이상의 할로겐화된 유기아미노실란 전구체를 퍼지 가스(purge gas)를 사용하여 퍼징시켜내고(purging away);
    d. 산소 공급원을 가열된 기판상의 할로겐화된 유기아미노실란 전구체에 제공하여 흡수된 하나 이상의 할로겐화된 유기아미노실란전구체와 반응시키는 단계; 및
    e. 선택적으로 임의의 반응하지 않은 산소 공급원을 퍼징시켜내는 단계를 포함하는 방법.
  33. 제 32항에 있어서, 필름의 두께가 확립될 때까지 단계 a 내지 d 및 선택적인 단계 e가 반복되는 방법.
  34. 제 32항에 이어서, 하나 이상의 유기아미노실란 전구체가 2,6-디메틸피페리디노디클로로실란, 2,6-디메틸피페리디노클로로실란, 사이클로헥실메틸아미노클로로실란, 사이클로헥실에틸아미노클로로실란, 및 사이클로헥실-이소-프로필아미노클로로실란으로 이루어진 군으로부터 선택되는 방법.
  35. 제 34항에 있어서, 하나 이상의 할로겐화된 유기아미노실란 전구체가 2,6-디메틸피페리디노디클로로실란으 포함하는 방법.
  36. 제 34항에 있어서, 하나 이상의 할로겐화된 유기아미노실란 전구체가 2,6-디메틸피페리디노클로로실란을 포함하는 방법.
  37. 제 32항에 있어서, 상기 방법이 원자층 증착 공정인 방법.
  38. 제 32항에 있어서, 상기 방법이 플라즈마 강화 사이클릭 화학적 기상 증착 공정인 방법.
  39. 실리콘-함유 필름의 증착을 위해 전구체의 전달에 사용되는 용기(vessel)로서, 상기 용기가,
    하기 화학식 I로 표시되는 전구체:
    XmR1 nHpSi(NR2R3)4-m-n-p I
    [상기 식에서, X는 Cl, Br 및 I로 이루어진 군으로부터 선택되는 할라이드이고; R1은 선형 또는 분지형의 C1 내지 C10 알킬기, C2 내지 C12의 알케닐기, C2 내지 C12 알키닐기, C4 내지 C10 사이클릭 알킬, 및 C6 내지 C10 아릴기로부터 선택되며; R2는 선형 또는 분지형의 C1 내지 C10 알킬, C2 내지 C12 알케닐기, C2 내지 C12 알키닐기, C4 내지 C10 사이클릭 알킬기, 및 C6 내지 C10 아릴기로부터 선택되고; R3는 분지형의 C3 내지 C10 알킬기, C2 내지 C12 알케닐기, C2 내지 C12 알키닐기, C4 내지 C10 사이클릭 알킬기, 및 C6 내지 C10 아릴기로부터 선택되며; m은 1 또는 2이고; n은 0 또는 1이며; p는 1이고; (m+n+p)의 합은 4 미만이며, 여기서 R2 및 R3는 고리를 형성하도록 연결되지 않는다]를 포함하고;
    여기서, 전구체의 순도(purity)가 약 98% 또는 이를 초과하는 용기.
  40. 제 39항에 있어서, 용기가 스테인리스 강으로 구성되는 용기.
  41. 2,6-디메틸피페리디노클로로실란, 2,6-디메틸피페리디노디클로로실란, 디사이클로헥실아미노클로로실란, 사이클로헥실메틸아미노클로로실란, 사이클로헥실에틸아미노클로로실란, 사이클로헥실-이소-프로필아미노클로로실란, N-(클로로실릴)퍼하이드로퀴놀린, 페닐알릴아미노클로로실란, m-톨릴메틸아미노클로로실란, N-(클로로실릴)카바졸, N-(클로로실릴)-테트라하이드로퀴놀린, N-(클로로실릴)인돌, N-(클로로실릴)-2-메틸인돌, N-(클로로실릴)-3-메틸인돌, 및 N-(클로로실릴)-1,2,3,4-테트라하이드로-2-메틸퀴놀린으로 이루어진 군으로부터 선택되는 할로겐화된 유기아미노실란 전구체.
  42. 하기 화학식을 갖는 할로겐화된 유기아미노실란 전구체:
    ClH2Si(NR2R3)
    [상기 식에서, m=1이고, n=0이며, p=2이고, R2는 선형 또는 분지형의 C3 내지 C10 알킬기 및 C6 내지 C10 아릴기로부터 선택되며, R3는 분지형의 C3 내지 C10 알킬기 또는 C6 내지 C10 아릴기이고, 여기서 R2 및 R3는 사이클릭 또는 알킬 치환된 사이클릭 고리를 형성할 수 있다].
  43. 하기 화학식을 갖는 할로겐화된 유기아미노실란 전구체:
    R1ClHSi(NR3R4)
    [상기 식에서, m=1이고, n=1이며, p=1이고, R1은 C1 내지 C10 알킬기이고, R2 및 R3는 선형 또는 분지형의 C3 내지 C10 알킬기 또는 C4 내지 C10 아릴기이고, 여기서 R2 및 R3는 사이클릭 또는 알킬 치환된 사이클릭 고리를 형성할 수 있다].
  44. 하기 화학식:
    XmR1 nHpSi(NR2R3)4-m-n-p I
    [상기 식에서, X는 Cl, Br 및 I로 이루어진 군으로부터 선택되는 할라이드이고; R1은 선형 또는 분지형의 C1 내지 C10 알킬기, C2 내지 C12의 알케닐기, C2 내지 C12 알키닐기, C4 내지 C10 사이클릭 알킬, 및 C6 내지 C10 아릴기로부터 독립적으로 선택되며; R2는 선형 또는 분지형의 C1 내지 C10 알킬, C3 내지 C12 알케닐기, C3 내지 C12 알키닐기, C4 내지 C10 사이클릭 알킬기, 및 C6 내지 C10 아릴기로부터 선택되고; R3는 분지형의 C3 내지 C10 알킬기, C3 내지 C12 알케닐기, C3 내지 C12 알키닐기, C4 내지 C10 사이클릭 알킬기, 및 C6 내지 C10 아릴기로부터 선택되며; m은 1 또는 2이고; n은 0, 1 또는 2이며; p는 0, 1 또는 2이고; (m+n+p)의 합은 4 미만이며, 여기서 R2 및 R3는 고리를 형성하도록 연결되거나 R2 및 R3는 고리를 형성하도록 연결되지 않는다]; 및
    에테르, 3차 아민, 니트릴, 알킬 탄화수소, 방향족 탄화수소, 3차 아미노 에테르, 또는 이들의 혼합물로 이루어진 군으로부터 선택된 용매를 포함하는,
    유전체 필름의 증착을 위한 조성물.
KR1020120108363A 2011-09-27 2012-09-27 할로겐화된 유기아미노실란 전구체 및 이를 포함하는 필름을 증착시키기 위한 방법 KR101506940B1 (ko)

Applications Claiming Priority (4)

Application Number Priority Date Filing Date Title
US201161539717P 2011-09-27 2011-09-27
US61/539,717 2011-09-27
US13/622,117 US8993072B2 (en) 2011-09-27 2012-09-18 Halogenated organoaminosilane precursors and methods for depositing films comprising same
US13/622,117 2012-09-18

Publications (2)

Publication Number Publication Date
KR20130034001A true KR20130034001A (ko) 2013-04-04
KR101506940B1 KR101506940B1 (ko) 2015-03-31

Family

ID=46968036

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1020120108363A KR101506940B1 (ko) 2011-09-27 2012-09-27 할로겐화된 유기아미노실란 전구체 및 이를 포함하는 필름을 증착시키기 위한 방법

Country Status (6)

Country Link
US (1) US8993072B2 (ko)
EP (1) EP2574611B1 (ko)
JP (3) JP5658214B2 (ko)
KR (1) KR101506940B1 (ko)
CN (3) CN107857774A (ko)
TW (1) TWI437005B (ko)

Cited By (11)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR20160088933A (ko) * 2013-11-22 2016-07-26 어플라이드 머티어리얼스, 인코포레이티드 할로겐화된 규소 전구체들을 사용한 규소, 탄소 및 질소를 포함하는 필름들의 원자층 증착
KR20170013347A (ko) * 2014-05-30 2017-02-06 다우 코닝 코포레이션 다이아미노실란 화합물
KR20170013348A (ko) * 2014-05-30 2017-02-06 다우 코닝 코포레이션 다이아이소프로필아미노-다이실란의 합성 공정
KR20170058957A (ko) * 2014-09-23 2017-05-29 레르 리키드 쏘시에떼 아노님 뿌르 레?드 에렉스뿔라따시옹 데 프로세데 조르즈 클로드 Si-함유 막의 증착을 위한 카보실란 치환 아민 전구체 및 이의 방법
KR20170069239A (ko) * 2014-10-03 2017-06-20 어플라이드 머티어리얼스, 인코포레이티드 고온 실리콘 옥사이드 원자층 증착 기술
KR20180030548A (ko) * 2015-07-09 2018-03-23 레르 리키드 쏘시에떼 아노님 뿌르 레?드 에렉스뿔라따시옹 데 프로세데 조르즈 클로드 알킬아미노 치환 할로카보실란 전구체
US10453675B2 (en) 2013-09-20 2019-10-22 Versum Materials Us, Llc Organoaminosilane precursors and methods for depositing films comprising same
WO2020111405A1 (ko) * 2018-11-30 2020-06-04 주식회사 한솔케미칼 실리콘 전구체 및 이를 이용한 실리콘 함유 박막의 제조방법
KR20200137053A (ko) * 2015-09-11 2020-12-08 버슘머트리얼즈 유에스, 엘엘씨 콘포말한 금속 또는 메탈로이드 실리콘 니트라이드 막을 증착시키는 방법 및 얻어진 막
KR20220027287A (ko) * 2015-02-06 2022-03-07 버슘머트리얼즈 유에스, 엘엘씨 탄소 도핑된 규소 함유 필름을 위한 조성물 및 이의 사용 방법
US11267828B2 (en) 2018-11-30 2022-03-08 Hansol Chemical Co., Ltd. Silicon precursor and method of manufacturing silicon-containing thin film using the same

Families Citing this family (397)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US10378106B2 (en) 2008-11-14 2019-08-13 Asm Ip Holding B.V. Method of forming insulation film by modified PEALD
US9394608B2 (en) 2009-04-06 2016-07-19 Asm America, Inc. Semiconductor processing reactor and components thereof
US8802201B2 (en) 2009-08-14 2014-08-12 Asm America, Inc. Systems and methods for thin-film deposition of metal oxides using excited nitrogen-oxygen species
US9312155B2 (en) 2011-06-06 2016-04-12 Asm Japan K.K. High-throughput semiconductor-processing apparatus equipped with multiple dual-chamber modules
US9793148B2 (en) 2011-06-22 2017-10-17 Asm Japan K.K. Method for positioning wafers in multiple wafer transport
US10364496B2 (en) 2011-06-27 2019-07-30 Asm Ip Holding B.V. Dual section module having shared and unshared mass flow controllers
US10854498B2 (en) 2011-07-15 2020-12-01 Asm Ip Holding B.V. Wafer-supporting device and method for producing same
US20130023129A1 (en) 2011-07-20 2013-01-24 Asm America, Inc. Pressure transmitter for a semiconductor processing environment
US9017481B1 (en) 2011-10-28 2015-04-28 Asm America, Inc. Process feed management for semiconductor substrate processing
US8946830B2 (en) 2012-04-04 2015-02-03 Asm Ip Holdings B.V. Metal oxide protective layer for a semiconductor device
EP2875166B1 (en) 2012-07-20 2018-04-11 L'Air Liquide Société Anonyme pour l'Etude et l'Exploitation des Procédés Georges Claude Organosilane precursors for ald/cvd silicon-containing film applications
US9558931B2 (en) 2012-07-27 2017-01-31 Asm Ip Holding B.V. System and method for gas-phase sulfur passivation of a semiconductor surface
US9659799B2 (en) 2012-08-28 2017-05-23 Asm Ip Holding B.V. Systems and methods for dynamic semiconductor process scheduling
US9021985B2 (en) 2012-09-12 2015-05-05 Asm Ip Holdings B.V. Process gas management for an inductively-coupled plasma deposition reactor
US9324811B2 (en) 2012-09-26 2016-04-26 Asm Ip Holding B.V. Structures and devices including a tensile-stressed silicon arsenic layer and methods of forming same
US10714315B2 (en) 2012-10-12 2020-07-14 Asm Ip Holdings B.V. Semiconductor reaction chamber showerhead
US9640416B2 (en) 2012-12-26 2017-05-02 Asm Ip Holding B.V. Single-and dual-chamber module-attachable wafer-handling chamber
US20160376700A1 (en) 2013-02-01 2016-12-29 Asm Ip Holding B.V. System for treatment of deposition reactor
US9589770B2 (en) 2013-03-08 2017-03-07 Asm Ip Holding B.V. Method and systems for in-situ formation of intermediate reactive species
US9484191B2 (en) 2013-03-08 2016-11-01 Asm Ip Holding B.V. Pulsed remote plasma method and system
US9564309B2 (en) * 2013-03-14 2017-02-07 Asm Ip Holding B.V. Si precursors for deposition of SiN at low temperatures
US9824881B2 (en) * 2013-03-14 2017-11-21 Asm Ip Holding B.V. Si precursors for deposition of SiN at low temperatures
US9796739B2 (en) * 2013-06-26 2017-10-24 Versum Materials Us, Llc AZA-polysilane precursors and methods for depositing films comprising same
US8993054B2 (en) 2013-07-12 2015-03-31 Asm Ip Holding B.V. Method and system to reduce outgassing in a reaction chamber
TW201509799A (zh) 2013-07-19 2015-03-16 Air Liquide 用於ald/cvd含矽薄膜應用之六配位含矽前驅物
US9018111B2 (en) 2013-07-22 2015-04-28 Asm Ip Holding B.V. Semiconductor reaction chamber with plasma capabilities
US9793115B2 (en) 2013-08-14 2017-10-17 Asm Ip Holding B.V. Structures and devices including germanium-tin films and methods of forming same
US9284642B2 (en) * 2013-09-19 2016-03-15 Asm Ip Holding B.V. Method for forming oxide film by plasma-assisted processing
EP3049499B1 (en) * 2013-09-27 2020-07-22 L'air Liquide, Société Anonyme Pour L'Étude Et L'exploitation Des Procédés Georges Claude Amine substituted trisilylamine and tridisilylamine compounds
US9240412B2 (en) 2013-09-27 2016-01-19 Asm Ip Holding B.V. Semiconductor structure and device and methods of forming same using selective epitaxial process
US9905415B2 (en) * 2013-10-03 2018-02-27 Versum Materials Us, Llc Methods for depositing silicon nitride films
US9556516B2 (en) 2013-10-09 2017-01-31 ASM IP Holding B.V Method for forming Ti-containing film by PEALD using TDMAT or TDEAT
US9543140B2 (en) 2013-10-16 2017-01-10 Asm Ip Holding B.V. Deposition of boron and carbon containing materials
US9576790B2 (en) 2013-10-16 2017-02-21 Asm Ip Holding B.V. Deposition of boron and carbon containing materials
US10179947B2 (en) 2013-11-26 2019-01-15 Asm Ip Holding B.V. Method for forming conformal nitrided, oxidized, or carbonized dielectric film by atomic layer deposition
US9401273B2 (en) 2013-12-11 2016-07-26 Asm Ip Holding B.V. Atomic layer deposition of silicon carbon nitride based materials
JP5852147B2 (ja) * 2014-01-23 2016-02-03 株式会社日立国際電気 半導体装置の製造方法、基板処理装置、プログラム及び記録媒体
US10683571B2 (en) 2014-02-25 2020-06-16 Asm Ip Holding B.V. Gas supply manifold and method of supplying gases to chamber using same
US9447498B2 (en) 2014-03-18 2016-09-20 Asm Ip Holding B.V. Method for performing uniform processing in gas system-sharing multiple reaction chambers
US10167557B2 (en) 2014-03-18 2019-01-01 Asm Ip Holding B.V. Gas distribution system, reactor including the system, and methods of using the same
US11015245B2 (en) 2014-03-19 2021-05-25 Asm Ip Holding B.V. Gas-phase reactor and system having exhaust plenum and components thereof
US9404587B2 (en) 2014-04-24 2016-08-02 ASM IP Holding B.V Lockout tagout for semiconductor vacuum valve
CN106459098A (zh) 2014-05-30 2017-02-22 道康宁公司 单氨基硅烷化合物
US10113234B2 (en) * 2014-07-21 2018-10-30 Applied Materials, Inc. UV assisted silylation for porous low-k film sealing
US10858737B2 (en) 2014-07-28 2020-12-08 Asm Ip Holding B.V. Showerhead assembly and components thereof
US9543180B2 (en) 2014-08-01 2017-01-10 Asm Ip Holding B.V. Apparatus and method for transporting wafers between wafer carrier and process tool under vacuum
US9890456B2 (en) 2014-08-21 2018-02-13 Asm Ip Holding B.V. Method and system for in situ formation of gas-phase compounds
US9576792B2 (en) 2014-09-17 2017-02-21 Asm Ip Holding B.V. Deposition of SiN
US9657845B2 (en) 2014-10-07 2017-05-23 Asm Ip Holding B.V. Variable conductance gas distribution apparatus and method
US10941490B2 (en) 2014-10-07 2021-03-09 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
KR102300403B1 (ko) 2014-11-19 2021-09-09 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
US10570513B2 (en) 2014-12-13 2020-02-25 American Air Liquide, Inc. Organosilane precursors for ALD/CVD silicon-containing film applications and methods of using the same
KR102263121B1 (ko) 2014-12-22 2021-06-09 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 및 그 제조 방법
US9520295B2 (en) * 2015-02-03 2016-12-13 Lam Research Corporation Metal doping of amorphous carbon and silicon films used as hardmasks in substrate processing systems
US9478415B2 (en) 2015-02-13 2016-10-25 Asm Ip Holding B.V. Method for forming film having low resistance and shallow junction depth
KR102655396B1 (ko) 2015-02-23 2024-04-04 어플라이드 머티어리얼스, 인코포레이티드 고품질 얇은 필름들을 형성하기 위한 사이클식 순차 프로세스들
US10529542B2 (en) 2015-03-11 2020-01-07 Asm Ip Holdings B.V. Cross-flow reactor and method
US10276355B2 (en) 2015-03-12 2019-04-30 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
JP6959147B2 (ja) * 2015-06-16 2021-11-02 バーサム マテリアルズ ユーエス,リミティド ライアビリティ カンパニー ハライドシラン化合物及び組成物並びにそれを使用してケイ素含有膜を堆積するためのプロセス
US10458018B2 (en) 2015-06-26 2019-10-29 Asm Ip Holding B.V. Structures including metal carbide material, devices including the structures, and methods of forming same
US10600673B2 (en) 2015-07-07 2020-03-24 Asm Ip Holding B.V. Magnetic susceptor to baseplate seal
US10043661B2 (en) 2015-07-13 2018-08-07 Asm Ip Holding B.V. Method for protecting layer by forming hydrocarbon-based extremely thin film
US9899291B2 (en) 2015-07-13 2018-02-20 Asm Ip Holding B.V. Method for protecting layer by forming hydrocarbon-based extremely thin film
US10745808B2 (en) * 2015-07-24 2020-08-18 Versum Materials Us, Llc Methods for depositing Group 13 metal or metalloid nitride films
US10083836B2 (en) 2015-07-24 2018-09-25 Asm Ip Holding B.V. Formation of boron-doped titanium metal films with high work function
US10087525B2 (en) 2015-08-04 2018-10-02 Asm Ip Holding B.V. Variable gap hard stop design
US9647114B2 (en) 2015-08-14 2017-05-09 Asm Ip Holding B.V. Methods of forming highly p-type doped germanium tin films and structures and devices including the films
US10410857B2 (en) 2015-08-24 2019-09-10 Asm Ip Holding B.V. Formation of SiN thin films
US9711345B2 (en) 2015-08-25 2017-07-18 Asm Ip Holding B.V. Method for forming aluminum nitride-based film by PEALD
US9960072B2 (en) 2015-09-29 2018-05-01 Asm Ip Holding B.V. Variable adjustment for precise matching of multiple chamber cavity housings
US9909214B2 (en) 2015-10-15 2018-03-06 Asm Ip Holding B.V. Method for depositing dielectric film in trenches by PEALD
US10211308B2 (en) 2015-10-21 2019-02-19 Asm Ip Holding B.V. NbMC layers
US10322384B2 (en) 2015-11-09 2019-06-18 Asm Ip Holding B.V. Counter flow mixer for process chamber
US9455138B1 (en) 2015-11-10 2016-09-27 Asm Ip Holding B.V. Method for forming dielectric film in trenches by PEALD using H-containing gas
US9905420B2 (en) 2015-12-01 2018-02-27 Asm Ip Holding B.V. Methods of forming silicon germanium tin films and structures and devices including the films
US9607837B1 (en) 2015-12-21 2017-03-28 Asm Ip Holding B.V. Method for forming silicon oxide cap layer for solid state diffusion process
JP6594768B2 (ja) * 2015-12-25 2019-10-23 株式会社Kokusai Electric 半導体装置の製造方法、基板処理装置、プログラムおよび記録媒体
US9735024B2 (en) 2015-12-28 2017-08-15 Asm Ip Holding B.V. Method of atomic layer etching using functional group-containing fluorocarbon
US9627221B1 (en) 2015-12-28 2017-04-18 Asm Ip Holding B.V. Continuous process incorporating atomic layer etching
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
US10053775B2 (en) 2015-12-30 2018-08-21 L'air Liquide, Societé Anonyme Pour L'etude Et L'exploitation Des Procédés Georges Claude Methods of using amino(bromo)silane precursors for ALD/CVD silicon-containing film applications
US9777373B2 (en) 2015-12-30 2017-10-03 American Air Liquide, Inc. Amino(iodo)silane precursors for ALD/CVD silicon-containing film applications and methods of using the same
US9701695B1 (en) 2015-12-30 2017-07-11 American Air Liquide, Inc. Synthesis methods for amino(halo)silanes
US10468251B2 (en) 2016-02-19 2019-11-05 Asm Ip Holding B.V. Method for forming spacers using silicon nitride film for spacer-defined multiple patterning
US9754779B1 (en) 2016-02-19 2017-09-05 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US10529554B2 (en) 2016-02-19 2020-01-07 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US10501866B2 (en) 2016-03-09 2019-12-10 Asm Ip Holding B.V. Gas distribution apparatus for improved film uniformity in an epitaxial system
US10343920B2 (en) 2016-03-18 2019-07-09 Asm Ip Holding B.V. Aligned carbon nanotubes
TWI753794B (zh) 2016-03-23 2022-01-21 法商液態空氣喬治斯克勞帝方法研究開發股份有限公司 形成含矽膜之組成物及其製法與用途
US9892913B2 (en) 2016-03-24 2018-02-13 Asm Ip Holding B.V. Radial and thickness control via biased multi-port injection settings
JP6784530B2 (ja) * 2016-03-29 2020-11-11 東京エレクトロン株式会社 被処理体を処理する方法
KR102362282B1 (ko) 2016-03-29 2022-02-11 도쿄엘렉트론가부시키가이샤 피처리체를 처리하는 방법
WO2017170405A1 (ja) * 2016-03-29 2017-10-05 東京エレクトロン株式会社 被処理体を処理する方法
JP6770848B2 (ja) 2016-03-29 2020-10-21 東京エレクトロン株式会社 被処理体を処理する方法
US10190213B2 (en) 2016-04-21 2019-01-29 Asm Ip Holding B.V. Deposition of metal borides
US10865475B2 (en) 2016-04-21 2020-12-15 Asm Ip Holding B.V. Deposition of metal borides and silicides
US10087522B2 (en) 2016-04-21 2018-10-02 Asm Ip Holding B.V. Deposition of metal borides
US10367080B2 (en) 2016-05-02 2019-07-30 Asm Ip Holding B.V. Method of forming a germanium oxynitride film
US10032628B2 (en) 2016-05-02 2018-07-24 Asm Ip Holding B.V. Source/drain performance through conformal solid state doping
KR102592471B1 (ko) 2016-05-17 2023-10-20 에이에스엠 아이피 홀딩 비.브이. 금속 배선 형성 방법 및 이를 이용한 반도체 장치의 제조 방법
US11453943B2 (en) 2016-05-25 2022-09-27 Asm Ip Holding B.V. Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor
KR102441431B1 (ko) * 2016-06-06 2022-09-06 어플라이드 머티어리얼스, 인코포레이티드 표면을 갖는 기판을 프로세싱 챔버에 포지셔닝하는 단계를 포함하는 프로세싱 방법
US10388509B2 (en) 2016-06-28 2019-08-20 Asm Ip Holding B.V. Formation of epitaxial layers via dislocation filtering
US9859151B1 (en) 2016-07-08 2018-01-02 Asm Ip Holding B.V. Selective film deposition method to form air gaps
US10612137B2 (en) 2016-07-08 2020-04-07 Asm Ip Holdings B.V. Organic reactants for atomic layer deposition
US9793135B1 (en) 2016-07-14 2017-10-17 ASM IP Holding B.V Method of cyclic dry etching using etchant film
US10714385B2 (en) 2016-07-19 2020-07-14 Asm Ip Holding B.V. Selective deposition of tungsten
US10381226B2 (en) 2016-07-27 2019-08-13 Asm Ip Holding B.V. Method of processing substrate
KR102532607B1 (ko) 2016-07-28 2023-05-15 에이에스엠 아이피 홀딩 비.브이. 기판 가공 장치 및 그 동작 방법
US9812320B1 (en) 2016-07-28 2017-11-07 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10395919B2 (en) 2016-07-28 2019-08-27 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10177025B2 (en) 2016-07-28 2019-01-08 Asm Ip Holding B.V. Method and apparatus for filling a gap
US9887082B1 (en) 2016-07-28 2018-02-06 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10090316B2 (en) 2016-09-01 2018-10-02 Asm Ip Holding B.V. 3D stacked multilayer semiconductor memory using doped select transistor channel
TWI746624B (zh) * 2016-09-01 2021-11-21 美商Asm Ip控股公司 形成碳氫基底極薄膜之保護層的方法
US10410943B2 (en) 2016-10-13 2019-09-10 Asm Ip Holding B.V. Method for passivating a surface of a semiconductor and related systems
US10464953B2 (en) * 2016-10-14 2019-11-05 Versum Materials Us, Llc Carbon bridged aminosilane compounds for high growth rate silicon-containing films
CN109890997A (zh) * 2016-10-25 2019-06-14 巴斯夫欧洲公司 产生薄含硅膜的方法
US10643826B2 (en) 2016-10-26 2020-05-05 Asm Ip Holdings B.V. Methods for thermally calibrating reaction chambers
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
US10435790B2 (en) 2016-11-01 2019-10-08 Asm Ip Holding B.V. Method of subatmospheric plasma-enhanced ALD using capacitively coupled electrodes with narrow gap
US10714350B2 (en) 2016-11-01 2020-07-14 ASM IP Holdings, B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10643904B2 (en) 2016-11-01 2020-05-05 Asm Ip Holdings B.V. Methods for forming a semiconductor device and related semiconductor device structures
US10229833B2 (en) 2016-11-01 2019-03-12 Asm Ip Holding B.V. Methods for forming a transition metal nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10134757B2 (en) 2016-11-07 2018-11-20 Asm Ip Holding B.V. Method of processing a substrate and a device manufactured by using the method
KR102546317B1 (ko) 2016-11-15 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기체 공급 유닛 및 이를 포함하는 기판 처리 장치
US10340135B2 (en) 2016-11-28 2019-07-02 Asm Ip Holding B.V. Method of topologically restricted plasma-enhanced cyclic deposition of silicon or metal nitride
KR20180068582A (ko) 2016-12-14 2018-06-22 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11447861B2 (en) 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
US9916980B1 (en) 2016-12-15 2018-03-13 Asm Ip Holding B.V. Method of forming a structure on a substrate
US11581186B2 (en) 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
KR102700194B1 (ko) 2016-12-19 2024-08-28 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10269558B2 (en) 2016-12-22 2019-04-23 Asm Ip Holding B.V. Method of forming a structure on a substrate
US10867788B2 (en) 2016-12-28 2020-12-15 Asm Ip Holding B.V. Method of forming a structure on a substrate
US11390950B2 (en) 2017-01-10 2022-07-19 Asm Ip Holding B.V. Reactor system and method to reduce residue buildup during a film deposition process
JP6857503B2 (ja) 2017-02-01 2021-04-14 株式会社Kokusai Electric 半導体装置の製造方法、基板処理装置およびプログラム
US10655221B2 (en) 2017-02-09 2020-05-19 Asm Ip Holding B.V. Method for depositing oxide film by thermal ALD and PEALD
US10468261B2 (en) 2017-02-15 2019-11-05 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
US10529563B2 (en) 2017-03-29 2020-01-07 Asm Ip Holdings B.V. Method for forming doped metal oxide films on a substrate by cyclical deposition and related semiconductor device structures
US10283353B2 (en) 2017-03-29 2019-05-07 Asm Ip Holding B.V. Method of reforming insulating film deposited on substrate with recess pattern
US10103040B1 (en) 2017-03-31 2018-10-16 Asm Ip Holding B.V. Apparatus and method for manufacturing a semiconductor device
US11117161B2 (en) 2017-04-05 2021-09-14 Nova Engineering Films, Inc. Producing thin films of nanoscale thickness by spraying precursor and supercritical fluid
KR102323894B1 (ko) 2017-04-05 2021-11-08 이상인 초임계 유체를 사용하는 전구체의 스프레이에 의한 물질의 증착
USD830981S1 (en) 2017-04-07 2018-10-16 Asm Ip Holding B.V. Susceptor for semiconductor substrate processing apparatus
KR102457289B1 (ko) 2017-04-25 2022-10-21 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10770286B2 (en) 2017-05-08 2020-09-08 Asm Ip Holdings B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
US10892156B2 (en) 2017-05-08 2021-01-12 Asm Ip Holding B.V. Methods for forming a silicon nitride film on a substrate and related semiconductor device structures
US10446393B2 (en) 2017-05-08 2019-10-15 Asm Ip Holding B.V. Methods for forming silicon-containing epitaxial layers and related semiconductor device structures
US10504742B2 (en) 2017-05-31 2019-12-10 Asm Ip Holding B.V. Method of atomic layer etching using hydrogen plasma
US11056353B2 (en) 2017-06-01 2021-07-06 Asm Ip Holding B.V. Method and structure for wet etch utilizing etch protection layer comprising boron and carbon
US10886123B2 (en) 2017-06-02 2021-01-05 Asm Ip Holding B.V. Methods for forming low temperature semiconductor layers and related semiconductor device structures
US12040200B2 (en) 2017-06-20 2024-07-16 Asm Ip Holding B.V. Semiconductor processing apparatus and methods for calibrating a semiconductor processing apparatus
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
US10685834B2 (en) 2017-07-05 2020-06-16 Asm Ip Holdings B.V. Methods for forming a silicon germanium tin layer and related semiconductor device structures
KR20190009245A (ko) 2017-07-18 2019-01-28 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 구조물 형성 방법 및 관련된 반도체 소자 구조물
US11374112B2 (en) 2017-07-19 2022-06-28 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US10541333B2 (en) 2017-07-19 2020-01-21 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US11018002B2 (en) 2017-07-19 2021-05-25 Asm Ip Holding B.V. Method for selectively depositing a Group IV semiconductor and related semiconductor device structures
US10312055B2 (en) 2017-07-26 2019-06-04 Asm Ip Holding B.V. Method of depositing film by PEALD using negative bias
US10590535B2 (en) 2017-07-26 2020-03-17 Asm Ip Holdings B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US10605530B2 (en) 2017-07-26 2020-03-31 Asm Ip Holding B.V. Assembly of a liner and a flange for a vertical furnace as well as the liner and the vertical furnace
US10692741B2 (en) 2017-08-08 2020-06-23 Asm Ip Holdings B.V. Radiation shield
US10770336B2 (en) 2017-08-08 2020-09-08 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11139191B2 (en) 2017-08-09 2021-10-05 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US10249524B2 (en) 2017-08-09 2019-04-02 Asm Ip Holding B.V. Cassette holder assembly for a substrate cassette and holding member for use in such assembly
US10236177B1 (en) 2017-08-22 2019-03-19 ASM IP Holding B.V.. Methods for depositing a doped germanium tin semiconductor and related semiconductor device structures
USD900036S1 (en) 2017-08-24 2020-10-27 Asm Ip Holding B.V. Heater electrical connector and adapter
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
KR102491945B1 (ko) 2017-08-30 2023-01-26 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
US11056344B2 (en) 2017-08-30 2021-07-06 Asm Ip Holding B.V. Layer forming method
KR102401446B1 (ko) 2017-08-31 2022-05-24 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10607895B2 (en) 2017-09-18 2020-03-31 Asm Ip Holdings B.V. Method for forming a semiconductor device structure comprising a gate fill metal
KR102630301B1 (ko) 2017-09-21 2024-01-29 에이에스엠 아이피 홀딩 비.브이. 침투성 재료의 순차 침투 합성 방법 처리 및 이를 이용하여 형성된 구조물 및 장치
US10844484B2 (en) 2017-09-22 2020-11-24 Asm Ip Holding B.V. Apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US10658205B2 (en) 2017-09-28 2020-05-19 Asm Ip Holdings B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
WO2019069737A1 (ja) * 2017-10-04 2019-04-11 Dic株式会社 粒子、インクおよび発光素子
US10403504B2 (en) 2017-10-05 2019-09-03 Asm Ip Holding B.V. Method for selectively depositing a metallic film on a substrate
US10319588B2 (en) 2017-10-10 2019-06-11 Asm Ip Holding B.V. Method for depositing a metal chalcogenide on a substrate by cyclical deposition
US10923344B2 (en) 2017-10-30 2021-02-16 Asm Ip Holding B.V. Methods for forming a semiconductor structure and related semiconductor structures
KR102103346B1 (ko) * 2017-11-15 2020-04-22 에스케이트리켐 주식회사 박막 증착용 전구체 용액 및 이를 이용한 박막 형성 방법.
US10910262B2 (en) 2017-11-16 2021-02-02 Asm Ip Holding B.V. Method of selectively depositing a capping layer structure on a semiconductor device structure
KR102443047B1 (ko) 2017-11-16 2022-09-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 방법 및 그에 의해 제조된 장치
US11022879B2 (en) 2017-11-24 2021-06-01 Asm Ip Holding B.V. Method of forming an enhanced unexposed photoresist layer
JP7206265B2 (ja) 2017-11-27 2023-01-17 エーエスエム アイピー ホールディング ビー.ブイ. クリーン・ミニエンバイロメントを備える装置
WO2019103613A1 (en) 2017-11-27 2019-05-31 Asm Ip Holding B.V. A storage device for storing wafer cassettes for use with a batch furnace
US10290508B1 (en) 2017-12-05 2019-05-14 Asm Ip Holding B.V. Method for forming vertical spacers for spacer-defined patterning
US10640523B2 (en) 2018-01-12 2020-05-05 Entegris, Inc. Aminoiodosilanes and methods of synthesizing these aminoiodosilanes
US10872771B2 (en) 2018-01-16 2020-12-22 Asm Ip Holding B. V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
KR102695659B1 (ko) 2018-01-19 2024-08-14 에이에스엠 아이피 홀딩 비.브이. 플라즈마 보조 증착에 의해 갭 충진 층을 증착하는 방법
TWI799494B (zh) 2018-01-19 2023-04-21 荷蘭商Asm 智慧財產控股公司 沈積方法
USD903477S1 (en) 2018-01-24 2020-12-01 Asm Ip Holdings B.V. Metal clamp
US11018047B2 (en) 2018-01-25 2021-05-25 Asm Ip Holding B.V. Hybrid lift pin
USD880437S1 (en) 2018-02-01 2020-04-07 Asm Ip Holding B.V. Gas supply plate for semiconductor manufacturing apparatus
US10535516B2 (en) 2018-02-01 2020-01-14 Asm Ip Holdings B.V. Method for depositing a semiconductor structure on a surface of a substrate and related semiconductor structures
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
US10896820B2 (en) 2018-02-14 2021-01-19 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
WO2019158960A1 (en) 2018-02-14 2019-08-22 Asm Ip Holding B.V. A method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
US10731249B2 (en) 2018-02-15 2020-08-04 Asm Ip Holding B.V. Method of forming a transition metal containing film on a substrate by a cyclical deposition process, a method for supplying a transition metal halide compound to a reaction chamber, and related vapor deposition apparatus
US10658181B2 (en) 2018-02-20 2020-05-19 Asm Ip Holding B.V. Method of spacer-defined direct patterning in semiconductor fabrication
KR102636427B1 (ko) 2018-02-20 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 장치
US10975470B2 (en) 2018-02-23 2021-04-13 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
US11629406B2 (en) 2018-03-09 2023-04-18 Asm Ip Holding B.V. Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate
US11114283B2 (en) 2018-03-16 2021-09-07 Asm Ip Holding B.V. Reactor, system including the reactor, and methods of manufacturing and using same
KR102646467B1 (ko) 2018-03-27 2024-03-11 에이에스엠 아이피 홀딩 비.브이. 기판 상에 전극을 형성하는 방법 및 전극을 포함하는 반도체 소자 구조
US11088002B2 (en) 2018-03-29 2021-08-10 Asm Ip Holding B.V. Substrate rack and a substrate processing system and method
US10510536B2 (en) 2018-03-29 2019-12-17 Asm Ip Holding B.V. Method of depositing a co-doped polysilicon film on a surface of a substrate within a reaction chamber
US11230766B2 (en) 2018-03-29 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102501472B1 (ko) 2018-03-30 2023-02-20 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
US10580645B2 (en) 2018-04-30 2020-03-03 Asm Ip Holding B.V. Plasma enhanced atomic layer deposition (PEALD) of SiN using silicon-hydrohalide precursors
TWI843623B (zh) 2018-05-08 2024-05-21 荷蘭商Asm Ip私人控股有限公司 藉由循環沉積製程於基板上沉積氧化物膜之方法及相關裝置結構
US12025484B2 (en) 2018-05-08 2024-07-02 Asm Ip Holding B.V. Thin film forming method
TW202349473A (zh) 2018-05-11 2023-12-16 荷蘭商Asm Ip私人控股有限公司 用於基板上形成摻雜金屬碳化物薄膜之方法及相關半導體元件結構
KR102596988B1 (ko) 2018-05-28 2023-10-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 그에 의해 제조된 장치
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
TWI840362B (zh) 2018-06-04 2024-05-01 荷蘭商Asm Ip私人控股有限公司 水氣降低的晶圓處置腔室
US11286562B2 (en) 2018-06-08 2022-03-29 Asm Ip Holding B.V. Gas-phase chemical reactor and method of using same
KR102568797B1 (ko) 2018-06-21 2023-08-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 시스템
US10797133B2 (en) 2018-06-21 2020-10-06 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
TW202409324A (zh) 2018-06-27 2024-03-01 荷蘭商Asm Ip私人控股有限公司 用於形成含金屬材料之循環沉積製程
US11499222B2 (en) 2018-06-27 2022-11-15 Asm Ip Holding B.V. Cyclic deposition methods for forming metal-containing material and films and structures including the metal-containing material
US10612136B2 (en) 2018-06-29 2020-04-07 ASM IP Holding, B.V. Temperature-controlled flange and reactor system including same
KR102686758B1 (ko) 2018-06-29 2024-07-18 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10755922B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10388513B1 (en) 2018-07-03 2019-08-20 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10767789B2 (en) 2018-07-16 2020-09-08 Asm Ip Holding B.V. Diaphragm valves, valve components, and methods for forming valve components
US10483099B1 (en) 2018-07-26 2019-11-19 Asm Ip Holding B.V. Method for forming thermally stable organosilicon polymer film
US11053591B2 (en) 2018-08-06 2021-07-06 Asm Ip Holding B.V. Multi-port gas injection system and reactor system including same
US10883175B2 (en) 2018-08-09 2021-01-05 Asm Ip Holding B.V. Vertical furnace for processing substrates and a liner for use therein
JP7265446B2 (ja) * 2018-08-10 2023-04-26 住友精化株式会社 アミノシラン化合物、前記アミノシラン化合物を含むシリコン含有膜形成用の組成物
CN110824599B (zh) 2018-08-14 2021-09-03 白金科技股份有限公司 一种红外带通滤波器
US10829852B2 (en) 2018-08-16 2020-11-10 Asm Ip Holding B.V. Gas distribution device for a wafer processing apparatus
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US11024523B2 (en) 2018-09-11 2021-06-01 Asm Ip Holding B.V. Substrate processing apparatus and method
TWI728456B (zh) 2018-09-11 2021-05-21 荷蘭商Asm Ip私人控股有限公司 相對於基板的薄膜沉積方法
JP6980624B2 (ja) * 2018-09-13 2021-12-15 株式会社Kokusai Electric 半導体装置の製造方法、基板処理方法、基板処理装置およびプログラム
US11049751B2 (en) 2018-09-14 2021-06-29 Asm Ip Holding B.V. Cassette supply system to store and handle cassettes and processing apparatus equipped therewith
CN110970344A (zh) 2018-10-01 2020-04-07 Asm Ip控股有限公司 衬底保持设备、包含所述设备的系统及其使用方法
US11232963B2 (en) 2018-10-03 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102592699B1 (ko) 2018-10-08 2023-10-23 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 박막 증착 장치와 기판 처리 장치
US10847365B2 (en) 2018-10-11 2020-11-24 Asm Ip Holding B.V. Method of forming conformal silicon carbide film by cyclic CVD
US10811256B2 (en) 2018-10-16 2020-10-20 Asm Ip Holding B.V. Method for etching a carbon-containing feature
KR102605121B1 (ko) 2018-10-19 2023-11-23 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
KR102546322B1 (ko) 2018-10-19 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
USD948463S1 (en) 2018-10-24 2022-04-12 Asm Ip Holding B.V. Susceptor for semiconductor substrate supporting apparatus
US10381219B1 (en) 2018-10-25 2019-08-13 Asm Ip Holding B.V. Methods for forming a silicon nitride film
US11087997B2 (en) 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
KR20200051105A (ko) 2018-11-02 2020-05-13 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 기판 처리 장치
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US11031242B2 (en) 2018-11-07 2021-06-08 Asm Ip Holding B.V. Methods for depositing a boron doped silicon germanium film
US10818758B2 (en) 2018-11-16 2020-10-27 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US10847366B2 (en) 2018-11-16 2020-11-24 Asm Ip Holding B.V. Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process
US10559458B1 (en) 2018-11-26 2020-02-11 Asm Ip Holding B.V. Method of forming oxynitride film
US12040199B2 (en) 2018-11-28 2024-07-16 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
US11217444B2 (en) 2018-11-30 2022-01-04 Asm Ip Holding B.V. Method for forming an ultraviolet radiation responsive metal oxide-containing film
KR102636428B1 (ko) 2018-12-04 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치를 세정하는 방법
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
JP7504584B2 (ja) 2018-12-14 2024-06-24 エーエスエム・アイピー・ホールディング・ベー・フェー 窒化ガリウムの選択的堆積を用いてデバイス構造体を形成する方法及びそのためのシステム
JP7164789B2 (ja) * 2018-12-21 2022-11-02 レール・リキード-ソシエテ・アノニム・プール・レテュード・エ・レクスプロワタシオン・デ・プロセデ・ジョルジュ・クロード 550℃以上の温度でALDを使用してSi含有膜を堆積させるための前駆体及びプロセス
TWI819180B (zh) 2019-01-17 2023-10-21 荷蘭商Asm 智慧財產控股公司 藉由循環沈積製程於基板上形成含過渡金屬膜之方法
KR20200091543A (ko) 2019-01-22 2020-07-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
CN111524788B (zh) 2019-02-01 2023-11-24 Asm Ip私人控股有限公司 氧化硅的拓扑选择性膜形成的方法
TWI838458B (zh) 2019-02-20 2024-04-11 荷蘭商Asm Ip私人控股有限公司 用於3d nand應用中之插塞填充沉積之設備及方法
KR102626263B1 (ko) 2019-02-20 2024-01-16 에이에스엠 아이피 홀딩 비.브이. 처리 단계를 포함하는 주기적 증착 방법 및 이를 위한 장치
TWI845607B (zh) 2019-02-20 2024-06-21 荷蘭商Asm Ip私人控股有限公司 用來填充形成於基材表面內之凹部的循環沉積方法及設備
TW202044325A (zh) 2019-02-20 2020-12-01 荷蘭商Asm Ip私人控股有限公司 填充一基板之一表面內所形成的一凹槽的方法、根據其所形成之半導體結構、及半導體處理設備
TWI842826B (zh) 2019-02-22 2024-05-21 荷蘭商Asm Ip私人控股有限公司 基材處理設備及處理基材之方法
KR20200108242A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. 실리콘 질화물 층을 선택적으로 증착하는 방법, 및 선택적으로 증착된 실리콘 질화물 층을 포함하는 구조체
US11742198B2 (en) 2019-03-08 2023-08-29 Asm Ip Holding B.V. Structure including SiOCN layer and method of forming same
KR20200108243A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOC 층을 포함한 구조체 및 이의 형성 방법
KR20200116033A (ko) 2019-03-28 2020-10-08 에이에스엠 아이피 홀딩 비.브이. 도어 개방기 및 이를 구비한 기판 처리 장치
KR20200116855A (ko) 2019-04-01 2020-10-13 에이에스엠 아이피 홀딩 비.브이. 반도체 소자를 제조하는 방법
KR20200123380A (ko) 2019-04-19 2020-10-29 에이에스엠 아이피 홀딩 비.브이. 층 형성 방법 및 장치
KR20200125453A (ko) 2019-04-24 2020-11-04 에이에스엠 아이피 홀딩 비.브이. 기상 반응기 시스템 및 이를 사용하는 방법
KR20200130118A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 비정질 탄소 중합체 막을 개질하는 방법
KR20200130121A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 딥 튜브가 있는 화학물질 공급원 용기
KR20200130652A (ko) 2019-05-10 2020-11-19 에이에스엠 아이피 홀딩 비.브이. 표면 상에 재료를 증착하는 방법 및 본 방법에 따라 형성된 구조
JP2020188254A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
JP2020188255A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
USD935572S1 (en) 2019-05-24 2021-11-09 Asm Ip Holding B.V. Gas channel plate
USD922229S1 (en) 2019-06-05 2021-06-15 Asm Ip Holding B.V. Device for controlling a temperature of a gas supply unit
KR20200141002A (ko) 2019-06-06 2020-12-17 에이에스엠 아이피 홀딩 비.브이. 배기 가스 분석을 포함한 기상 반응기 시스템을 사용하는 방법
KR20200143254A (ko) 2019-06-11 2020-12-23 에이에스엠 아이피 홀딩 비.브이. 개질 가스를 사용하여 전자 구조를 형성하는 방법, 상기 방법을 수행하기 위한 시스템, 및 상기 방법을 사용하여 형성되는 구조
USD944946S1 (en) 2019-06-14 2022-03-01 Asm Ip Holding B.V. Shower plate
USD931978S1 (en) 2019-06-27 2021-09-28 Asm Ip Holding B.V. Showerhead vacuum transport
KR20210005515A (ko) 2019-07-03 2021-01-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치용 온도 제어 조립체 및 이를 사용하는 방법
JP7499079B2 (ja) 2019-07-09 2024-06-13 エーエスエム・アイピー・ホールディング・ベー・フェー 同軸導波管を用いたプラズマ装置、基板処理方法
CN112216646A (zh) 2019-07-10 2021-01-12 Asm Ip私人控股有限公司 基板支撑组件及包括其的基板处理装置
KR20210010307A (ko) 2019-07-16 2021-01-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210010820A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 실리콘 게르마늄 구조를 형성하는 방법
KR20210010816A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 라디칼 보조 점화 플라즈마 시스템 및 방법
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
TWI839544B (zh) 2019-07-19 2024-04-21 荷蘭商Asm Ip私人控股有限公司 形成形貌受控的非晶碳聚合物膜之方法
CN112309843A (zh) 2019-07-29 2021-02-02 Asm Ip私人控股有限公司 实现高掺杂剂掺入的选择性沉积方法
CN112309899A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
CN112309900A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
CN118422165A (zh) 2019-08-05 2024-08-02 Asm Ip私人控股有限公司 用于化学源容器的液位传感器
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
JP2021031769A (ja) 2019-08-21 2021-03-01 エーエスエム アイピー ホールディング ビー.ブイ. 成膜原料混合ガス生成装置及び成膜装置
USD930782S1 (en) 2019-08-22 2021-09-14 Asm Ip Holding B.V. Gas distributor
USD940837S1 (en) 2019-08-22 2022-01-11 Asm Ip Holding B.V. Electrode
KR20210024423A (ko) 2019-08-22 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 홀을 구비한 구조체를 형성하기 위한 방법
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
USD949319S1 (en) 2019-08-22 2022-04-19 Asm Ip Holding B.V. Exhaust duct
KR20210024420A (ko) 2019-08-23 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 비스(디에틸아미노)실란을 사용하여 peald에 의해 개선된 품질을 갖는 실리콘 산화물 막을 증착하기 위한 방법
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
KR20210029090A (ko) 2019-09-04 2021-03-15 에이에스엠 아이피 홀딩 비.브이. 희생 캡핑 층을 이용한 선택적 증착 방법
KR20210029663A (ko) 2019-09-05 2021-03-16 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
CN112593212B (zh) 2019-10-02 2023-12-22 Asm Ip私人控股有限公司 通过循环等离子体增强沉积工艺形成拓扑选择性氧化硅膜的方法
KR20210042810A (ko) 2019-10-08 2021-04-20 에이에스엠 아이피 홀딩 비.브이. 활성 종을 이용하기 위한 가스 분배 어셈블리를 포함한 반응기 시스템 및 이를 사용하는 방법
CN112635282A (zh) 2019-10-08 2021-04-09 Asm Ip私人控股有限公司 具有连接板的基板处理装置、基板处理方法
KR20210043460A (ko) 2019-10-10 2021-04-21 에이에스엠 아이피 홀딩 비.브이. 포토레지스트 하부층을 형성하기 위한 방법 및 이를 포함한 구조체
US12009241B2 (en) 2019-10-14 2024-06-11 Asm Ip Holding B.V. Vertical batch furnace assembly with detector to detect cassette
TWI834919B (zh) 2019-10-16 2024-03-11 荷蘭商Asm Ip私人控股有限公司 氧化矽之拓撲選擇性膜形成之方法
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
KR20210047808A (ko) 2019-10-21 2021-04-30 에이에스엠 아이피 홀딩 비.브이. 막을 선택적으로 에칭하기 위한 장치 및 방법
KR20210050453A (ko) 2019-10-25 2021-05-07 에이에스엠 아이피 홀딩 비.브이. 기판 표면 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
KR20210054983A (ko) 2019-11-05 2021-05-14 에이에스엠 아이피 홀딩 비.브이. 도핑된 반도체 층을 갖는 구조체 및 이를 형성하기 위한 방법 및 시스템
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
KR20210062561A (ko) 2019-11-20 2021-05-31 에이에스엠 아이피 홀딩 비.브이. 기판의 표면 상에 탄소 함유 물질을 증착하는 방법, 상기 방법을 사용하여 형성된 구조물, 및 상기 구조물을 형성하기 위한 시스템
CN112951697A (zh) 2019-11-26 2021-06-11 Asm Ip私人控股有限公司 基板处理设备
KR20210065848A (ko) 2019-11-26 2021-06-04 에이에스엠 아이피 홀딩 비.브이. 제1 유전체 표면과 제2 금속성 표면을 포함한 기판 상에 타겟 막을 선택적으로 형성하기 위한 방법
CN112885693A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
CN112885692A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
JP7527928B2 (ja) 2019-12-02 2024-08-05 エーエスエム・アイピー・ホールディング・ベー・フェー 基板処理装置、基板処理方法
KR20210070898A (ko) 2019-12-04 2021-06-15 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
TW202125596A (zh) 2019-12-17 2021-07-01 荷蘭商Asm Ip私人控股有限公司 形成氮化釩層之方法以及包括該氮化釩層之結構
KR20210080214A (ko) 2019-12-19 2021-06-30 에이에스엠 아이피 홀딩 비.브이. 기판 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
CN114867888A (zh) * 2019-12-27 2022-08-05 弗萨姆材料美国有限责任公司 用于沉积膜的方法
TW202140135A (zh) 2020-01-06 2021-11-01 荷蘭商Asm Ip私人控股有限公司 氣體供應總成以及閥板總成
JP2021111783A (ja) 2020-01-06 2021-08-02 エーエスエム・アイピー・ホールディング・ベー・フェー チャネル付きリフトピン
US11993847B2 (en) 2020-01-08 2024-05-28 Asm Ip Holding B.V. Injector
KR102675856B1 (ko) 2020-01-20 2024-06-17 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법 및 박막 표면 개질 방법
TW202130846A (zh) 2020-02-03 2021-08-16 荷蘭商Asm Ip私人控股有限公司 形成包括釩或銦層的結構之方法
TW202146882A (zh) 2020-02-04 2021-12-16 荷蘭商Asm Ip私人控股有限公司 驗證一物品之方法、用於驗證一物品之設備、及用於驗證一反應室之系統
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
CN111233513A (zh) * 2020-02-12 2020-06-05 南京医科大学 一种氧化锆陶瓷修复体粘接面的改性方法
US11781243B2 (en) 2020-02-17 2023-10-10 Asm Ip Holding B.V. Method for depositing low temperature phosphorous-doped silicon
TW202203344A (zh) 2020-02-28 2022-01-16 荷蘭商Asm Ip控股公司 專用於零件清潔的系統
KR20210116249A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 록아웃 태그아웃 어셈블리 및 시스템 그리고 이의 사용 방법
KR20210116240A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 조절성 접합부를 갖는 기판 핸들링 장치
CN113394086A (zh) 2020-03-12 2021-09-14 Asm Ip私人控股有限公司 用于制造具有目标拓扑轮廓的层结构的方法
KR20210124042A (ko) 2020-04-02 2021-10-14 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법
TW202146689A (zh) 2020-04-03 2021-12-16 荷蘭商Asm Ip控股公司 阻障層形成方法及半導體裝置的製造方法
TW202145344A (zh) 2020-04-08 2021-12-01 荷蘭商Asm Ip私人控股有限公司 用於選擇性蝕刻氧化矽膜之設備及方法
KR20210127620A (ko) 2020-04-13 2021-10-22 에이에스엠 아이피 홀딩 비.브이. 질소 함유 탄소 막을 형성하는 방법 및 이를 수행하기 위한 시스템
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
KR20210128343A (ko) 2020-04-15 2021-10-26 에이에스엠 아이피 홀딩 비.브이. 크롬 나이트라이드 층을 형성하는 방법 및 크롬 나이트라이드 층을 포함하는 구조
US11996289B2 (en) 2020-04-16 2024-05-28 Asm Ip Holding B.V. Methods of forming structures including silicon germanium and silicon layers, devices formed using the methods, and systems for performing the methods
KR20210132600A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐, 질소 및 추가 원소를 포함한 층을 증착하기 위한 방법 및 시스템
TW202146831A (zh) 2020-04-24 2021-12-16 荷蘭商Asm Ip私人控股有限公司 垂直批式熔爐總成、及用於冷卻垂直批式熔爐之方法
KR20210132576A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐 나이트라이드 함유 층을 형성하는 방법 및 이를 포함하는 구조
KR20210134226A (ko) 2020-04-29 2021-11-09 에이에스엠 아이피 홀딩 비.브이. 고체 소스 전구체 용기
KR20210134869A (ko) 2020-05-01 2021-11-11 에이에스엠 아이피 홀딩 비.브이. Foup 핸들러를 이용한 foup의 빠른 교환
JP2021177545A (ja) 2020-05-04 2021-11-11 エーエスエム・アイピー・ホールディング・ベー・フェー 基板を処理するための基板処理システム
KR20210141379A (ko) 2020-05-13 2021-11-23 에이에스엠 아이피 홀딩 비.브이. 반응기 시스템용 레이저 정렬 고정구
TW202146699A (zh) 2020-05-15 2021-12-16 荷蘭商Asm Ip私人控股有限公司 形成矽鍺層之方法、半導體結構、半導體裝置、形成沉積層之方法、及沉積系統
TW202147383A (zh) 2020-05-19 2021-12-16 荷蘭商Asm Ip私人控股有限公司 基材處理設備
KR20210145078A (ko) 2020-05-21 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 다수의 탄소 층을 포함한 구조체 및 이를 형성하고 사용하는 방법
TW202200837A (zh) 2020-05-22 2022-01-01 荷蘭商Asm Ip私人控股有限公司 用於在基材上形成薄膜之反應系統
TW202201602A (zh) 2020-05-29 2022-01-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202218133A (zh) 2020-06-24 2022-05-01 荷蘭商Asm Ip私人控股有限公司 形成含矽層之方法
TW202217953A (zh) 2020-06-30 2022-05-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202202649A (zh) 2020-07-08 2022-01-16 荷蘭商Asm Ip私人控股有限公司 基板處理方法
KR20220010438A (ko) 2020-07-17 2022-01-25 에이에스엠 아이피 홀딩 비.브이. 포토리소그래피에 사용하기 위한 구조체 및 방법
TW202204662A (zh) 2020-07-20 2022-02-01 荷蘭商Asm Ip私人控股有限公司 用於沉積鉬層之方法及系統
US12040177B2 (en) 2020-08-18 2024-07-16 Asm Ip Holding B.V. Methods for forming a laminate film by cyclical plasma-enhanced deposition processes
KR20220027026A (ko) 2020-08-26 2022-03-07 에이에스엠 아이피 홀딩 비.브이. 금속 실리콘 산화물 및 금속 실리콘 산질화물 층을 형성하기 위한 방법 및 시스템
TW202229601A (zh) 2020-08-27 2022-08-01 荷蘭商Asm Ip私人控股有限公司 形成圖案化結構的方法、操控機械特性的方法、裝置結構、及基板處理系統
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
US12009224B2 (en) 2020-09-29 2024-06-11 Asm Ip Holding B.V. Apparatus and method for etching metal nitrides
CN114293174A (zh) 2020-10-07 2022-04-08 Asm Ip私人控股有限公司 气体供应单元和包括气体供应单元的衬底处理设备
TW202229613A (zh) 2020-10-14 2022-08-01 荷蘭商Asm Ip私人控股有限公司 於階梯式結構上沉積材料的方法
TW202217037A (zh) 2020-10-22 2022-05-01 荷蘭商Asm Ip私人控股有限公司 沉積釩金屬的方法、結構、裝置及沉積總成
TW202223136A (zh) 2020-10-28 2022-06-16 荷蘭商Asm Ip私人控股有限公司 用於在基板上形成層之方法、及半導體處理系統
TW202235649A (zh) 2020-11-24 2022-09-16 荷蘭商Asm Ip私人控股有限公司 填充間隙之方法與相關之系統及裝置
KR20220076343A (ko) 2020-11-30 2022-06-08 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치의 반응 챔버 내에 배열되도록 구성된 인젝터
KR20220081905A (ko) 2020-12-09 2022-06-16 에이에스엠 아이피 홀딩 비.브이. 실리콘 질화물 증착용 실리콘 전구체
US11946137B2 (en) 2020-12-16 2024-04-02 Asm Ip Holding B.V. Runout and wobble measurement fixtures
TW202231903A (zh) 2020-12-22 2022-08-16 荷蘭商Asm Ip私人控股有限公司 過渡金屬沉積方法、過渡金屬層、用於沉積過渡金屬於基板上的沉積總成
WO2022139535A1 (ko) * 2020-12-24 2022-06-30 주식회사 유피케미칼 상부 표면 개질제를 이용하는 박막 형성 방법
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
USD1023959S1 (en) 2021-05-11 2024-04-23 Asm Ip Holding B.V. Electrode for substrate processing apparatus
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate

Family Cites Families (27)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CS267800B1 (cs) 1988-12-19 1990-02-12 Jan Ing Csc Janiga Sposob přípravy trisdietylaminosilíciumchloridu
CA1336596C (en) * 1989-07-26 1995-08-08 Constantine A. Stewart Organosilane compounds
JP3282769B2 (ja) * 1994-07-12 2002-05-20 ソニー株式会社 半導体装置の製造方法
DE69809148T2 (de) 1997-07-31 2003-07-24 Nippon Mitsubishi Oil Corp., Tokio/Tokyo Silanverbindung
EP0902031A3 (en) * 1997-08-28 1999-10-06 Dow Corning Corporation Aminosilanes and method of preparation
EP1127929B1 (en) 2000-02-28 2009-04-15 JSR Corporation Composition for film formation, method of film formation, and silica-based film
US7005392B2 (en) * 2001-03-30 2006-02-28 Advanced Technology Materials, Inc. Source reagent compositions for CVD formation of gate dielectric thin films using amide precursors and method of using same
US7084080B2 (en) 2001-03-30 2006-08-01 Advanced Technology Materials, Inc. Silicon source reagent compositions, and method of making and using same for microelectronic device structure
JP4054957B2 (ja) * 2001-12-07 2008-03-05 信越化学工業株式会社 テトラキス(ジメチルアミノ)シランの塩素分低減方法
AU2003281815A1 (en) * 2002-08-02 2004-02-23 The Government Of The United States Of America, Represented By The Secretary, Dept. Of Health And Hu Cross-linked nitric oxide-releasing polyamine coated substrates, compositions comprising same and method of making same
US6893750B2 (en) 2002-12-12 2005-05-17 General Electric Company Thermal barrier coating protected by alumina and method for preparing same
JP4954448B2 (ja) 2003-04-05 2012-06-13 ローム・アンド・ハース・エレクトロニック・マテリアルズ,エル.エル.シー. 有機金属化合物
US7524735B1 (en) 2004-03-25 2009-04-28 Novellus Systems, Inc Flowable film dielectric gap fill process
US7582555B1 (en) 2005-12-29 2009-09-01 Novellus Systems, Inc. CVD flowable gap fill
US7332618B2 (en) 2004-09-28 2008-02-19 Praxair Technology, Inc. Organometallic precursor compounds
US7875556B2 (en) 2005-05-16 2011-01-25 Air Products And Chemicals, Inc. Precursors for CVD silicon carbo-nitride and silicon nitride films
US7358194B2 (en) 2005-08-18 2008-04-15 Tokyo Electron Limited Sequential deposition process for forming Si-containing films
US7875312B2 (en) 2006-05-23 2011-01-25 Air Products And Chemicals, Inc. Process for producing silicon oxide films for organoaminosilane precursors
US7498273B2 (en) 2006-05-30 2009-03-03 Applied Materials, Inc. Formation of high quality dielectric films of silicon dioxide for STI: usage of different siloxane-based precursors for harp II—remote plasma enhanced deposition processes
US8318966B2 (en) * 2006-06-23 2012-11-27 Praxair Technology, Inc. Organometallic compounds
US20080207007A1 (en) 2007-02-27 2008-08-28 Air Products And Chemicals, Inc. Plasma Enhanced Cyclic Chemical Vapor Deposition of Silicon-Containing Films
JP5119832B2 (ja) 2007-09-27 2013-01-16 富士通株式会社 界面ラフネス緩和膜、配線層、半導体装置および半導体装置の製造方法
US7943531B2 (en) 2007-10-22 2011-05-17 Applied Materials, Inc. Methods for forming a silicon oxide layer over a substrate
JP5547418B2 (ja) * 2009-03-19 2014-07-16 株式会社Adeka 化学気相成長用原料及びこれを用いたシリコン含有薄膜形成方法
EP2553141A4 (en) 2010-04-01 2013-08-21 Air Liquide DEPOSITION OF FILMS CONTAINING METAL NITRIDES USING A COMBINATION OF AMINOUS AND HALOGENATED METAL PRECURSORS
US20120277457A1 (en) * 2010-10-12 2012-11-01 Air Products And Chemicals, Inc. Aminosilanes and methods for making same
EP3330404B1 (en) 2011-06-03 2021-09-29 Versum Materials US, LLC Compositions and processes for depositing carbon-doped siliconcontaining films

Cited By (14)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US10453675B2 (en) 2013-09-20 2019-10-22 Versum Materials Us, Llc Organoaminosilane precursors and methods for depositing films comprising same
US10460929B2 (en) 2013-09-20 2019-10-29 Versum Materials Us, Llc Organoaminosilane precursors and methods for depositing films comprising same
KR20160088933A (ko) * 2013-11-22 2016-07-26 어플라이드 머티어리얼스, 인코포레이티드 할로겐화된 규소 전구체들을 사용한 규소, 탄소 및 질소를 포함하는 필름들의 원자층 증착
US11028478B2 (en) 2013-11-22 2021-06-08 Applied Materials, Inc. Atomic layer deposition of films comprising silicon, carbon and nitrogen using halogenated silicon precursors
KR20170013347A (ko) * 2014-05-30 2017-02-06 다우 코닝 코포레이션 다이아미노실란 화합물
KR20170013348A (ko) * 2014-05-30 2017-02-06 다우 코닝 코포레이션 다이아이소프로필아미노-다이실란의 합성 공정
KR20190006603A (ko) * 2014-05-30 2019-01-18 다우 실리콘즈 코포레이션 다이아이소프로필아미노-다이실란의 합성 공정
KR20170058957A (ko) * 2014-09-23 2017-05-29 레르 리키드 쏘시에떼 아노님 뿌르 레?드 에렉스뿔라따시옹 데 프로세데 조르즈 클로드 Si-함유 막의 증착을 위한 카보실란 치환 아민 전구체 및 이의 방법
KR20170069239A (ko) * 2014-10-03 2017-06-20 어플라이드 머티어리얼스, 인코포레이티드 고온 실리콘 옥사이드 원자층 증착 기술
KR20220027287A (ko) * 2015-02-06 2022-03-07 버슘머트리얼즈 유에스, 엘엘씨 탄소 도핑된 규소 함유 필름을 위한 조성물 및 이의 사용 방법
KR20180030548A (ko) * 2015-07-09 2018-03-23 레르 리키드 쏘시에떼 아노님 뿌르 레?드 에렉스뿔라따시옹 데 프로세데 조르즈 클로드 알킬아미노 치환 할로카보실란 전구체
KR20200137053A (ko) * 2015-09-11 2020-12-08 버슘머트리얼즈 유에스, 엘엘씨 콘포말한 금속 또는 메탈로이드 실리콘 니트라이드 막을 증착시키는 방법 및 얻어진 막
WO2020111405A1 (ko) * 2018-11-30 2020-06-04 주식회사 한솔케미칼 실리콘 전구체 및 이를 이용한 실리콘 함유 박막의 제조방법
US11267828B2 (en) 2018-11-30 2022-03-08 Hansol Chemical Co., Ltd. Silicon precursor and method of manufacturing silicon-containing thin film using the same

Also Published As

Publication number Publication date
JP2015026849A (ja) 2015-02-05
EP2574611A1 (en) 2013-04-03
CN107312028A (zh) 2017-11-03
EP2574611B1 (en) 2016-03-23
CN107312028B (zh) 2023-04-14
KR101506940B1 (ko) 2015-03-31
TW201319077A (zh) 2013-05-16
CN103012457A (zh) 2013-04-03
US20130078392A1 (en) 2013-03-28
US8993072B2 (en) 2015-03-31
JP2013100262A (ja) 2013-05-23
JP5658214B2 (ja) 2015-01-21
CN103012457B (zh) 2018-02-09
CN107857774A (zh) 2018-03-30
JP6310018B2 (ja) 2018-04-11
TWI437005B (zh) 2014-05-11
JP6100743B2 (ja) 2017-03-22
JP2016219834A (ja) 2016-12-22

Similar Documents

Publication Publication Date Title
JP6310018B2 (ja) ハロゲン化オルガノアミノシラン前駆体及びそれを含む膜の堆積方法
US9997350B2 (en) Methods for depositing films with organoaminodisilane precursors
KR102044666B1 (ko) 유기아미노디실란 전구체 및 이를 포함하는 막을 증착시키는 방법
US8912353B2 (en) Organoaminosilane precursors and methods for depositing films comprising same
EP2860182B1 (en) Organoaminosilane precursors and methods for depositing films comprising same
EP2818474B1 (en) Aza-polysilane precursors and methods for depositing films comprising same
KR101820397B1 (ko) 알콕시아미노실란 화합물 및 이의 응용품
US11177127B2 (en) Functionalized cyclosilazanes as precursors for high growth rate silicon-containing films
US12057310B2 (en) Functionalized cyclosilazanes as precursors for high growth rate silicon-containing films

Legal Events

Date Code Title Description
A201 Request for examination
E902 Notification of reason for refusal
E701 Decision to grant or registration of patent right
FPAY Annual fee payment

Payment date: 20180219

Year of fee payment: 4

FPAY Annual fee payment

Payment date: 20190218

Year of fee payment: 5

FPAY Annual fee payment

Payment date: 20200227

Year of fee payment: 6