CN114867888A - 用于沉积膜的方法 - Google Patents

用于沉积膜的方法 Download PDF

Info

Publication number
CN114867888A
CN114867888A CN202080090123.2A CN202080090123A CN114867888A CN 114867888 A CN114867888 A CN 114867888A CN 202080090123 A CN202080090123 A CN 202080090123A CN 114867888 A CN114867888 A CN 114867888A
Authority
CN
China
Prior art keywords
surface features
reactor
bis
plasma
oxygen
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Pending
Application number
CN202080090123.2A
Other languages
English (en)
Inventor
H·钱德拉
雷新建
D·P·思朋斯
萧满超
R·M·皮尔斯坦
M·R·麦克唐纳
M·B·拉奥
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Versum Materials US LLC
Original Assignee
Versum Materials US LLC
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Versum Materials US LLC filed Critical Versum Materials US LLC
Publication of CN114867888A publication Critical patent/CN114867888A/zh
Pending legal-status Critical Current

Links

Classifications

    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45527Atomic layer deposition [ALD] characterized by the ALD cycle, e.g. different flows or temperatures during half-reactions, unusual pulsing sequence, use of precursor mixtures or auxiliary reactants or activations
    • C23C16/45534Use of auxiliary reactants other than used for contributing to the composition of the main film, e.g. catalysts, activators or scavengers
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/02Pretreatment of the material to be coated
    • C23C16/0272Deposition of sub-layers, e.g. to promote the adhesion of the main coating
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/04Coating on selected surface areas, e.g. using masks
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/04Coating on selected surface areas, e.g. using masks
    • C23C16/045Coating cavities or hollow spaces, e.g. interior of tubes; Infiltration of porous substrates
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/34Nitrides
    • C23C16/345Silicon nitride
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/40Oxides
    • C23C16/401Oxides containing silicon
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/40Oxides
    • C23C16/401Oxides containing silicon
    • C23C16/402Silicon dioxide
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/4401Means for minimising impurities, e.g. dust, moisture or residual gas, in the reaction chamber
    • C23C16/4408Means for minimising impurities, e.g. dust, moisture or residual gas, in the reaction chamber by purging residual gases from the reaction chamber or gas lines
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45527Atomic layer deposition [ALD] characterized by the ALD cycle, e.g. different flows or temperatures during half-reactions, unusual pulsing sequence, use of precursor mixtures or auxiliary reactants or activations
    • C23C16/45536Use of plasma, radiation or electromagnetic fields
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45553Atomic layer deposition [ALD] characterized by the use of precursors specially adapted for ALD

Landscapes

  • Chemical & Material Sciences (AREA)
  • Engineering & Computer Science (AREA)
  • Metallurgy (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Materials Engineering (AREA)
  • Mechanical Engineering (AREA)
  • General Chemical & Material Sciences (AREA)
  • Organic Chemistry (AREA)
  • Inorganic Chemistry (AREA)
  • Physics & Mathematics (AREA)
  • Electromagnetism (AREA)
  • Plasma & Fusion (AREA)
  • Chemical Vapour Deposition (AREA)
  • Formation Of Insulating Films (AREA)

Abstract

本发明公开了一种用于将膜沉积到衬底的表面特征中的原子层沉积方法。所述方法可以包括将具有表面特征的衬底放置到反应器中的步骤。有机钝化剂可引入所述反应器中,其可与表面特征内的一部分暴露的羟基反应。随后,可以吹扫未反应的有机钝化剂,并且然后可以引入前体。前体可与未与有机钝化剂相互作用的剩余的暴露羟基反应。随后,可以吹扫未反应的前体,并且可以将氧源或氮源引入所述反应器中以在表面特征内形成膜。

Description

用于沉积膜的方法
相关申请的交叉引用
本专利申请要求2019年12月27日提交的美国临时专利申请号62/954,053的优先权。
技术领域
大体上,本发明涉及一种用于沉积膜的方法,并且更具体地,涉及一种用于将膜沉积到衬底的表面特征中的原子层沉积方法。
背景技术
原子层沉积是用于在衬底上沉积薄膜的化学方法。在许多情况下,经历原子层沉积工艺的衬底包括形成于其中的一个或多个以下高纵横比表面特征:孔、沟槽、浅沟槽隔离、通孔、凹入特征(reentrant feature)等。这些高纵横比特征通常包括开口、顶部区域和底部区域,并且其中形成的膜应该具有低孔隙率。
在传统的原子层沉积中,所述表面特征的开口随着在间隙填充(gap-fill)期间发生膜生长时而变窄。最终,开口的变窄阻止反应物移动到表面特征的下部,包括顶部区域和底部区域两者。这种限制阻止了表面特征内进一步的膜生长,从而导致在表面特征内形成具有大于所需孔隙率的膜。
美国专利申请公开号2019/0203354A1公开了一种在原子层沉积中使用化学抑制对金属氧化物膜进行保形性调节的方法和系统。抑制金属氧化物沉积的前体可包括螯合剂,例如二酮。
美国专利号10,199,212和10,043,656教导用于将含硅或含金属介电材料选择性地沉积在对氧化硅或氮化硅材料具有选择性的硅或金属表面上的方法和设备。乙酰氯用作封闭剂。
因此,本发明旨在克服上述一个或多个问题和/或与已知原子层沉积方法相关的其它问题。
发明内容
根据本发明的一个方面,公开了一种用于将膜沉积到衬底的表面特征中的原子层沉积方法。所述方法可以包括将具有表面特征的衬底放置到反应器中,并且所述表面特征可以包含暴露的羟基(hydroxyl redical)。所述反应器可以加热到环境温度至约700℃的一个或多个温度,并任选地保持其压力为100托或更低。随后,可将有机钝化剂引入所述反应器中,并且其可与表面特征的一部分暴露的羟基反应。
然后所述反应器可使用惰性气体吹扫未反应的有机钝化剂,并且随后可以引入具有至少一个有机氨基的前体气体以与所述表面特征的未反应的羟基反应。在此之后,可以将惰性气体引入所述反应器中以吹扫未反应的前体,然后可以引入氧源或氮源以在表面特征中形成膜。最后,所述反应器可使用惰性气体吹扫未反应的氧源或氮源和任何副产物。从所述表面特征引入所述有机钝化剂到使用惰性气体吹扫反应器的未反应的氧源或氮源和副产物的步骤可以重复,直到所述表面特征从下至上填充。
通常,有机钝化剂可选自缩醛、缩酮、原酸酯和原碳酸酯。更具体地,有机钝化剂选自下式:
I.具有式R1R2C(OR3)2的缩醛或缩酮,
II.具有式R1C(OR3)3的原酸酯,和
III.具有式C(OR3)4的原碳酸酯,
其中R1选自氢、C1-C10直链或支链烷基、含氧或氮的C3-C10直链或支链烷基、C3-C10线性或分支环状烷基、C2-C10烯基、C2-C10炔基和C3-C10芳族烃,R2和R3独立地选自C1-C10直链或支链烷基、含氧或氮的C3-C10直链或支链烷基、C3-C10线性或分支环状烷基、C2-C10烯基、C2-C10炔基和C3-C10芳族烃。
在示例性实施方案中,所述有机钝化剂是选自以下的至少一种化合物:原碳酸四甲酯、原碳酸四乙酯、原碳酸四正丙酯、原乙酸三甲酯、原乙酸三乙酯、1,1,1-三乙氧基戊烷、1,1,1-三乙氧基庚烷、原苯甲酸三乙酯、2,2-二乙氧基丙烷、1,1-二乙氧基-1-苯基乙烷、4,4-二乙氧基庚烷、4,4-二乙氧基壬烷、原甲酸三甲酯、原甲酸三甲酯和原甲酸三正丙酯。
所述前体可以选自有机氨基硅烷、有机氨基二硅烷、有机氨基三甲硅烷基胺、有机氨基硅氧烷、有机氨基环硅氧烷及有机氨基钛、有机氨基铪、有机氨基锆、有机氨基钽、有机氨基钨、有机氨基钼、有机氨基铝、烷基铝和金属醇盐。此外,所述氧源选自氧、氧等离子体、水蒸气等离子体、水和有机胺的混合物、过氧化氢、一氧化二氮、臭氧、二氧化碳等离子体、一氧化碳等离子体及其组合。且所述氮源可选自氨、肼、甲基肼、1,1-二甲基肼、N2等离子体、氨等离子体、氢/氮等离子体及其组合。
本发明的表面特征可具有至少2:1或更高,或甚至至少4:1的深度与宽度纵横比。在一些情况下,所述表面特征具有100nm或更小的宽度,可以是通孔,可以是沟槽,或通孔和沟槽的组合。
在一个实施方案中,每次在进行从表面特征引入有机钝化剂到使用惰性气体吹扫反应器的未反应的氧源或氮源和副产物的步骤之后,产生的膜的厚度朝向所述表面特征的底部比朝向所述表面特征的顶部更厚。
具体实施方式
本文描述了涉及在原子层沉积(ALD)工艺中或在ALD样工艺(例如但不限于循环化学气相沉积)中用含硅或金属氧化物的膜填充表面特征(例如通孔或沟槽)的组合物和方法。根据示例性实施方案,不含硅的钝化剂与衬底表面特征上暴露的羟基反应,从而在表面特征上产生“占据的”反应性位点。反应性位点的占据随之阻止了有机氨基硅烷与占据的反应性位点的反应,因此阻止了在表面特征的某些区域上的膜生长。控制钝化剂的剂量以限制钝化剂扩散到表面特征中的下部区域中。因此,衬底上表面和表面特征的上部将比沟槽结构的底部更加钝化,这又在衬底上表面和表面特征的上部附近赋予较低的膜生长,并在衬底特征的底部附近赋予较高的膜生长。这种限制在衬底上表面和表面特征的上部附近的膜生长的方法保持了用于使前体和氧源进入表面特征中的下部空间并用感兴趣的膜完全填充这些空间的开口。
当在膜生长期间不使用钝化剂时,例如在传统的ALD保形膜生长方法中,在间隙填充期间膜生长发生,表面特征中的开口变窄。最终,这种变窄阻止了到达表面特征的下部的通道,并且随之阻止了沟槽内的进一步膜生长,从而导致表面特征内的孔隙率。当钝化剂扩散到沟槽底部时,也观察到类似的缺陷现象,导致沟槽填充期间的保形膜生长。
根据一个示例性实施方案所描述的一种方法包括:
a)将一个或多个具有高纵横比表面特征(其表面上具有羟基)的衬底放置到反应器中;
b)将所述反应器加热到环境温度至约700℃的一个或多个温度,并任选地将所述反应器保持在100托或更低的压力下;
c)将至少一种有机钝化剂引入所述反应器中,以与所述特征的顶部表面区域上的那些羟基反应;
d)使用惰性气体吹扫未反应的有机钝化剂;
e)引入具有至少一个有机氨基的沉积前体的蒸气以与那些未反应的羟基反应;
f)使用惰性气体吹扫未反应的前体;
g)引入氧源前体;以及
h)惰性气体吹扫,
其中重复步骤c至h直到从下至上填充所述特征。
根据一个示例性实施方案所描述的另一个方法包括:
a)将具有合适形貌的硅衬底引入沉积室中;
b)将所述反应器加热到环境温度至约700℃的一个或多个温度,并任选地将所述反应器保持在100托或更低的压力下;
c)将至少一种有机钝化剂引入所述反应器中,以与所述特征的顶部表面区域上的那些羟基反应;
d)使用惰性气体吹扫未反应的有机钝化剂;
e)引入具有至少一个有机氨基或卤基基团的沉积前体的蒸气以与那些未钝化的表面反应;
f)使用惰性气体吹扫未反应的前体;
g)引入氮源前体;以及
h)使用惰性气体吹扫。
本发明中所述的有机钝化剂选自下式:
I.具有式R1R2C(OR3)2的缩醛或缩酮,
II.具有式R1C(OR3)3的原酸酯,和
III.具有式C(OR3)4的原碳酸酯,
其中R1选自氢、C1-C10直链或支链烷基、含氧或氮的C3-C10直链或支链烷基、C3-C10线性或分支环状烷基、C2-C10烯基、C2-C10炔基和C3-C10芳族烃。R2和R3独立地选自C1-C10直链或支链烷基、含氧或氮的C3-C10直链或支链烷基、C3-C10线性或分支环状烷基、C2-C10烯基、C2-C10炔基和C3-C10芳烃。
在上述一个或多个实施方案中,所述氧源选自氧、氧等离子体、水蒸气等离子体、水和有机胺的混合物、过氧化氢、一氧化二氮、臭氧、二氧化碳等离子体、一氧化碳等离子体及其组合。
在一个或多个上述实施方案中,所述氮源包括但不限于氨、肼、甲基肼、1,1-二甲基肼、N2等离子体、氨等离子体、氢/氮等离子体及其组合。
不受理论的束缚,据信在步骤c中通过精细控制室压力或脉冲时间或有机钝化剂的蒸气通量或者式I至III中的R1-3基团的大小来引入有机钝化剂以主要与所述特征的顶部表面区域上的那些羟基反应,从而允许具有至少一个有机氨基的沉积前体在步骤e中与所述特征的底部上的那些未反应的羟基反应以锚定含硅或金属的片段。结果,可以实现含硅或金属氧化物膜从下至上的沉积,这是因为步骤g通过氧化掉有机钝化层而使所述特征的顶部表面区域上的那些羟基再生,同时使含硅或金属氧化物膜在所述特征的底部上形成。
可用于本文所述方法中的一些示例性有机钝化剂描述于下表1中。
表1.示例性钝化剂
Figure BDA0003710804410000061
Figure BDA0003710804410000071
具有至少一个有机氨基或卤基基团的氧化硅、氮化硅、金属氮化物或金属氧化物的沉积前体可以选自有机氨基硅烷、有机氨基二硅烷、有机氨基三甲硅烷基胺、有机氨基硅氧烷、有机氨基环硅氧烷、有机氨基钛、有机氨基铪、有机氨基锆、有机氨基钽、有机氨基钨、有机氨基钼、有机氨基铝、烷基铝、金属醇盐,以及可用于沉积氧化硅、掺杂碳的氧化硅和金属氧化物的任何其它含硅或金属的前体。
有机氨基硅烷的实例包括但不限于二异丙基氨基硅烷、二仲丁基氨基硅烷、双(二乙基氨基)硅烷、双(二甲基氨基)硅烷、双(乙基甲基氨基)硅烷、双(叔丁基氨基)硅烷、二异丙基氨基甲基硅烷、二仲丁基氨基甲基硅烷、二甲基氨基二甲基硅烷、二甲基氨基三甲基硅烷、双(二甲基氨基)甲基硅烷、四(二甲基氨基)硅烷、三(二甲基氨基)硅烷、异丙基氨基三甲基硅烷、叔丁基氨基三甲基硅烷、异丁基氨基三甲基硅烷、环己氨基三甲基硅烷、吡咯烷基三甲基硅烷、2-甲基吡咯烷基三甲基硅烷、2,5-二甲基吡咯烷基三甲基硅烷、哌啶子基三甲基硅烷、2,6-二甲基哌啶子基三甲基硅烷、1-甲基哌嗪基三甲基硅烷、吡咯基三甲基硅烷、2,5-二甲基吡咯基三甲基硅烷和咪唑基三甲基硅烷。
有机氨基二硅烷的实例包括但不限于二异丙基氨基二硅烷和二仲丁基氨基二硅烷。
有机氨基三甲硅烷基胺的实例包括但不限于二异丙氨基三甲硅烷基胺、二乙基氨基三甲硅烷基胺、异丙氨基三甲硅烷基胺和环己基甲基氨基三甲硅烷基胺。
有机氨基硅氧烷的实例包括但不限于1-二甲基氨基-五甲基二硅氧烷、1-二乙基氨基-五甲基二硅氧烷、1-乙基甲基氨基-五甲基二硅氧烷、1,3-双(二甲基氨基)四甲基二硅氧烷、1-二甲基氨基-七甲基三硅氧烷和1,5-双(二甲基氨基)六甲基三硅氧烷。
有机氨基环硅氧烷的实例包括但不限于2-二甲基氨基-2,4,4,6,6-五甲基环三硅氧烷、2-二乙基氨基-2,4,4,6,6-五甲基环三硅氧烷、2-乙基甲基氨基-2,4,4,6,6-五甲基环三硅氧烷、2-异丙基氨基-2,4,4,6,6-五甲基环三硅氧烷、2-二甲基氨基-2,4,4,6,6,8,8-七甲基环四硅氧烷、2-二乙基氨基-2,4,4,6,6,8,8-七甲基环四硅氧烷、2-乙基甲基氨基-2,4,4,6,6,8,8-七甲基环四硅氧烷、2-异丙基氨基-2,4,4,6,6,8,8-七甲基环四硅氧烷、2-二甲基氨基-2,4,6-三甲基环三硅氧烷、2-二乙基氨基-2,4,6-三甲基环三硅氧烷、2-乙基甲基氨基-2,4,6-三甲基环三硅氧烷、2-异丙基氨基-2,4,6-三甲基环三硅氧烷、2-二甲基氨基-2,4,6,8-四甲基环四硅氧烷、2-二乙基氨基-2,4,6,8-四甲基环四硅氧烷、2-乙基甲基氨基-2,4,6,8-四甲基环四硅氧烷、2-异丙基氨基-2,4,6,8-四甲基环四硅氧烷、2-吡咯烷基-2,4,6,8-四甲基环四硅氧烷以及2-环己基甲基氨基-2,4,6,8-四甲基环四硅氧烷。
有机氨基钛的实例包括但不限于四(二甲基氨基)钛、四(二乙基氨基)钛以及四(乙基甲基氨基)钛。
有机氨基铪的实例包括但不限于四(二甲基氨基)铪(TDMAH)、四(二乙基氨基)铪(TDEAH)、四(乙基甲基氨基)铪(TEMAH)、环戊二烯基三(二甲基氨基)铪(CpHf(NMe2)3)、甲基环戊二烯基三(二甲基氨基)铪(MeCpHf(NMe2)3)、乙基环戊二烯基三(二甲基氨基)铪(EtCpHf(NMe2)3)、环戊二烯基三(二甲基氨基)铪(CpHf(NMeEt)3)、甲基环戊二烯基三(二甲基氨基)铪(MeCpHf(NMeEt)3)、乙基环戊二烯基三(二甲基氨基)铪(EtCpHf(NMeEt)3)、环戊二烯基三(二甲基氨基)铪(CpHf(NEt2)3)、甲基环戊二烯基三(二甲基氨基)铪(MeCpHf(NEt2)3)、乙基环戊二烯基三(二甲基氨基)铪(EtCpHf(NEt2)3)、双(环戊二烯基)双(二甲基氨基)铪(Cp2Hf(NMe2)2)、双(甲基环戊二烯基)双(二甲基氨基)铪((MeCp)2Hf(NMe2)2)、双(乙基环戊二烯基)双(二甲基氨基)铪((EtCp)2Hf(NMe2)2)、双(环戊二烯基)双(二甲基氨基)铪(Cp2Hf(NMeEt)2)、双(甲基环戊二烯基)双(二甲基氨基)铪((MeCp)2Hf(NMeEt)2)、双(乙基环戊二烯基)双(二甲基氨基)铪((EtCp)2Hf(NMeEt)2)、双(环戊二烯基)双(二甲基氨基)铪((Cp2Hf(NEt2)2)、双(甲基环戊二烯基)双(二甲基氨基)铪((MeCp)2Hf(NEt2)3)、双(乙基环戊二烯基)双(二甲基氨基)铪((EtCp)2Hf(NEt2)2)、(N-甲基-2,4-环戊二烯-1-乙氨基]双(二甲基氨基)铪、(N-乙基-2,4-环戊二烯-1-乙氨基]双(二甲基氨基)铪、(N-甲基-2,4-环戊二烯-1-乙氨基]双(二乙基氨基)铪、(N-乙基-2,4-环戊二烯-1-乙氨基]双(二乙基氨基)铪、(N-甲基-2,4-环戊二烯-1-乙氨基]双(乙基甲基氨基)铪、(N-乙基-2,4-环戊二烯-1-乙氨基]铪以及双(乙基甲基氨基)铪。
有机氨基锆的实例包括但不限于四(二甲基氨基)锆(TDMAZ)、四(二乙基氨基)锆(TDEAZ)、四(乙基甲基氨基)锆(TEMAZ)、环戊二烯基三(二甲基氨基)锆(CpZr(NMe2)3)、甲基环戊二烯基三(二甲基氨基)锆(MeCpZr(NMe2)3)、乙基环戊二烯基三(二甲基氨基)锆(EtCpZr(NMe2)3)、环戊二烯基三(二甲基氨基)锆(CpZr(NMeEt)3)、甲基环戊二烯基三(二甲基氨基)锆(MeCpZr(NMeEt)3)、乙基环戊二烯基三(二甲基氨基)锆(EtCpZr(NMeEt)3)、环戊二烯基三(二甲基氨基)锆(CpHf(NEt2)3)、甲基环戊二烯基三(二甲基氨基)锆(MeCpZr(NEt2)3)、乙基环戊二烯基三(二甲基氨基)锆(EtCpZr(NEt2)3)、双(环戊二烯基)双(二甲基氨基)锆(Cp2Zr(NMe2)2)、双(甲基环戊二烯基)双(二甲基氨基)锆((MeCp)2Zr(NMe2)2)、双(乙基环戊二烯基)双(二甲基氨基)锆((EtCp)2Zr(NMe2)2)、双(环戊二烯基)双(二甲基氨基)锆(Cp2Zr(NMeEt)2)、双(甲基环戊二烯基)双(二甲基氨基)锆((MeCp)2Zr(NMeEt)2)、双(乙基环戊二烯基)双(二甲基氨基)锆((EtCp)2Zr(NMeEt)2)、双(环戊二烯基)双(二甲基氨基)锆((Cp2Zr(NEt2)2)、双(甲基环戊二烯基)双(二甲基氨基)锆((MeCp)2Zr(NEt2)3)、双(乙基环戊二烯基)双(二甲基氨基)锆((EtCp)2Zr(NEt2)2)、(N-甲基-2,4-环戊二烯-1-乙氨基]双(二甲基氨基)锆、(N-乙基-2,4-环戊二烯-1-乙氨基]双(二甲基氨基)锆、(N-甲基-2,4-环戊二烯-1-乙氨基]双(二乙基氨基)锆、(N-乙基-2,4-环戊二烯-1-乙氨基]双(二乙基氨基)锆、(N-甲基-2,4-环戊二烯-1-乙氨基]双(乙基甲基氨基)锆以及(N-乙基-2,4-环戊二烯-1-乙氨基]双(乙基甲基氨基)锆。
有机氨基钽的实例包括但不限于(叔丁基亚氨基)三(二甲基氨基)钽、(叔丁基亚氨基)三(二乙基氨基)钽和(叔丁基亚氨基)三(乙基甲基氨基)钽。
有机氨基钽的实例包括但不限于双(叔丁基亚氨基)双(二甲基氨基)钨、双(叔丁基亚氨基)双(二乙基氨基)钨和双(叔丁基亚氨基)双(乙基甲基氨基)钨。
有机氨基钼的实例包括但不限于双(叔丁基亚氨基)双(二甲基氨基)钼、双(叔丁基亚氨基)双(二乙基氨基)钼和双(叔丁基亚氨基)双(乙基甲基氨基)钼。
有机氨基铝的实例包括但不限于三(二甲基氨基)铝、三(二乙基氨基)铝和三(乙基甲基氨基)铝。
烷基铝的实例包括但不限于三甲基铝和三乙基铝。
金属氧化物的实例包括但不限于异丙醇钛、甲醇钛、乙醇钛和异丙醇铝。
卤代硅烷的实例包括:三氯硅烷、二氯硅烷、一氯硅烷、六氯二硅烷、N-甲基-1,1,1,3,3,3-六氯二硅氮烷、四氯化硅、1-氯-1,3-二硅杂环丁烷、1-溴-1,3-二硅杂环丁烷、1,3-二氯-1,3-1,3-二硅杂环丁烷、1,3-二溴-1,3-二硅杂环丁烷、1,1,3-三氯-1,3-二硅杂环丁烷、1,1,3-三溴-1,3-二硅杂环丁烷、1,1,3,3-四氯-1,3-二硅杂环丁烷、1,1,3,3-四溴-1,3-二硅杂环丁烷、1,3-二氯-1,3-二甲基-1,3-二硅杂环丁烷、1,3-溴-1,3-二甲基-1,3-二硅杂环丁烷、1,1,1,3,3,5,5,5-八氯-1,3,5-三硅杂戊烷、1,1,3,3,5,5-六氯-1,5-二甲基-1,3,5-三硅杂戊烷、1,1,1,5,5,5-六氯-3,3-二甲基-1,3,5-三硅杂戊烷、1,1,3,5,5-五氯-1,3,5-三甲基-1,3,5-三硅杂戊烷、1,1,1,5,5,5-六氯-1,3,5-三硅杂戊烷、1,1,5,5-四氯-1,3,5-三硅杂戊烷、1-碘-1,3-二硅杂环丁烷、1,1-二碘-1,3-二硅杂环丁烷、1,3-二碘-1,3-二硅杂环丁烷、1,1,3-三碘-1,3-二硅杂环丁烷、1,1,3,3-四碘-1,3-二硅杂环丁烷和1,3-二碘-1,3-二甲基-1,3-二硅杂环丁烷、1,1,1,4,4,4-六氯-1,4-二硅杂丁烷、1,1,1,4,4,4-六氯-2-甲基-1,4-二硅杂丁烷、2,2,5,5,5-五氯-2,5-二硅杂戊烷、2,2,5,5,5-五氯-3-甲基-2,5-二硅杂戊烷、2,2,5,5-四氯-2,5-二硅杂己烷、2,2,5,5-四氯-3-甲基-2,5-二硅杂己烷;1,1,1,5,5,5-六氯-1,5-二硅杂戊烷、2,2,6,6-四氯-3-甲基-2,6-二硅杂庚烷、1,1,4,4-四氯-1,4-二硅杂戊烷、1,1,4,4-四氯-2-甲基-1,4-二硅杂戊烷、1,1,4,4,4-五氯-1,4-二硅杂丁烷、1,1,4,4,4-五氯-2-甲基-1,4-二硅杂丁烷、1,4,4,4-四氯-1,4-二硅杂丁烷、1,4,4,4-四氯-2-甲基-1,4-二硅杂丁烷、1,4,4-三氯-1,4-二硅杂戊烷、1,4,4-三氯-2-甲基-1,4-二硅杂戊烷、1,1,5,5,5-五氯-1,5-二硅杂戊烷、1,1,5,5,5-五氯-2-甲基-1,5-二硅杂戊烷、1,1,5,5-四氯-1,5-二硅杂己烷、1,1,5,5-四氯-2-甲基-1,5-二硅杂己烷、1,5,5,5-四氯-1,5-二硅杂戊烷、1,5,5,5-四氯-2-甲基-1,5-二硅杂戊烷、1,5,5-三氯-1,5-二硅杂己烷和1,5,5-三氯-2-甲基-2,6-二硅杂己烷、1,1,1,3,3,3-六氯-1,3-二硅杂丙烷、1,1,1,3,3,3-六氯-2-甲基-1,3-二硅杂丙烷、1,1,1,3,3,3-六氯-2,2-二甲基-1,3-二硅杂丙烷和1,1,1,3,3,3-六氯-2-乙基-1,3-二硅杂丙烷。
所述衬底包含表面特征。如本文所用,术语“表面特征”或“特征”意指包含以中的一种或多种的衬底或部分制造的衬底:孔、沟槽、浅沟槽隔离(STI)、通孔、凹入特征等。在一个特定实施方案中,所述表面特征具有100μm或更小的宽度、1μm或更小的宽度、或0.5μm或更小的宽度、或50nm或更小的宽度。在这个或其它实施方案中,所述表面特征(如果存在)的纵横比(深度与宽度比)为2:1或更大、或3:1或更大、或4:1或更大、或10:1或更大、或20:1或更大、或40:1或更大。所述高纵横比表示在宽度为100nm或更小的情况下为2:1或更大,优选地在宽度为100nm或更小的情况下为3:1或更大,最优选在宽度为100nm或更小的情况下为4:1或更大。沟槽材料可选自Si、SiO2、SiNx、碳掺杂的氧化硅或其组合。
在上式和整个说明书中,术语“直链烷基”表示具有1-10、3-10或1-6个碳原子的线性官能团。在上式和整个说明书中,术语“支链烷基”表示具有3-10或1-6个碳原子的线性官能团。示例性直链烷基包括但不限于甲基、乙基、丙基、丁基、戊基和己基。示例性支链烷基包括但不限于异丙基、异丁基、仲丁基、叔丁基、异戊基、叔戊基、异己基和新己基。在某些实施方案中,烷基可以具有一个或多个与其连接的官能团,例如但不限于烷氧基、二烷基氨基或其组合。在其它实施方案中,所述烷基不具有与其连接的一个或多个官能团。所述烷基可以是饱和的或不饱和的。
如前所述,本文所述的方法可用于将氧化硅或金属氧化物沉积到至少一部分衬底上的包括通孔和/或沟槽的表面特征中。合适的衬底的实例包括但不限于硅、SiO2、氮化钛、氮化钨、氮化钽、氮化钒、金属如锗、铜、钛、钨、钴、钌、铂、钯、铝及其组合。
所述膜与多种后续处理步骤相容,例如化学机械平面化(CMP)和各向异性蚀刻处理。
所沉积的膜具有包括但不限于以下的应用:计算机芯片、光学装置、磁信息存储装置、支撑材料或基材上的涂层、微机电系统(MEMS)、纳米机电系统、薄膜晶体管(TFT)、发光二极管(LED)、有机发光二极管(OLED)、IGZO和液晶显示器(LCD)。
实施例
实施例1:阻止沟槽结构上的氧化硅膜生长
使用FLR设计在300mm等离子体增强原子层沉积(PEALD)设备中进行膜沉积。反应器由具有专用压力控制的外室和内室组成。在所有反应性化学品流入内反应器中进行沉积的同时,Ar流到外室保持压力。所述方法及其条件描述于表2中。
表2:阻止氧化硅生长的方法和条件
Figure BDA0003710804410000131
Figure BDA0003710804410000141
可以重复以上概述方法的步骤c至h,直到达到期望的厚度。
使用FilmtekTM 3000反射及透射光谱仪测量所沉积的膜的厚度和折射率,同时使用扫描电子显微镜(SEM)和透射电子显微镜(TEM)检查膜质量。
使用二仲丁基氨基硅烷(DSBAS)作为沉积前体、O2等离子体作为氧源和原乙酸三乙酯(TEOA)作为有机钝化剂,利用表2中所述的步骤沉积氧化硅的空白膜。TEOA浸泡时间在0秒(无TEOA钝化剂)和60秒之间变化。衬托器温度(Susceptor temperature)设定为300℃。
当不使用TEOA浸泡时(0秒),每循环的生长(GPC)为
Figure BDA0003710804410000142
/循环,而较长的TEOA浸泡时间阻止氧化硅膜生长至
Figure BDA0003710804410000143
/循环。
使用0.5秒的TEOA浸泡时间在具有10:1纵横比和150nm开口的沟槽结构上进行氧化硅膜生长。在亚饱和模式下选择TEOA暴露时间,并且因此沟槽顶部上的钝化剂暴露高于沟槽底部。所述亚饱和模式可以根据ALD反应器的类型而变化,并且应当对于给定ALD反应器经由与本实施例中类似的实验获得。
在沟槽中不同位置处沉积的氧化硅膜厚度的TEM测量示于表3中。
表3:沉积在纵横比为10:1的沟槽结构上的SiO2膜厚度
Figure BDA0003710804410000151
顶部处的膜厚度薄于底部处的膜厚度,这表明TEOA抑制了沟槽结构顶部部分处的膜沉积,从而允许在使用较短的TEOA暴露时从下至上的间隙填充。
实施例2:阻止在沟槽结构上用N2等离子体沉积的SiNx生长
使用FLR设计在300mm PEALD设备中进行膜沉积。反应器由具有专用压力控制的外室和内室组成。在所有反应性化学品流入内反应器中进行沉积的同时,Ar流到外室保持压力。所述方法及其条件描述于下表4中。
表4:阻断SiNx生长的方法和条件
Figure BDA0003710804410000152
Figure BDA0003710804410000161
在这一方法中,可以重复步骤3至9以获得期望的厚度。
在该实施例中,二仲丁基氨基硅烷(DSBAS)用作硅前体。使用原乙酸三乙酯(TEOA)作为有机阻断剂,0-10秒流。使用FilmtekTM 3000反射及透射仪测量所沉积的膜的厚度和折射率。
下表5显示了TEOA在减小SiNx膜GPC中的作用。其表明,在每个循环10秒的TEOA暴露之后,膜生长降低至
Figure BDA0003710804410000162
/循环。这比无TEOA钝化的膜生长低约45%的GPC,并且证明了钝化方法的剂量敏感性。
表5:TEOA暴露后SiNx膜生长的GPC
Figure BDA0003710804410000171
使用0.5秒的TEOA浸泡时间在具有10:1的纵横比和150nm开口的沟槽结构上探索氮化硅(SiNx)膜生长。在亚饱和模式下选择TEOA暴露时间。因此,钝化剂在沟槽顶部上的暴露高于沟槽底部。
在沟槽中不同位置处沉积的氮化硅膜厚度的TEM测量示于表6中。
表6:沉积在纵横比为10:1的沟槽结构上的SiNx膜厚度
Figure BDA0003710804410000172
顶部的膜厚度薄于底部的膜厚度,这表明TEOA抑制了沟槽结构顶部部分的膜沉积,从而允许在使用较短的TEOA暴露时从下至上的填充膜沉积。
实施例3.在无钝化剂的情况下沟槽结构上的氧化硅膜生长
使用FLR设计在300mm等离子体增强原子层沉积(PEALD)设备中进行膜沉积。反应器由具有专用压力控制的外室和内室组成。在所有反应性化学品流入内反应器中进行沉积的同时,Ar流到外室保持压力。所述方法及其条件描述于表7中。
表7:无钝化剂的氧化硅膜生长的方法及条件
Figure BDA0003710804410000173
Figure BDA0003710804410000181
步骤c至f可以重复多次以填充间隙。
在该实施例中,使用二仲丁基氨基硅烷(DSBAS)作为沉积前体,并使用O2等离子体作为氧源,利用上表7中列出的方法和条件沉积氧化硅。
在不同位置处沉积的氧化硅膜的TEM测量结果示于下表8中。
表8:在10:1纵横比的沟槽结构上沉积的SiO2膜厚度
Figure BDA0003710804410000191
如上表8所示,在无钝化剂的情况下沉积的膜具有保形膜沉积。
实施例4:使用长钝化剂暴露在沟槽结构内的膜生长
除了有机钝化剂浸泡时间之外,该实施例中的方法和条件与上表2中概述的相同。使用二仲丁基氨基硅烷(DSBAS)作为沉积前体,O2等离子体作为氧源和原乙酸三乙酯(TEOA)作为有机钝化剂沉积氧化硅的空白膜。TEOA浸泡时间选择为5秒。
在沟槽中不同位置处的沉积膜厚度的TEM测量示于表9中。
表9:沉积在10:1沟槽结构上的氧化硅膜厚度。
Figure BDA0003710804410000192
顶部的膜厚度类似于底部,这表明保形沉积。这表明较长的钝化剂暴露时间可能不适用于从下至上的填充,因为它均匀地与沟槽中的表面(顶部和底部)反应。
以上描述仅是代表性的,因此可以在不脱离本公开的范围的情况下对本文描述的实施方案进行修改。因此,这些修改落入本公开的范围内并且旨在落入所附权利要求的范围内。

Claims (14)

1.一种用于将膜沉积到衬底的表面特征中的原子层沉积方法,所述方法包括:
a)将具有表面特征的所述衬底放置到反应器中,所述表面特征具有暴露的羟基;
b)将所述反应器加热到环境温度至约700℃的一个或多个温度,并任选地将所述反应器保持在100托或更低的压力下;
c)将至少一种有机钝化剂引入所述反应器中以与所述表面特征的一部分所述暴露的羟基反应,所述有机钝化剂选自缩醛、缩酮、原酸酯和原碳酸酯;
d)使用惰性气体从所述反应器吹扫未反应的有机钝化剂;
e)引入具有至少一个有机氨基的前体气体以与所述表面特征的任何未反应的羟基反应;
f)使用惰性气体从所述反应器吹扫未反应的前体;
g)将氧源或氮源引入到所述反应器中;以及
h)使用惰性气体吹扫未反应的氧源或氮源和任何副产物,
其中重复步骤c至h直到从下至上填充所述表面特征。
2.根据权利要求1所述的方法,其中所述有机钝化剂选自下式:
I.具有式R1R2C(OR3)2的缩醛或缩酮,
II.具有式R1C(OR3)3的原酸酯,和
III.具有式C(OR3)4的原碳酸酯,
其中R1选自氢、C1-C10直链或支链烷基、含氧或氮的C3-C10直链或支链烷基、C3-C10线性或分支环状烷基、C2-C10烯基、C2-C10炔基和C3-C10芳族烃,R2和R3独立地选自C1-C10直链或支链烷基、含氧或氮的C3-C10直链或支链烷基、C3-C10线性或分支环状烷基、C2-C10烯基、C2-C10炔基和C3-C10芳族烃。
3.根据权利要求2所述的方法,其中所述有机钝化剂包括至少一种选自原碳酸四甲酯、原碳酸四乙酯、原碳酸四正丙酯、原乙酸三甲酯、原乙酸三乙酯、1,1,1-三乙氧基戊烷、1,1,1-三乙氧基庚烷、原苯甲酸三乙酯、2,2-二乙氧基丙烷、1,1-二乙氧基-1-苯基乙烷、4,4-二乙氧基庚烷、4,4-二乙氧基壬烷、原甲酸三甲酯、原甲酸三甲酯和原甲酸三正丙酯的化合物。
4.根据权利要求3所述的方法,其中所述有机钝化剂包括原乙酸三乙酯。
5.根据权利要求1所述的方法,其中所述前体选自有机氨基硅烷、有机氨基二硅烷、有机氨基三甲硅烷基胺、有机氨基硅氧烷、有机氨基环硅氧烷,及有机氨基钛、有机氨基铪、有机氨基锆、有机氨基钽、有机氨基钨、有机氨基钼、有机氨基铝、烷基铝和金属醇盐。
6.根据权利要求1所述的方法,其中所述氧源选自氧、氧等离子体、水蒸气等离子体、水和有机胺的混合物、过氧化氢、一氧化二氮、臭氧、二氧化碳等离子体、一氧化碳等离子体及其组合。
7.根据权利要求1所述的方法,其中所述氮源选自氨、肼、甲基肼、1,1-二甲基肼、N2等离子体、氨等离子体、氢/氮等离子体及其组合。
8.根据权利要求1所述的方法,其中所述表面特征具有至少2:1或更高的深度与宽度纵横比。
9.根据权利要求8所述的方法,其中所述纵横比为至少4:1。
10.根据权利要求8所述的方法,其中所述表面特征具有100nm或更小的宽度。
11.根据权利要求1所述的方法,其中所述表面特征是通孔。
12.根据权利要求1所述的方法,其中所述表面特征是沟槽。
13.根据权利要求1所述的方法,其中所述表面特征是通孔和沟槽。
14.根据权利要求1所述的方法,其中每次在执行步骤c)至h)之后产生的膜朝向所述表面特征的底部比朝向所述表面特征的顶部更厚。
CN202080090123.2A 2019-12-27 2020-12-22 用于沉积膜的方法 Pending CN114867888A (zh)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US201962954053P 2019-12-27 2019-12-27
US62/954,053 2019-12-27
PCT/US2020/066553 WO2021133774A1 (en) 2019-12-27 2020-12-22 Method for depositing a film

Publications (1)

Publication Number Publication Date
CN114867888A true CN114867888A (zh) 2022-08-05

Family

ID=76574730

Family Applications (1)

Application Number Title Priority Date Filing Date
CN202080090123.2A Pending CN114867888A (zh) 2019-12-27 2020-12-22 用于沉积膜的方法

Country Status (7)

Country Link
US (1) US20230058258A1 (zh)
EP (1) EP4065746A4 (zh)
JP (1) JP2023508992A (zh)
KR (1) KR20220123035A (zh)
CN (1) CN114867888A (zh)
TW (1) TWI752770B (zh)
WO (1) WO2021133774A1 (zh)

Families Citing this family (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR102199999B1 (ko) * 2020-10-08 2021-01-08 주식회사 유진테크 머티리얼즈 표면 보호 물질을 이용한 박막 형성 방법
TW202231643A (zh) * 2020-12-24 2022-08-16 南韓商Up化學有限公司 使用上表面改性劑來形成膜的方法

Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20130078392A1 (en) * 2011-09-27 2013-03-28 Air Products And Chemicals, Inc. Halogenated organoaminosilane precursors and methods for depositing films comprising same
CN106558477A (zh) * 2015-09-28 2017-04-05 台湾积体电路制造股份有限公司 具有中间层的半导体结构的图案化工艺
US20180005814A1 (en) * 2016-07-01 2018-01-04 Lam Research Corporation Selective atomic layer deposition with post-dose treatment

Family Cites Families (10)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
WO2007025565A1 (en) * 2005-09-01 2007-03-08 Freescale Semiconductor, Inc. Semiconductor device including a coupled dielectric layer and metal layer, method of fabrication thereof, and material for coupling a dielectric layer and a metal layer in a semiconductor device
US7915178B2 (en) * 2008-07-30 2011-03-29 North Carolina State University Passivation of aluminum nitride substrates
US8263502B2 (en) * 2008-08-13 2012-09-11 Synos Technology, Inc. Forming substrate structure by filling recesses with deposition material
US8945305B2 (en) * 2010-08-31 2015-02-03 Micron Technology, Inc. Methods of selectively forming a material using parylene coating
US9460912B2 (en) * 2012-04-12 2016-10-04 Air Products And Chemicals, Inc. High temperature atomic layer deposition of silicon oxide thin films
KR102358566B1 (ko) * 2015-08-04 2022-02-04 삼성전자주식회사 물질막 형성 방법
JP6573575B2 (ja) * 2016-05-02 2019-09-11 東京エレクトロン株式会社 凹部の埋め込み方法
US9812320B1 (en) * 2016-07-28 2017-11-07 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10900120B2 (en) * 2017-07-14 2021-01-26 Asm Ip Holding B.V. Passivation against vapor deposition
US10892161B2 (en) * 2017-11-14 2021-01-12 Applied Materials, Inc. Enhanced selective deposition process

Patent Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20130078392A1 (en) * 2011-09-27 2013-03-28 Air Products And Chemicals, Inc. Halogenated organoaminosilane precursors and methods for depositing films comprising same
CN106558477A (zh) * 2015-09-28 2017-04-05 台湾积体电路制造股份有限公司 具有中间层的半导体结构的图案化工艺
US20180005814A1 (en) * 2016-07-01 2018-01-04 Lam Research Corporation Selective atomic layer deposition with post-dose treatment

Also Published As

Publication number Publication date
JP2023508992A (ja) 2023-03-06
TW202126851A (zh) 2021-07-16
WO2021133774A1 (en) 2021-07-01
TWI752770B (zh) 2022-01-11
EP4065746A1 (en) 2022-10-05
EP4065746A4 (en) 2023-06-28
KR20220123035A (ko) 2022-09-05
US20230058258A1 (en) 2023-02-23

Similar Documents

Publication Publication Date Title
KR102192161B1 (ko) GeO2의 원자층 증착
US11605535B2 (en) Boron-containing compounds, compositions, and methods for the deposition of a boron containing films
US20160225616A1 (en) Method and precursors for manufacturing 3d devices
US8932674B2 (en) Vapor deposition methods of SiCOH low-k films
TWI750577B (zh) 用於含矽膜的組合物及使用其的方法
EP3620550B1 (en) Methods for making silicon containing films that have high carbon content
KR102153564B1 (ko) 컨포멀한 금속 또는 메탈로이드 실리콘 니트라이드 막을 증착시키는 방법
US20220333241A1 (en) Compositions and methods using same for non-conformal deposition of silicon containing films
CN114867888A (zh) 用于沉积膜的方法
US20220076947A1 (en) Precursors and processes for deposition of si-containing films using ald at temperature of 550°c or higher

Legal Events

Date Code Title Description
PB01 Publication
PB01 Publication
SE01 Entry into force of request for substantive examination
SE01 Entry into force of request for substantive examination