CN109476848B - 形成含si膜的组合物及其制造与使用方法 - Google Patents

形成含si膜的组合物及其制造与使用方法 Download PDF

Info

Publication number
CN109476848B
CN109476848B CN201780018923.1A CN201780018923A CN109476848B CN 109476848 B CN109476848 B CN 109476848B CN 201780018923 A CN201780018923 A CN 201780018923A CN 109476848 B CN109476848 B CN 109476848B
Authority
CN
China
Prior art keywords
sih
precursor
hexyl
group
formula
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Active
Application number
CN201780018923.1A
Other languages
English (en)
Other versions
CN109476848A (zh
Inventor
马尼什·坎德尔沃
肖恩·克里根
让-马克·吉拉尔
安东尼奥·桑切斯
张鹏
王洋
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
LAir Liquide SA pour lEtude et lExploitation des Procedes Georges Claude
Original Assignee
LAir Liquide SA pour lEtude et lExploitation des Procedes Georges Claude
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by LAir Liquide SA pour lEtude et lExploitation des Procedes Georges Claude filed Critical LAir Liquide SA pour lEtude et lExploitation des Procedes Georges Claude
Publication of CN109476848A publication Critical patent/CN109476848A/zh
Application granted granted Critical
Publication of CN109476848B publication Critical patent/CN109476848B/zh
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Classifications

    • CCHEMISTRY; METALLURGY
    • C07ORGANIC CHEMISTRY
    • C07FACYCLIC, CARBOCYCLIC OR HETEROCYCLIC COMPOUNDS CONTAINING ELEMENTS OTHER THAN CARBON, HYDROGEN, HALOGEN, OXYGEN, NITROGEN, SULFUR, SELENIUM OR TELLURIUM
    • C07F7/00Compounds containing elements of Groups 4 or 14 of the Periodic System
    • C07F7/02Silicon compounds
    • C07F7/08Compounds having one or more C—Si linkages
    • C07F7/10Compounds having one or more C—Si linkages containing nitrogen having a Si-N linkage
    • CCHEMISTRY; METALLURGY
    • C08ORGANIC MACROMOLECULAR COMPOUNDS; THEIR PREPARATION OR CHEMICAL WORKING-UP; COMPOSITIONS BASED THEREON
    • C08GMACROMOLECULAR COMPOUNDS OBTAINED OTHERWISE THAN BY REACTIONS ONLY INVOLVING UNSATURATED CARBON-TO-CARBON BONDS
    • C08G77/00Macromolecular compounds obtained by reactions forming a linkage containing silicon with or without sulfur, nitrogen, oxygen or carbon in the main chain of the macromolecule
    • C08G77/60Macromolecular compounds obtained by reactions forming a linkage containing silicon with or without sulfur, nitrogen, oxygen or carbon in the main chain of the macromolecule in which all the silicon atoms are connected by linkages other than oxygen atoms
    • CCHEMISTRY; METALLURGY
    • C08ORGANIC MACROMOLECULAR COMPOUNDS; THEIR PREPARATION OR CHEMICAL WORKING-UP; COMPOSITIONS BASED THEREON
    • C08GMACROMOLECULAR COMPOUNDS OBTAINED OTHERWISE THAN BY REACTIONS ONLY INVOLVING UNSATURATED CARBON-TO-CARBON BONDS
    • C08G77/00Macromolecular compounds obtained by reactions forming a linkage containing silicon with or without sulfur, nitrogen, oxygen or carbon in the main chain of the macromolecule
    • C08G77/60Macromolecular compounds obtained by reactions forming a linkage containing silicon with or without sulfur, nitrogen, oxygen or carbon in the main chain of the macromolecule in which all the silicon atoms are connected by linkages other than oxygen atoms
    • C08G77/62Nitrogen atoms
    • CCHEMISTRY; METALLURGY
    • C09DYES; PAINTS; POLISHES; NATURAL RESINS; ADHESIVES; COMPOSITIONS NOT OTHERWISE PROVIDED FOR; APPLICATIONS OF MATERIALS NOT OTHERWISE PROVIDED FOR
    • C09DCOATING COMPOSITIONS, e.g. PAINTS, VARNISHES OR LACQUERS; FILLING PASTES; CHEMICAL PAINT OR INK REMOVERS; INKS; CORRECTING FLUIDS; WOODSTAINS; PASTES OR SOLIDS FOR COLOURING OR PRINTING; USE OF MATERIALS THEREFOR
    • C09D183/00Coating compositions based on macromolecular compounds obtained by reactions forming in the main chain of the macromolecule a linkage containing silicon, with or without sulfur, nitrogen, oxygen, or carbon only; Coating compositions based on derivatives of such polymers
    • C09D183/16Coating compositions based on macromolecular compounds obtained by reactions forming in the main chain of the macromolecule a linkage containing silicon, with or without sulfur, nitrogen, oxygen, or carbon only; Coating compositions based on derivatives of such polymers in which all the silicon atoms are connected by linkages other than oxygen atoms
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02205Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition
    • H01L21/02208Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si
    • H01L21/02219Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si the compound comprising silicon and nitrogen
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • CCHEMISTRY; METALLURGY
    • C08ORGANIC MACROMOLECULAR COMPOUNDS; THEIR PREPARATION OR CHEMICAL WORKING-UP; COMPOSITIONS BASED THEREON
    • C08GMACROMOLECULAR COMPOUNDS OBTAINED OTHERWISE THAN BY REACTIONS ONLY INVOLVING UNSATURATED CARBON-TO-CARBON BONDS
    • C08G77/00Macromolecular compounds obtained by reactions forming a linkage containing silicon with or without sulfur, nitrogen, oxygen or carbon in the main chain of the macromolecule
    • C08G77/70Siloxanes defined by use of the MDTQ nomenclature

Abstract

披露了形成含SI膜的组合物,这些组合物包含具有下式的前体[‑NR‑R4R5Si‑(CH2)t‑SiR2R3‑]n其中n=2至400;R、R2、R3、R4、和R5独立地是H、烃基、或烷基氨基,并且其条件是R2、R3、R4、和R5中的至少一个是H;并且R独立地是H、烃基或甲硅烷基。示例性前体包括但不限于[‑NH‑SiH2‑CH2‑SiH2‑]n和[‑N(SiH2‑CH2‑SiH3)‑SiH2‑CH2‑SiH2‑]n

Description

形成含SI膜的组合物及其制造与使用方法
相关申请的交叉引用
本申请要求2016年3月23日提交的美国临时专利申请号62/312,352的权益,出于所有目的通过援引方式以其全部内容结合在本申请中。
技术领域
披露了形成含SI膜的组合物,这些组合物包含含有具有下式的单元的前体:
[-NR-R4R5Si-(CH2)t-SiR2R3-]n (II)
其中m=1至4;t=1至4;n=2至400;R2、R3、R4、和R5独立地是H、C1至C6烃基、或具有式NR”2的烷基氨基并且每个R”独立地是H、C1-C6烃基、C6-C12芳基,或NR”2形成环胺基团,并且其条件是R2、R3、R4、和R5中的至少一个是H;并且R是H;C1-C6烃基;具有式SixR’2x+1的甲硅烷基,其中x=1至4并且每个R’独立地=H、C1-C6烃基、或具有式NR”2的烷基氨基并且每个R”独立地是H、C1-C6基团、C6-C12芳基,或NR”2形成环胺基团;或R1’R2’R3’Si(CH2)bSiR4’R5’基团,其中b=1至2并且R1’、R2’、R3’、R4’、和R5’独立地是H、C1-C6烃基、C6-C12芳基、或具有式NR”2的烷基氨基并且每个R”独立地是H、C1-C6基团、C6-C12芳基、或NR”2形成环胺基团;并且其条件是R1’、R2’、R3’、R4’、和R5’中的至少一个是H。
背景技术
含Si膜广泛用于半导体、光伏、LCD-TFT、平板型器件、耐火材料或航空工业中。例如,可以使用含Si膜作为具有可以是绝缘的电特性的介电材料(SiO2、SiN、SiC、SiCN、SiCOH、MSiOx,其中M是Hf、Zr、Ti、Nb、Ta、或Ge并且x是0-4)。含Si膜也可用作导电膜,例如金属硅化物或金属硅氮化物。由电子器件架构向纳米尺度(特别是低于28nm节点)缩小而施加的严格要求,需要越来越精细调整的分子前体,其除了满足高沉积速率、所产生的膜的保形性和一致性之外,还满足挥发性(用于气相沉积工艺),更低的工艺温度,与各种氧化剂的反应性和低膜污染的要求。
Hizawa和Nojimoto(Kogyo Kagaku Zasshi,1956,59,1359-63)描述了由Me3SiCH2SiMe2Cl和NH3的反应合成(Me3SiCH2SiMe2)2NH。
O’Neill等人(美国专利申请公开号2015/0087139)披露了五类有机氨基硅烷前体,包括H3Si-R3-SiH2-NR1-SiH2-R3-SiH3,其中R1是直链或支链C1至C12烃基、直链或支链C3至C12烯基、直链或支链C3至C12炔基、C3至C12环烷基、或C5至C12芳基并且R3是直链或支链C1至C12亚烷基、直链或支链C3至C6亚炔基、C3至C12环亚烷基、C3至C12杂环亚烷基、C5至C12亚芳基、或C5至C12杂亚芳基。
Fafard等人的WO 2016/049154披露了用于沉积含Si膜的碳硅烷取代的胺前体。碳硅烷取代的胺前体具有式(R1)aN(-SiHR2-CH2-SiH2R3)3-a,其中a=0或1;R1是H、C1至C6烷基、或卤素;R2和R3各自独立地是H、卤素、烷氧基、或烷基氨基。
Kerrigan等人的WO 2016/160991披露了碳硅烷与氨、胺和脒的催化脱氢偶联。
仍然需要设计和生产Si沉积前体,尤其是以无卤素和/或更多选择性路线设计和生产前体,以提供装置工程师调整制造工艺要求并获得具有所需电和物理特性的膜的能力。
发明内容
披露了形成含SI膜的组合物,这些组合物包含具有下式的前体:
RaN(R4R5Si(CH2)mSiR1R2R3)3-a (I)
或包含具有下式的单元:
[-NR-R4R5Si-(CH2)t-SiR2R3-]n (II)
其中
a=0至1;
m=1至4;
t=1至4;
n=2至400;
R1、R2、R3、R4、和R5独立地是H、烃基(C1至C6)、或具有式NR”2的烷基氨基并且每个R”独立地是H、C1-C6烃基、C6-C12芳基,或NR”2形成环胺基团,并且其条件是R1、R2、R3、R4、和R5中的至少一个是H;并且
R是H;C1-C6烃基;具有式SixR’2x+1的甲硅烷基,其中x=1至4并且每个R’独立地=H、C1-C6烃基、或具有式NR”2的烷基氨基并且每个R”独立地是H、C1-C6基团、C6-C12芳基,或NR”2形成环胺基团;或R1’R2’R3’Si(CH2)bSiR4’R5’基团,其中b=1至2并且R1’、R2’、R3’、R4’、和R5’独立地是H、C1-C6烃基、C6-C12芳基、或具有式NR”2的烷基氨基并且每个R”独立地是H、C1-C6基团、C6-C12芳基,或NR”2形成环胺基团;并且其条件是R1’、R2’、R3’、R4’、和R5’中的至少一个是H。所披露的含Si成膜组合物可包括以下一个或多个方面:
·m=1至2;
·t=1至2;
·a=0并且m=1;
·前体是N(SiR4R5(CH2)SiR1R2R3)3
·R1=R2=R3=R4=R5=H;
·前体是N(-SiH2-CH2-SiH3)3
·R1、R2、或R3中的至少一个=H;
·R4或R5中的至少一个=H
·R1、R2、或R3中的至少一个并且R4或R5中至少一个=H;
·R1、R2、R3和R4=H;
·R1、R2、R3、R4、或R5中的至少一个是乙烯基;
·R1、R2、R3、R4、或R5中的至少一个是烯丙基;
·R1、R2、R3、R4、或R5中的至少一个是苯基;
·R2、R3、R4和R5=H;
·前体是N(SiH2-CH2-SiH2(CH2=CH))3
·前体是N(SiH2-CH2-SiH2(CH2=CH-CH2))3
·前体是N(SiH2-CH2-SiH2(NH2))3
·前体是N(SiH2-CH2-SiH2(NMe2))3
·前体是N(SiH2-CH2-SiH2(NMeEt))3
·前体是N(SiH2-CH2-SiH2(NEt2))3
·前体是N(SiH2-CH2-SiH2(NnPr2))3
·前体是N(SiH2-CH2-SiH2(NiPr2))3
·前体是N(SiH2-CH2-SiH2(NBu2))3
·前体是N(SiH2-CH2-SiH2(NiBu2))3
·前体是N(SiH2-CH2-SiH2(NtBu2))3
·前体是N(SiH2-CH2-SiH2(NAm2))3
·前体是N(SiH2-CH2-SiH2(NCy戊基2))3
·前体是N(SiH2-CH2-SiH2(N己基2))3
·前体是N(SiH2-CH2-SiH2(NCyHex2))3
·前体是N(SiH2-CH2-SiH2(NMeH))3
·前体是N(SiH2-CH2-SiH2(NEtH))3
·前体是N(SiH2-CH2-SiH2(NnPrH))3
·前体是N(SiH2-CH2-SiH2(NiPrH))3
·前体是N(SiH2-CH2-SiH2(NBuH))3
·前体是N(SiH2-CH2-SiH2(NiBuH))3
·前体是N(SiH2-CH2-SiH2(NtBuH))3
·前体是N(SiH2-CH2-SiH2(NAmH))3
·前体是N(SiH2-CH2-SiH2(吡啶))3
·前体是N(SiH2-CH2-SiH2(吡咯))3
·前体是N(SiH2-CH2-SiH2(吡咯烷))3
·前体是N(SiH2-CH2-SiH2(咪唑))3
·前体是N(SiH2-CH2-SiH2(嘧啶))3
·前体是N(SiH2-CH2-SiH2(哌啶))3
·R1、R2和R3=H;
·R2、R3和R4=H;
·前体是N(SiH(CH2=CH)-CH2-SiH2(CH2=CH))3
·前体是N(SiH(CH2=CH-CH2)-CH2-SiH2(CH2=CH-CH2))3
·前体是N(SiH(NH2)-CH2-SiH2(NH2))3
·前体是N(SiH(NMe2)-CH2-SiH2(NMe2))3
·前体是N(SiH(NMeEt)-CH2-SiH2(NMeEt))3
·前体是N(SiH(NEt2)-CH2-SiH2(NEt2))3
·前体是N(SiH(NnPr2)-CH2-SiH2(NnPr2))3
·前体是N(SiH(NiPr2)-CH2-SiH2(NiPr2))3
·前体是N(SiH(NBu2)-CH2-SiH2(NBu2))3
·前体是N(SiH(NiBu2)-CH2-SiH2(NiBu2))3
·前体是N(SiH(NtBu2)-CH2-SiH2(NtBu2))3
·前体是N(SiH(NAm2)-CH2-SiH2(NAm2))3
·前体是N(SiH(NCy戊基2)-CH2-SiH2(NCy戊基2))3
·前体是N(SiH(N己基2)-CH2-SiH2(N己基2))3
·前体是N(SiH(NCyHex2)-CH2-SiH2(NCyHex2))3
·前体是N(SiH(NMeH)-CH2-SiH2(NMeH))3
·前体是N(SiH(NEtH)-CH2-SiH2(NEtH))3
·前体是N(SiH(NnPrH)-CH2-SiH2(NnPrH))3
·前体是N(SiH(NiPrH)-CH2-SiH2(NiPrH))3
·前体是N(SiH(NBuH)-CH2-SiH2(NBuH))3
·前体是N(SiH(NiBuH)-CH2-SiH2(NiBuH))3
·前体是N(SiH(NtBuH)-CH2-SiH2(NtBuH))3
·前体是N(SiH(NAmH)-CH2-SiH2(NAmH))3
·前体是N(SiH(吡啶)-CH2-SiH2(吡啶))3
·前体是N(SiH(吡咯)-CH2-SiH2(吡咯))3
·前体是N(SiH(吡咯烷)-CH2-SiH2(吡咯烷))3
·前体是N(SiH(咪唑)-CH2-SiH2(咪唑))3
·前体是N(SiH(哌啶)-CH2-SiH2(咪唑))3
·前体是N(SiH(嘧啶)-CH2-SiH2(咪唑))3
·R3、R4和R5=H;
·前体是N(SiH2-CH2-SiH(CH2=CH)2)3
·前体是N(SiH2-CH2-SiH(CH2=CH-CH2)2)3
·前体是N(SiH2-CH2-SiH(NH2)2)3
·前体是N(SiH2-CH2-SiH(NMe2)2)3
·前体是N(SiH2-CH2-SiH(NMeEt)2)3
·前体是N(SiH2-CH2-SiH(NEt2)2)3
·前体是N(SiH2-CH2-SiH(NnPr2)2)3
·前体是N(SiH2-CH2-SiH(NiPr2)2)3
·前体是N(SiH2-CH2-SiH(NBu2)2)3
·前体是N(SiH2-CH2-SiH(NiBu2)2)3
·前体是N(SiH2-CH2-SiH(NtBu2)2)3
·前体是N(SiH2-CH2-SiH(NAm2)2)3
·前体是N(SiH2-CH2-SiH(NCy戊基2)2)3
·前体是N(SiH2-CH2-SiH(N己基2)2)3
·前体是N(SiH2-CH2-SiH(NCyHex2)2)3
·前体是N(SiH2-CH2-SiH(NMeH)2)3
·前体是N(SiH2-CH2-SiH(NEtH)2)3
·前体是N(SiH2-CH2-SiH(NnPrH)2)3
·前体是N(SiH2-CH2-SiH(NiPrH)2)3
·前体是N(SiH2-CH2-SiH(NBuH)2)3
·前体是N(SiH2-CH2-SiH(NiBuH)2)3
·前体是N(SiH2-CH2-SiH(NtBuH)2)3
·前体是N(SiH2-CH2-SiH(NAmH)2)3
·前体是N(SiH2-CH2-SiH(吡啶)2)3
·前体是N(SiH2-CH2-SiH(吡咯)2)3
·前体是N(SiH2-CH2-SiH(吡咯烷)2)3
·前体是N(SiH2-CH2-SiH(咪唑)2)3
·前体是N(SiH2-CH2-SiH(哌啶)2)3
·前体是N(SiH2-CH2-SiH(嘧啶)2)3
·R4和R5=H;
·前体是N(SiH2-CH2-Si(CH2=CH)3)3
·前体是N(SiH2-CH2-Si(CH2=CH-CH2)3)3
·前体是N(SiH2-CH2-Si(NH2)3)3
·前体是N(SiH2-CH2-Si(NMe2)3)3
·前体是N(SiH2-CH2-Si(NMeEt)3)3
·前体是N(SiH2-CH2-Si(NEt2)3)3
·前体是N(SiH2-CH2-Si(NnPr2)3)3
·前体是N(SiH2-CH2-Si(NiPr2)3)3
·前体是N(SiH2-CH2-Si(NBu2)3)3
·前体是N(SiH2-CH2-Si(NiBu2)3)3
·前体是N(SiH2-CH2-Si(NtBu2)3)3
·前体是N(SiH2-CH2-Si(NAm2)3)3
·前体是N(SiH2-CH2-Si(NCy戊基2)3)3
·前体是N(SiH2-CH2-Si(N己基2)3)3
·前体是N(SiH2-CH2-Si(NCyHex2)3)3
·前体是N(SiH2-CH2-Si(NMeH)3)3
·前体是N(SiH2-CH2-Si(NEtH)3)3
·前体是N(SiH2-CH2-Si(NnPrH)3)3
·前体是N(SiH2-CH2-Si(NiPrH)3)3
·前体是N(SiH2-CH2-Si(NBuH)3)3
·前体是N(SiH2-CH2-Si(NiBuH)3)3
·前体是N(SiH2-CH2-Si(NtBuH)3)3
·前体是N(SiH2-CH2-Si(NAmH)3)3
·前体是N(SiH2-CH2-Si(吡啶)3)3
·前体是N(SiH2-CH2-Si(吡咯)3)3
·前体是N(SiH2-CH2-Si(吡咯烷)3)3
·前体是N(SiH2-CH2-Si(咪唑)3)3
·前体是N(SiH2-CH2-Si(哌啶)3)3
·前体是N(SiH2-CH2-Si(嘧啶)3)3
·a=0并且m=2;
·前体是N(SiR4R5(CH2CH2)SiR1R2R3)3
·R1、R2、R3、R4和R5=H;
·前体是N(SiH2-CH2-CH2-SiH3)3
·R1、R2、R3和R4=H;
·R2、R3、R4和R5=H;
·前体是N(SiH2-CH2-CH2-SiH2(CH2=CH))3
·前体是N(SiH2-CH2-CH2-SiH2(CH2=CH-CH2))3
·前体是N(SiH2-CH2-CH2-SiH2(NH2))3
·前体是N(SiH2-CH2-CH2-SiH2(NMe2))3
·前体是N(SiH2-CH2-CH2-SiH2(NMeEt))3
·前体是N(SiH2-CH2-CH2-SiH2(NEt2))3
·前体是N(SiH2-CH2-CH2-SiH2(NnPr2))3
·前体是N(SiH2-CH2-CH2-SiH2(NiPr2))3
·前体是N(SiH2-CH2-CH2-SiH2(NBu2))3
·前体是N(SiH2-CH2-CH2-SiH2(NiBu2))3
·前体是N(SiH2-CH2-CH2-SiH2(NtBu2))3
·前体是N(SiH2-CH2-CH2-SiH2(NAm2))3
·前体是N(SiH2-CH2-CH2-SiH2(NCy戊基2))3
·前体是N(SiH2-CH2-CH2-SiH2(N己基2))3
·前体是N(SiH2-CH2-CH2-SiH2(NCyHex2))3
·前体是N(SiH2-CH2-CH2-SiH2(NMeH))3
·前体是N(SiH2-CH2-CH2-SiH2(NEtH))3
·前体是N(SiH2-CH2-CH2-SiH2(NnPrH))3
·前体是N(SiH2-CH2-CH2-SiH2(NiPrH))3
·前体是N(SiH2-CH2-CH2-SiH2(NBuH))3
·前体是N(SiH2-CH2-CH2-SiH2(NiBuH))3
·前体是N(SiH2-CH2-CH2-SiH2(NtBuH))3
·前体是N(SiH2-CH2-CH2-SiH2(NAmH))3
·前体是N(SiH2-CH2-CH2-SiH2(吡啶))3
·前体是N(SiH2-CH2-CH2-SiH2(吡咯))3
·前体是N(SiH2-CH2-CH2-SiH2(吡咯烷))3
·前体是N(SiH2-CH2-CH2-SiH2(咪唑))3
·前体是N(SiH2-CH2-CH2-SiH2(哌啶))3
·前体是N(SiH2-CH2-CH2-SiH2(嘧啶))3
·R1、R2和R3=H;
·R2、R3和R4=H;
·前体是N(SiH(CH2=CH)-CH2-CH2-SiH2(CH2=CH))3
·前体是N(SiH(CH2=CH-CH2)-CH2-CH2-SiH2(CH2=CH-CH2))3
·前体是N(SiH(NH2)-CH2-CH2-SiH2(NH2))3
·前体是N(SiH(NMe2)-CH2-CH2-SiH2(NMe2))3
·前体是N(SiH(NMeEt)-CH2-CH2-SiH2(NMeEt))3
·前体是N(SiH(NEt2)-CH2-CH2-SiH2(NEt2))3
·前体是N(SiH(NnPr2)-CH2-CH2-SiH2(NnPr2))3
·前体是N(SiH(NiPr2)-CH2-CH2-SiH2(NiPr2))3
·前体是N(SiH(NBu2)-CH2-CH2-SiH2(NBu2))3
·前体是N(SiH(NiBu2)-CH2-CH2-SiH2(NiBu2))3
·前体是N(SiH(NtBu2)-CH2-CH2-SiH2(NtBu2))3
·前体是N(SiH(NAm2)-CH2-CH2-SiH2(NAm2))3
·前体是N(SiH(NCy戊基2)-CH2-CH2-SiH2(NCy戊基2))3
·前体是N(SiH(N己基2)-CH2-CH2-SiH2(N己基2))3
·前体是N(SiH(NCyHex2)-CH2-CH2-SiH2(NCyHex2))3
·前体是N(SiH(NMeH)-CH2-CH2-SiH2(NMeH))3
·前体是N(SiH(NEtH)-CH2-CH2-SiH2(NEtH))3
·前体是N(SiH(NnPrH)-CH2-CH2-SiH2(NnPrH))3
·前体是N(SiH(NiPrH)-CH2-CH2-SiH2(NiPrH))3
·前体是N(SiH(NBuH)-CH2-CH2-SiH2(NBuH))3
·前体是N(SiH(NiBuH)-CH2-CH2-SiH2(NiBuH))3
·前体是N(SiH(NtBuH)-CH2-CH2-SiH2(NtBuH))3
·前体是N(SiH(NAmH)-CH2-CH2-SiH2(NAmH))3
·前体是N(SiH(吡啶)-CH2-CH2-SiH2(吡啶))3
·前体是N(SiH(吡咯)-CH2-CH2-SiH2(吡咯))3
·前体是N(SiH(吡咯烷)-CH2-CH2-SiH2(吡咯烷))3
·前体是N(SiH(咪唑)-CH2-CH2-SiH2(咪唑))3
·前体是N(SiH(哌啶)-CH2-CH2-SiH2(哌啶))3
·前体是N(SiH(嘧啶)-CH2-CH2-SiH2(嘧啶))3
·R3、R4和R5=H;
·前体是N(SiH2-CH2-CH2-SiH(CH2=CH)2)3
·前体是N(SiH2-CH2-CH2-SiH(CH2=CH-CH2)2)3
·前体是N(SiH2-CH2-CH2-SiH(NH2)2)3
·前体是N(SiH2-CH2-CH2-SiH(NMe2)2)3
·前体是N(SiH2-CH2-CH2-SiH(NMeEt)2)3
·前体是N(SiH2-CH2-CH2-SiH(NEt2)2)3
·前体是N(SiH2-CH2-CH2-SiH(NnPr2)2)3
·前体是N(SiH2-CH2-CH2-SiH(NiPr2)2)3
·前体是N(SiH2-CH2-CH2-SiH(NBu2)2)3
·前体是N(SiH2-CH2-CH2-SiH(NiBu2)2)3
·前体是N(SiH2-CH2-CH2-SiH(NtBu2)2)3
·前体是N(SiH2-CH2-CH2-SiH(NAm2)2)3
·前体是N(SiH2-CH2-CH2-SiH(NCy戊基2)2)3
·前体是N(SiH2-CH2-CH2-SiH(N己基2)2)3
·前体是N(SiH2-CH2-CH2-SiH(NCyHex2)2)3
·前体是N(SiH2-CH2-CH2-SiH(NMeH)2)3
·前体是N(SiH2-CH2-CH2-SiH(NEtH)2)3
·前体是N(SiH2-CH2-CH2-SiH(NnPrH)2)3
·前体是N(SiH2-CH2-CH2-SiH(NiPrH)2)3
·前体是N(SiH2-CH2-CH2-SiH(NBuH)2)3
·前体是N(SiH2-CH2-CH2-SiH(NiBuH)2)3
·前体是N(SiH2-CH2-CH2-SiH(NtBuH)2)3
·前体是N(SiH2-CH2-CH2-SiH(NAmH)2)3
·前体是N(SiH2-CH2-CH2-SiH(吡啶)2)3
·前体是N(SiH2-CH2-CH2-SiH(吡咯)2)3
·前体是N(SiH2-CH2-CH2-SiH(吡咯烷)2)3
·前体是N(SiH2-CH2-CH2-SiH(咪唑)2)3
·前体是N(SiH2-CH2-CH2-SiH(哌啶)2)3
·前体是N(SiH2-CH2-CH2-SiH(嘧啶)2)3
·R4和R5=H;
·前体是N(SiH2-CH2-CH2-Si(CH2=CH)3)3
·前体是N(SiH2-CH2-CH2-Si(CH2=CH-CH2)3)3
·前体是N(SiH2-CH2-CH2-Si(NH2)3)3
·前体是N(SiH2-CH2-CH2-Si(NMe2)3)3
·前体是N(SiH2-CH2-CH2-Si(NMeEt)3)3
·前体是N(SiH2-CH2-CH2-Si(NEt2)3)3
·前体是N(SiH2-CH2-CH2-Si(NnPr2)3)3
·前体是N(SiH2-CH2-CH2-Si(NiPr2)3)3
·前体是N(SiH2-CH2-CH2-Si(NBu2)3)3
·前体是N(SiH2-CH2-CH2-Si(NiBu2)3)3
·前体是N(SiH2-CH2-CH2-Si(NtBu2)3)3
·前体是N(SiH2-CH2-CH2-Si(NAm2)3)3
·前体是N(SiH2-CH2-CH2-Si(NCy戊基2)3)3
·前体是N(SiH2-CH2-CH2-Si(N己基2)3)3
·前体是N(SiH2-CH2-CH2-Si(NCyHex2)3)3
·前体是N(SiH2-CH2-CH2-Si(NMeH)3)3
·前体是N(SiH2-CH2-CH2-Si(NEtH)3)3
·前体是N(SiH2-CH2-CH2-Si(NnPrH)3)3
·前体是N(SiH2-CH2-CH2-Si(NiPrH)3)3
·前体是N(SiH2-CH2-CH2-Si(NBuH)3)3
·前体是N(SiH2-CH2-CH2-Si(NiBuH)3)3
·前体是N(SiH2-CH2-CH2-Si(NtBuH)3)3
·前体是N(SiH2-CH2-CH2-Si(NAmH)3)3
·前体是N(SiH2-CH2-CH2-Si(吡啶)3)3
·前体是N(SiH2-CH2-CH2-Si(吡咯)3)3
·前体是N(SiH2-CH2-CH2-Si(吡咯烷)3)3
·前体是N(SiH2-CH2-CH2-Si(咪唑)3)3
·前体是N(SiH2-CH2-CH2-Si(哌啶)3)3
·前体是N(SiH2-CH2-CH2-Si(嘧啶)3)3
·a=1并且m=1;
·前体是RN(SiR4R5(CH2)SiR1R2R3)2
·R、R1、R2、R3、R4和R5=H;
·前体是HN(SiH2-CH2-SiH3)2
·R、R1、R2、R3、R4、或R5中的至少一个是乙烯基;
·R、R1、R2、R3、R4、或R5中的至少一个是烯丙基;
·R、R1、R2、R3、R4、或R5中的至少一个是苯基;
·R1、R2、R3、R4和R5=H并且R=SixH2x+1(x=1至4);
·前体是SiH3N(SiH2-CH2-SiH3)2
·前体是Si2H5N(SiH2-CH2-SiH3)2
·前体是Si3H7N(SiH2-CH2-SiH3)2
·前体是Si4H9N(SiH2-CH2-SiH3)2
·R1、R2、R3、R4和R5=H并且R=CyH2y+1(y=1至6);
·前体是(Me)N(SiH2-CH2-SiH3)2
·前体是(Et)N(SiH2-CH2-SiH3)2
·前体是(nPr)N(SiH2-CH2-SiH3)2
·前体是(iPr)N(SiH2-CH2-SiH3)2
·前体是(Bu)N(SiH2-CH2-SiH3)2
·前体是(iBu)N(SiH2-CH2-SiH3)2
·前体是(tBu)N(SiH2-CH2-SiH3)2
·前体是(戊基)N(SiH2-CH2-SiH3)2
·前体是(己基)N(SiH2-CH2-SiH3)2
·前体是(SiMe3)N(SiH2-CH2-SiH3)2
·前体是(SiEt3)N(SiH2-CH2-SiH3)2
·前体是Si(iPr)3N(SiH2-CH2-SiH3)2
·前体是Si(nPr)3N(SiH2-CH2-SiH3)2
·前体是Si(Bu)3N(SiH2-CH2-SiH3)2
·前体是Si(iBu)3N(SiH2-CH2-SiH3)2
·前体是Si(tBu)3N(SiH2-CH2-SiH3)2
·前体是Si(戊基)3N(SiH2-CH2-SiH3)2
·前体是Si(己基)3N(SiH2-CH2-SiH3)2
·前体是SiHMe2N(SiH2-CH2-SiH3)2
·前体是SiHEt2N(SiH2-CH2-SiH3)2
·前体是SiH(iPr)2N(SiH2-CH2-SiH3)2
·前体是SiH(nPr)2N(SiH2-CH2-SiH3)2
·前体是SiH(Bu)2N(SiH2-CH2-SiH3)2
·前体是SiH(iBu)2N(SiH2-CH2-SiH3)2
·前体是SiH(tBu)2N(SiH2-CH2-SiH3)2
·前体是SiH(戊基)2N(SiH2-CH2-SiH3)2
·前体是SiH(己基)2N(SiH2-CH2-SiH3)2
·前体是SiH2MeN(SiH2-CH2-SiH3)2
·前体是SiH2EtN(SiH2-CH2-SiH3)2
·前体是SiH2(iPr)N(SiH2-CH2-SiH3)2
·前体是SiH2(nPr)N(SiH2-CH2-SiH3)2
·前体是SiH2(Bu)N(SiH2-CH2-SiH3)2
·前体是SiH2(iBu)N(SiH2-CH2-SiH3)2
·前体是SiH2(tBu)N(SiH2-CH2-SiH3)2
·前体是SiH2(戊基)N(SiH2-CH2-SiH3)2
·前体是SiH2(己基)N(SiH2-CH2-SiH3)2
·前体是(SiH3-CH2-CH2-SiH2)N(SiH2-CH2-SiH3)2
·前体是(SiMe3-CH2-SiMe2)N(SiH2-CH2-SiH3)2
·前体是(SiMe3-CH2-CH2-SiMe2)N(SiH2-CH2-SiH3)2
·前体是(SiEt3-CH2-SiEt2)N(SiH2-CH2-SiH3)2
·前体是(SiEt3-CH2-CH2-SiEt2)N(SiH2-CH2-SiH3)2
·前体是(SiH2NMe2)N(SiH2-CH2-SiH3)2
·前体是(SiH2NEt2)N(SiH2-CH2-SiH3)2
·前体是(SiH2NMeEt)N(SiH2-CH2-SiH3)2
·前体是(SiH(NMe2)2)N(SiH2-CH2-SiH3)2
·前体是(SiH(NEt2)2)N(SiH2-CH2-SiH3)2
·R1、R2、R3和R4=H并且R=H、CuH2u+1、或SivH2v-1,其中u=1-6并且v=1-4;
·R2、R3、R4和R5=H并且R=H、CuH2u+1、或SivH2v-1,其中u=1-6并且v=1-4;
·前体是RN(SiH2-CH2-SiH2(CH2=CH))2
·前体是RN(SiH2-CH2-SiH2(CH2=CH-CH2))2
·前体是RN(SiH2-CH2-SiH2(NH2))2
·前体是RN(SiH2-CH2-SiH2(NMe2))2
·前体是RN(SiH2-CH2-SiH2(NMeEt))2
·前体是RN(SiH2-CH2-SiH2(NEt2))2
·前体是RN(SiH2-CH2-SiH2(NnPr2))2
·前体是RN(SiH2-CH2-SiH2(NiPr2))2
·前体是RN(SiH2-CH2-SiH2(NBu2))2
·前体是RN(SiH2-CH2-SiH2(NiBu2))2
·前体是RN(SiH2-CH2-SiH2(NtBu2))2
·前体是RN(SiH2-CH2-SiH2(NAm2))2
·前体是RN(SiH2-CH2-SiH2(NCy戊基2))2
·前体是RN(SiH2-CH2-SiH2(N己基2))2
·前体是RN(SiH2-CH2-SiH2(NCyHex2))2
·前体是RN(SiH2-CH2-SiH2(NMeH))2
·前体是RN(SiH2-CH2-SiH2(NEtH))2
·前体是RN(SiH2-CH2-SiH2(NnPrH))2
·前体是RN(SiH2-CH2-SiH2(NiPrH))2
·前体是RN(SiH2-CH2-SiH2(NBuH))2
·前体是RN(SiH2-CH2-SiH2(NiBuH))2
·前体是RN(SiH2-CH2-SiH2(NtBuH))2
·前体是RN(SiH2-CH2-SiH2(NAmH))2
·前体是RN(SiH2-CH2-SiH2(吡啶))2
·前体是RN(SiH2-CH2-SiH2(吡咯))2
·前体是RN(SiH2-CH2-SiH2(吡咯烷))2
·前体是RN(SiH2-CH2-SiH2(咪唑))2
·前体是RN(SiH2-CH2-SiH2(哌啶))2
·前体是RN(SiH2-CH2-SiH2(嘧啶))2
·R1、R2和R3=H并且R=H、CuH2u+1、SivH2v-1,其中u=1-6并且v=1-4;
·R2、R3和R4=H并且R=H、CuH2u+1、或SivH2v-1,其中u=1-6并且v=1-4;
·前体是RN(SiH(CH2=CH)-CH2-SiH2(CH2=CH))2
·前体是RN(SiH(CH2=CH-CH2)-CH2-SiH2(CH2=CH-CH2))2
·前体是RN(SiH(NH2)-CH2-SiH2(NH2))2
·前体是RN(SiH(NMe2)-CH2-SiH2(NMe2))2
·前体是RN(SiH(NMeEt)-CH2-SiH2(NMeEt))2
·前体是RN(SiH(NEt2)-CH2-SiH2(NEt2))2
·前体是RN(SiH(NnPr2)-CH2-SiH2(NnPr2))2
·前体是RN(SiH(NiPr2)-CH2-SiH2(NiPr2))2
·前体是RN(SiH(NBu2)-CH2-SiH2(NBu2))2
·前体是RN(SiH(NiBu2)-CH2-SiH2(NiBu2))2
·前体是RN(SiH(NtBu2)-CH2-SiH2(NtBu2))2
·前体是RN(SiH(NAm2)-CH2-SiH2(NAm2))2
·前体是RN(SiH(NCy戊基2)-CH2-SiH2(NCy戊基2))2
·前体是RN(SiH(N己基2)-CH2-SiH2(N己基2))2
·前体是RN(SiH(NCyHex2)-CH2-SiH2(NCyHex2))2
·前体是RN(SiH(NMeH)-CH2-SiH2(NMeH))2
·前体是RN(SiH(NEtH)-CH2-SiH2(NEtH))2
·前体是RN(SiH(NnPrH)-CH2-SiH2(NnPrH))2
·前体是RN(SiH(NiPrH)-CH2-SiH2(NiPrH))2
·前体是RN(SiH(NBuH)-CH2-SiH2(NBuH))2
·前体是RN(SiH(NiBuH)-CH2-SiH2(NiBuH))2
·前体是RN(SiH(NtBuH)-CH2-SiH2(NtBuH))2
·前体是RN(SiH(NAmH)-CH2-SiH2(NAmH))2
·前体是RN(SiH(吡啶)-CH2-SiH2(吡啶))2
·前体是RN(SiH(吡咯)-CH2-SiH2(吡咯))2
·前体是RN(SiH(吡咯烷)-CH2-SiH2(吡咯烷))2
·前体是RN(SiH(咪唑)-CH2-SiH2(咪唑))2
·前体是RN(SiH(哌啶)-CH2-SiH2(哌啶))2
·前体是RN(SiH(嘧啶)-CH2-SiH2(嘧啶))2
·R3、R4和R5=H并且R=H、CuH2u+1、或SivH2v-1,其中u=1-6并且v=1-4;
·前体是RN(SiH2-CH2-SiH(CH2=CH)2)2
·前体是RN(SiH2-CH2-SiH(CH2=CH-CH2)2)2
·前体是RN(SiH2-CH2-SiH(NH2)2)2
·前体是RN(SiH2-CH2-SiH(NMe2)2)2
·前体是RN(SiH2-CH2-SiH(NMeEt)2)2
·前体是RN(SiH2-CH2-SiH(NEt2)2)2
·前体是RN(SiH2-CH2-SiH(NnPr2)2)2
·前体是RN(SiH2-CH2-SiH(NiPr2)2)2
·前体是RN(SiH2-CH2-SiH(NBu2)2)2
·前体是RN(SiH2-CH2-SiH(NiBu2)2)2
·前体是RN(SiH2-CH2-SiH(NtBu2)2)2
·前体是RN(SiH2-CH2-SiH(NAm2)2)2
·前体是RN(SiH2-CH2-SiH(NCy戊基2)2)2
·前体是RN(SiH2-CH2-SiH(N己基2)2)2
·前体是RN(SiH2-CH2-SiH(NCyHex2)2)2
·前体是RN(SiH2-CH2-SiH(NMeH)2)2
·前体是RN(SiH2-CH2-SiH(NEtH)2)2
·前体是RN(SiH2-CH2-SiH(NnPrH)2)2
·前体是RN(SiH2-CH2-SiH(NiPrH)2)2
·前体是RN(SiH2-CH2-SiH(NBuH)2)2
·前体是RN(SiH2-CH2-SiH(NiBuH)2)2
·前体是RN(SiH2-CH2-SiH(NtBuH)2)2
·前体是RN(SiH2-CH2-SiH(NAmH)2)2
·前体是RN(SiH2-CH2-SiH(吡啶)2)2
·前体是RN(SiH2-CH2-SiH(吡咯)2)2
·前体是RN(SiH2-CH2-SiH(吡咯烷)2)2
·前体是RN(SiH2-CH2-SiH(咪唑)2)2
·前体是RN(SiH2-CH2-SiH(哌啶)2)2
·前体是RN(SiH2-CH2-SiH(嘧啶)2)2
·R4和R5=H并且R=H、CuH2u+1、或SivH2v-1,其中u=1-6并且v=1-4;
·前体是RN(SiH2-CH2-Si(CH2=CH)3)2
·前体是RN(SiH2-CH2-Si(CH2=CH-CH2)3)2
·前体是RN(SiH2-CH2-Si(NH2)3)2
·前体是RN(SiH2-CH2-Si(NMe2)3)2
·前体是RN(SiH2-CH2-Si(NMeEt)3)2
·前体是RN(SiH2-CH2-Si(NEt2)3)2
·前体是RN(SiH2-CH2-Si(NnPr2)3)2
·前体是RN(SiH2-CH2-Si(NiPr2)3)2
·前体是RN(SiH2-CH2-Si(NBu2)3)2
·前体是RN(SiH2-CH2-Si(NiBu2)3)2
·前体是RN(SiH2-CH2-Si(NtBu2)3)2
·前体是RN(SiH2-CH2-Si(NAm2)3)2
·前体是RN(SiH2-CH2-Si(NCy戊基2)3)2
·前体是RN(SiH2-CH2-Si(N己基2)3)2
·前体是RN(SiH2-CH2-Si(NCyHex2)3)2
·前体是RN(SiH2-CH2-Si(NMeH)3)2
·前体是RN(SiH2-CH2-Si(NEtH)3)2
·前体是RN(SiH2-CH2-Si(NnPrH)3)2
·前体是RN(SiH2-CH2-Si(NiPrH)3)2
·前体是RN(SiH2-CH2-Si(NBuH)3)2
·前体是RN(SiH2-CH2-Si(NiBuH)3)2
·前体是RN(SiH2-CH2-Si(NtBuH)3)2
·前体是RN(SiH2-CH2-Si(NAmH)3)2
·前体是RN(SiH2-CH2-Si(吡啶)3)2
·前体是RN(SiH2-CH2-Si(吡咯)3)2
·前体是RN(SiH2-CH2-Si(吡咯烷)3)2
·前体是RN(SiH2-CH2-Si(咪唑)3)2
·前体是RN(SiH2-CH2-Si(哌啶)3)2
·前体是RN(SiH2-CH2-Si(嘧啶)3)2
·a=1并且m=2;
·式(I)是RN(SiR4R5(CH2)2SiR1R2R3)2
·R、R1、R2、R3、R4和R5=H;
·前体是HN(SiH2-CH2-CH2-SiH3)2
·R1、R2、R3、R4和R5=H并且R=SixH2x+1(x=1至4);
·前体是SiH3N(SiH2-CH2-CH2-SiH3)2
·前体是Si2H5N(SiH2-CH2-CH2-SiH3)2
·前体是Si3H7N(SiH2-CH2-CH2-SiH3)2
·前体是Si4H9N(SiH2-CH2-CH2-SiH3)2
·R1、R2、R3、R4和R5=H并且R=CyH2y+1(y=1至6);·前体是(Me)N(SiH2-CH2-CH2-SiH3)2
·前体是(Et)N(SiH2-CH2-CH2-SiH3)2
·前体是(nPr)N(SiH2-CH2-CH2-SiH3)2
·前体是(iPr)N(SiH2-CH2-CH2-SiH3)2
·前体是(Bu)N(SiH2-CH2-CH2-SiH3)2
·前体是(iBu)N(SiH2-CH2-CH2-SiH3)2
·前体是(tBu)N(SiH2-CH2-CH2-SiH3)2
·前体是(戊基)N(SiH2-CH2-CH2-SiH3)2
·前体是(己基)N(SiH2-CH2-CH2-SiH3)2
·前体是(SiMe3)N(SiH2-CH2-CH2-SiH3)2
·前体是(SiEt3)N(SiH2-CH2-CH2-SiH3)2
·前体是Si(iPr)3N(SiH2-CH2-CH2-SiH3)2
·前体是Si(nPr)3N(SiH2-CH2-CH2-SiH3)2
·前体是Si(Bu)3N(SiH2-CH2-CH2-SiH3)2
·前体是Si(iBu)3N(SiH2-CH2-CH2-SiH3)2
·前体是Si(tBu)3N(SiH2-CH2-CH2-SiH3)2
·前体是Si(戊基)3N(SiH2-CH2-CH2-SiH3)2
·前体是Si(己基)3N(SiH2-CH2-CH2-SiH3)2
·前体是(SiHMe2)N(SiH2-CH2-CH2-SiH3)2
·前体是(SiHEt2)N(SiH2-CH2-CH2-SiH3)2
·前体是SiH(iPr)2N(SiH2-CH2-CH2-SiH3)2
·前体是SiH(nPr)2N(SiH2-CH2-CH2-SiH3)2
·前体是SiH(Bu)2N(SiH2-CH2-CH2-SiH3)2
·前体是SiH(iBu)2N(SiH2-CH2-CH2-SiH3)2
·前体是SiH(tBu)2N(SiH2-CH2-CH2-SiH3)2
·前体是SiH(戊基)2N(SiH2-CH2-CH2-SiH3)2
·前体是SiH(己基)2N(SiH2-CH2-CH2-SiH3)2
·前体是(SiH2Me2)N(SiH2-CH2-CH2-SiH3)2
·前体是(SiH2Et2)N(SiH2-CH2-CH2-SiH3)2
·前体是SiH2(iPr)N(SiH2-CH2-CH2-SiH3)2
·前体是SiH2(nPr)N(SiH2-CH2-CH2-SiH3)2
·前体是SiH2(Bu)N(SiH2-CH2-CH2-SiH3)2
·前体是SiH2(iBu)N(SiH2-CH2-CH2-SiH3)2
·前体是SiH2(tBu)N(SiH2-CH2-CH2-SiH3)2
·前体是SiH2(戊基)N(SiH2-CH2-CH2-SiH3)2
·前体是SiH2(己基)N(SiH2-CH2-CH2-SiH3)2
·前体是(SiH3-CH2-SiH2)N(SiH2-CH2-CH2-SiH3)2
·前体是(SiMe3-CH2-SiMe2)N(SiH2-CH2-CH2-SiH3)2
·前体是(SiMe3-CH2-CH2-SiMe2)N(SiH2-CH2-CH2-SiH3)2
·前体是(SiEt3-CH2-SiEt2)N(SiH2-CH2-CH2-SiH3)2
·前体是(SiEt3-CH2-CH2-SiEt2)N(SiH2-CH2-CH2-SiH3)2
·前体是(SiH2NMe2)N(SiH2-CH2-CH2-SiH3)2
·前体是(SiH2NEt2)N(SiH2-CH2-CH2-SiH3)2
·前体是(SiH2NiPr2)N(SiH2-CH2-CH2-SiH3)2
·前体是(SiH2NnPr2)N(SiH2-CH2-CH2-SiH3)2
·前体是(SiH2NMeEt)N(SiH2-CH2-CH2-SiH3)2
·前体是(SiH2哌啶)N(SiH2-CH2-CH2-SiH3)2
·前体是(SiH2吡咯烷)N(SiH2-CH2-CH2-SiH3)2
·前体是(SiH2吡咯)N(SiH2-CH2-CH2-SiH3)2
·前体是(SiH2咪唑)N(SiH2-CH2-CH2-SiH3)2
·前体是(SiH2嘧啶)N(SiH2-CH2-CH2-SiH3)2
·前体是(SiH(NMe2)2)N(SiH2-CH2-CH2-SiH3)2
·前体是SiH(NEt2)2)N(SiH2-CH2-CH2-SiH3)2
·R1、R2、R3和R4=H并且R=H、CuH2u+1、或SivH2v-1,其中u=1-6并且v=1-4;
·R2、R3、R4和R5=H并且R=H、CuH2u+1、或SivH2v-1,其中u=1-6并且v=1-4;
·前体是RN(SiH2-CH2-CH2-SiH2(CH2=CH))2
·前体是RN(SiH2-CH2-CH2-SiH2(CH2=CH-CH2))2
·前体是RN(SiH2-CH2-CH2-SiH2(NH2))2
·前体是RN(SiH2-CH2-CH2-SiH2(NMe2))2
·前体是RN(SiH2-CH2-CH2-SiH2(NMeEt))2
·前体是RN(SiH2-CH2-CH2-SiH2(NEt2))2
·前体是RN(SiH2-CH2-CH2-SiH2(NnPr2))2
·前体是RN(SiH2-CH2-CH2-SiH2(NiPr2))2
·前体是RN(SiH2-CH2-CH2-SiH2(NBu2))2
·前体是RN(SiH2-CH2-CH2-SiH2(NiBu2))2
·前体是RN(SiH2-CH2-CH2-SiH2(NtBu2))2
·前体是RN(SiH2-CH2-CH2-SiH2(NAm2))2
·前体是RN(SiH2-CH2-CH2-SiH2(NCy戊基2))2
·前体是RN(SiH2-CH2-CH2-SiH2(N己基2))2
·前体是RN(SiH2-CH2-CH2-SiH2(NCyHex2))2
·前体是RN(SiH2-CH2-CH2-SiH2(NMeH))2
·前体是RN(SiH2-CH2-CH2-SiH2(NEtH))2
·前体是RN(SiH2-CH2-CH2-SiH2(NnPrH))2
·前体是RN(SiH2-CH2-CH2-SiH2(NiPrH))2
·前体是RN(SiH2-CH2-CH2-SiH2(NBuH))2
·前体是RN(SiH2-CH2-CH2-SiH2(NiBuH))2
·前体是RN(SiH2-CH2-CH2-SiH2(NtBuH))2
·前体是RN(SiH2-CH2-CH2-SiH2(NAmH))2
·前体是RN(SiH2-CH2-CH2-SiH2(吡啶))2
·前体是RN(SiH2-CH2-CH2-SiH2(吡咯))2
·前体是RN(SiH2-CH2-CH2-SiH2(吡咯烷))2
·前体是RN(SiH2-CH2-CH2-SiH2(咪唑))2
·前体是RN(SiH2-CH2-CH2-SiH2(哌啶))2
·前体是RN(SiH2-CH2-CH2-SiH2(嘧啶))2
·R1、R2和R3=H并且R=H、CuH2u+1、或SivH2v-1,其中u=1-6并且v=1-4;
·R2、R3和R4=H并且R=H、CuH2u+1、或SivH2v-1,其中u=1-6并且v=1-4;
·前体是RN(SiH(CH2=CH)-CH2-CH2-SiH2(CH2=CH))2
·前体是RN(SiH(CH2=CH-CH2)-CH2-CH2-SiH2(CH2=CH-CH2))2
·前体是RN(SiH(NH2)-CH2-CH2-SiH2(NH2))2
·前体是RN(SiH(NMe2)-CH2-CH2-SiH2(NMe2))2
·前体是RN(SiH(NMeEt)-CH2-CH2-SiH2(NMeEt))2
·前体是RN(SiH(NEt2)-CH2-CH2-SiH2(NEt2))2
·前体是RN(SiH(NnPr2)-CH2-CH2-SiH2(NnPr2))2
·前体是RN(SiH(NiPr2)-CH2-CH2-SiH2(NiPr2))2
·前体是RN(SiH(NBu2)-CH2-CH2-SiH2(NBu2))2
·前体是RN(SiH(NiBu2)-CH2-CH2-SiH2(NiBu2))2
·前体是RN(SiH(NtBu2)-CH2-CH2-SiH2(NtBu2))2
·前体是RN(SiH(NAm2)-CH2-CH2-SiH2(NAm2))2
·前体是RN(SiH(NCy戊基2)-CH2-CH2-SiH2(NCy戊基2))2
·前体是RN(SiH(N己基2)-CH2-CH2-SiH2(N己基2))2
·前体是RN(SiH(NCyHex2)-CH2-CH2-SiH2(NCyHex2))2
·前体是RN(SiH(NMeH)-CH2-CH2-SiH2(NMeH))2
·前体是RN(SiH(NEtH)-CH2-CH2-SiH2(NEtH))2
·前体是RN(SiH(NnPrH)-CH2-CH2-SiH2(NnPrH))2
·前体是RN(SiH(NiPrH)-CH2-CH2-SiH2(NiPrH))2
·前体是RN(SiH(NBuH)-CH2-CH2-SiH2(NBuH))2
·前体是RN(SiH(NiBuH)-CH2-CH2-SiH2(NiBuH))2
·前体是RN(SiH(NtBuH)-CH2-CH2-SiH2(NtBuH))2
·前体是RN(SiH(NAmH)-CH2-CH2-SiH2(NAmH))2
·前体是RN(SiH(吡啶)-CH2-CH2-SiH2(吡啶))2
·前体是RN(SiH(吡咯)-CH2-CH2-SiH2(吡咯))2
·前体是RN(SiH(吡咯烷)-CH2-CH2-SiH2(吡咯烷))2
·前体是RN(SiH(咪唑)-CH2-CH2-SiH2(咪唑))2
·前体是RN(SiH(哌啶)-CH2-CH2-SiH2(哌啶))2
·前体是RN(SiH(嘧啶)-CH2-CH2-SiH2(嘧啶))2
·R3、R4和R5=H并且R=H、CuH2u+1、或SivH2v-1,其中u=1-6并且v=1-4;
·前体是RN(SiH2-CH2-CH2-SiH(CH2=CH)2)2
·前体是RN(SiH2-CH2-CH2-SiH(CH2=CH-CH2)2)2
·前体是RN(SiH2-CH2-CH2-SiH(NH2)2)2
·前体是RN(SiH2-CH2-CH2-SiH(NMe2)2)2
·前体是RN(SiH2-CH2-CH2-SiH(NMeEt)2)2
·前体是RN(SiH2-CH2-CH2-SiH(NEt2)2)2
·前体是RN(SiH2-CH2-CH2-SiH(NnPr2)2)2
·前体是RN(SiH2-CH2-CH2-SiH(NiPr2)2)2
·前体是RN(SiH2-CH2-CH2-SiH(NBu2)2)2
·前体是RN(SiH2-CH2-CH2-SiH(NiBu2)2)2
·前体是RN(SiH2-CH2-CH2-SiH(NtBu2)2)2
·前体是RN(SiH2-CH2-CH2-SiH(NAm2)2)2
·前体是RN(SiH2-CH2-CH2-SiH(NCy戊基2)2)2
·前体是RN(SiH2-CH2-CH2-SiH(N己基2)2)2
·前体是RN(SiH2-CH2-CH2-SiH(NCyHex2)2)2
·前体是RN(SiH2-CH2-CH2-SiH(NMeH)2)2
·前体是RN(SiH2-CH2-CH2-SiH(NEtH)2)2
·前体是RN(SiH2-CH2-CH2-SiH(NnPrH)2)2
·前体是RN(SiH2-CH2-CH2-SiH(NiPrH)2)2
·前体是RN(SiH2-CH2-CH2-SiH(NBuH)2)2
·前体是RN(SiH2-CH2-CH2-SiH(NiBuH)2)2
·前体是RN(SiH2-CH2-CH2-SiH(NtBuH)2)2
·前体是RN(SiH2-CH2-CH2-SiH(NAmH)2)2
·前体是RN(SiH2-CH2-CH2-SiH(吡啶)2)2
·前体是RN(SiH2-CH2-CH2-SiH(吡咯)2)2
·前体是RN(SiH2-CH2-CH2-SiH(吡咯烷)2)2
·前体是RN(SiH2-CH2-CH2-SiH(咪唑)2)2
·前体是RN(SiH2-CH2-CH2-SiH(哌啶)2)2
·前体是RN(SiH2-CH2-CH2-SiH(嘧啶)2)2
·R4和R5=H并且R=H、CuH2u+1、或SivH2v-1,其中u=1-6并且v=1-4;
·前体是RN(SiH2-CH2-CH2-Si(CH2=CH)3)2
·前体是RN(SiH2-CH2-CH2-Si(CH2=CH-CH2)3)2
·前体是RN(SiH2-CH2-CH2-Si(NH2)3)2
·前体是RN(SiH2-CH2-CH2-Si(NMe2)3)2
·前体是RN(SiH2-CH2-CH2-Si(NMeEt)3)2
·前体是RN(SiH2-CH2-CH2-Si(NEt2)3)2
·前体是RN(SiH2-CH2-CH2-Si(NnPr2)3)2
·前体是RN(SiH2-CH2-CH2-Si(NiPr2)3)2
·前体是RN(SiH2-CH2-CH2-Si(NBu2)3)2
·前体是RN(SiH2-CH2-CH2-Si(NiBu2)3)2
·前体是RN(SiH2-CH2-CH2-Si(NtBu2)3)2
·前体是RN(SiH2-CH2-CH2-Si(NAm2)3)2
·前体是RN(SiH2-CH2-CH2-Si(NCy戊基2)3)2
·前体是RN(SiH2-CH2-CH2-Si(N己基2)3)2
·前体是RN(SiH2-CH2-CH2-Si(NCyHex2)3)2
·前体是RN(SiH2-CH2-CH2-Si(NMeH)3)2
·前体是RN(SiH2-CH2-CH2-Si(NEtH)3)2
·前体是RN(SiH2-CH2-CH2-Si(NnPrH)3)2
·前体是RN(SiH2-CH2-CH2-Si(NiPrH)3)2
·前体是RN(SiH2-CH2-CH2-Si(NBuH)3)2
·前体是RN(SiH2-CH2-CH2-Si(NiBuH)3)2
·前体是RN(SiH2-CH2-CH2-Si(NtBuH)3)2
·前体是RN(SiH2-CH2-CH2-Si(NAmH)3)2
·前体是RN(SiH2-CH2-CH2-Si(吡啶)3)2
·前体是RN(SiH2-CH2-CH2-Si(吡咯)3)2
·前体是RN(SiH2-CH2-CH2-Si(吡咯烷)3)2
·前体是RN(SiH2-CH2-CH2-Si(咪唑)3)2
·前体是RN(SiH2-CH2-CH2-Si(哌啶)3)2
·前体是RN(SiH2-CH2-CH2-Si(嘧啶)3)2
·R2、R3、R4、和R5中的至少一个是H;
·R2、R3、R4、和R5全部是H;
·R2、R3、R4、和R5中的至少一个是乙烯基;
·R是H;
·t=1;
·前体是[-NR-R4R5Si-CH2-SiR2R3-]n
·R、R2、R3、R4、或R5中的至少一个是乙烯基;
·R、R2、R3、R4、或R5中的至少一个是烯丙基;
·R、R2、R3、R4、或R5中的至少一个是苯基;
·前体包含[-NR-R4R5Si-(CH2)-SiR2R3-]n单元和[-NR-R4R5Si-(CH2)-SiR1R2R3]端帽;
·前体包含[-NR-R4R5Si-(CH2)-SiR2R3-]n单元和[-NR-R4R5Si-(CH2)-SiR2R3-NR1R2]端帽;
·R、R2、R3、R4和R5=H;
·前体是[-NH-SiH2-CH2-SiH2-]n
·R=SixH2x+1(x=1至4)并且R2、R3、R4和R5=H;
·前体是[-N(SiH3)-SiH2-CH2-SiH2-]n
·前体是[-N(Si2H5)-SiH2-CH2-SiH2-]n
·前体是[-N(Si3H7)-SiH2-CH2-SiH2-]n
·前体是[-N(Si4H9)-SiH2-CH2-SiH2-]n
·R=CyH2y+1(y=1至6)并且R2、R3、R4和R5=H;
·前体是[-N(CH3)-SiH2-CH2-SiH2-]n
·前体是[-N(C2H5)-SiH2-CH2-SiH2-]n
·前体是[-N(C3H7)-SiH2-CH2-SiH2-]n
·前体是[-N(C4H9)-SiH2-CH2-SiH2-]n
·前体是[-N(C5H11)-SiH2-CH2-SiH2-]n
·前体是[-N(C6H13)-SiH2-CH2-SiH2-]n
·R2、R3、R4和R5=H并且R=R1’R2’R3’Si(CH2)bSiR4’R5’其中b=1至2并且R1’、R2’、R3’、R4’和R5’=H或C1-C6烃基;
·前体是[-N(SiH3-CH2-SiH2)-SiH2-CH2-SiH2-]n
·前体是[-N(SiH3-CH2-CH2-SiH2)-SiH2-CH2-SiH2-]n
·前体是[-N(SiMe3-CH2-SiMe2)-SiH2-CH2-SiH2-]n
·前体是[-N(SiMe3-CH2-CH2-SiMe2)-SiH2-CH2-SiH2-]n
·前体是[-N(SiEt3-CH2-SiEt2)-SiH2-CH2-SiH2-]n
·前体是[-N(SiEt3-CH2-CH2-SiEt2)-SiH2-CH2-SiH2-]n
·R2、R3、R4和R5=H;
·前体是[-N(SiMe3)-H2Si-CH2-SiH2-]n
·前体是[-N(SiEt3)-H2Si-CH2-SiH2-]n
·前体是[-N(Si(iPr)3)-SiH2-CH2-SiH2-]n
·前体是[-N(Si(nPr)3)-SiH2-CH2-SiH2-]n
·前体是[-N(Si(Bu)3)-SiH2-CH2-SiH2-]n
·前体是[-N(Si(iBu)3)-SiH2-CH2-SiH2-]n
·前体是[-N(Si(tBu)3)-SiH2-CH2-SiH2-]n
·前体是[-N(Si(戊基)3)-SiH2-CH2-SiH2-]n
·前体是[-N(Si(己基)3)-SiH2-CH2-SiH2-]n
·前体是[-Nx(SiH(Me)2)-SiH2-CH2-SiH2-]n
·前体是[-N(SiH(Et)2)-SiH2-CH2-SiH2-]n
·前体是[-N(SiH(iPr)2)-SiH2-CH2-SiH2-]n
·前体是[-N(SiH(nPr)2)-SiH2-CH2-SiH2-]n
·前体是[-N(SiH(Bu)2)-SiH2-CH2-SiH2-]n
·前体是[-N(SiH(iBu)2)-SiH2-CH2-SiH2-]n
·前体是[-N(SiH(tBu)2)-SiH2-CH2-SiH2-]n
·前体是[-N(SiH(戊基)2)-SiH2-CH2-SiH2-]n
·前体是[-N(SiH(己基)2)-SiH2-CH2-SiH2-]n
·前体是[-N(SiH2(Me))-SiH2-CH2-SiH2-]n
·前体是[-N(SiH2(Et))-SiH2-CH2-SiH2-]n
·前体是[-N(SiH2(iPr))-SiH2-CH2-SiH2-]n
·前体是[-N(SiH2(nPr))-SiH2-CH2-SiH2-]n
·前体是[-N(SiH2(Bu))-SiH2-CH2-SiH2-]n
·前体是[-N(SiH2(iBu))-SiH2-CH2-SiH2-]n
·前体是[-N(SiH2(tBu))-SiH2-CH2-SiH2-]n
·前体是[-N(SiH2(戊基))-SiH2-CH2-SiH2-]n
·前体是[-N(SiH2(己基))-SiH2-CH2-SiH2-]n
·前体是[-N(SiH2NMe2)-H2Si-CH2-SiH2-]n
·前体是[-N(SiH2NEt2)-H2Si-CH2-SiH2-]n
·前体是[-N(SiH2NiPr2)-SiH2-CH2-SiH2-]n
·前体是[-N(SiH2NnPr2)-SiH2-CH2-SiH2-]n
·前体是[-N(SiH2NMeEt)-H2Si-CH2-SiH2-]n
·前体是[-N(SiH(NMe2)2)-H2Si-CH2-SiH2-]n
·前体是[-N(SiH(NEt2)2)-H2Si-CH2-SiH2-]n
·R、R3、R4和R5=H;
·前体是[-NH-H2Si-CH2-SiH(CH2=CH2)-]n
·前体是[-NH-H2Si-CH2-SiH(CH2-CH2=CH2)-]n;·前体是[-NH-H2Si-CH2-SiH(NH2)-]n
·前体是[-NH-H2Si-CH2-SiH(NMe2)-]n
·前体是[-NH-H2Si-CH2-SiH(NMeEt)-]n
·前体是[-NH-H2Si-CH2-SiH(NEt2)-]n
·前体是[-NH-H2Si-CH2-SiH(NnPr2)-]n
·前体是[-NH-H2Si-CH2-SiH(NiPr2)-]n
·前体是[-NH-H2Si-CH2-SiH(NBu2)-]n
·前体是[-NH-H2Si-CH2-SiH(NiBu2)-]n
·前体是[-NH-H2Si-CH2-SiH(NtBu2)-]n
·前体是[-NH-H2Si-CH2-SiH(NAm2)-]n
·前体是[-NH-H2Si-CH2-SiH(NCy戊基2)-]n
·前体是[-NH-H2Si-CH2-SiH(N己基2)-]n
·前体是[-NH-H2Si-CH2-SiH(NCyHex2)-]n
·前体是[-NH-H2Si-CH2-SiH(NMeH)-]n
·前体是[-NH-H2Si-CH2-SiH(NEtH)-]n
·前体是[-NH-H2Si-CH2-SiH(NnPrH)-]n
·前体是[-NH-H2Si-CH2-SiH(NiPrH)-]n
·前体是[-NH-H2Si-CH2-SiH(NBuH)-]n
·前体是[-NH-H2Si-CH2-SiH(NiBuH)-]n
·前体是[-NH-H2Si-CH2-SiH(NtBuH)-]n
·前体是[-NH-H2Si-CH2-SiH(NAmH)-]n
·前体是[-NH-H2Si-CH2-SiH(吡啶)-]n
·前体是[-NH-H2Si-CH2-SiH(吡咯)-]n
·前体是[-NH-H2Si-CH2-SiH(吡咯烷)-]n
·前体是[-NH-H2Si-CH2-SiH(咪唑)-]n
·前体是[-NH-H2Si-CH2-SiH(哌啶)-]n
·前体是[-NH-H2Si-CH2-SiH(嘧啶)-]n
·R、R4和R5=H;
·前体是[-NH-H2Si-CH2-Si(CH2=CH2)2-]n
·前体是[-NH-H2Si-CH2-Si(CH2-CH2=CH2)2-]n
·前体是[-NH-H2Si-CH2-Si(NH2)2-]n
·前体是[-NH-H2Si-CH2-Si(NMe2)2-]n
·前体是[-NH-H2Si-CH2-Si(NMeEt)2-]n
·前体是[-NH-H2Si-CH2-Si(NEt2)2-]n
·前体是[-NH-H2Si-CH2-Si(NnPr2)2-]n
·前体是[-NH-H2Si-CH2-Si(NiPr2)2-]n
·前体是[-NH-H2Si-CH2-Si(NBu2)2-]n
·前体是[-NH-H2Si-CH2-Si(NiBu2)2-]n
·前体是[-NH-H2Si-CH2-Si(NtBu2)2-]n
·前体是[-NH-H2Si-CH2-Si(NAm2)2-]n
·前体是[-NH-H2Si-CH2-Si(NCy戊基2)2-]n
·前体是[-NH-H2Si-CH2-Si(Si(N己基2)2-]n
·前体是[-NH-H2Si-CH2-Si(NCyHex2)2-]n
·前体是[-NH-H2Si-CH2-Si(NMeH)2-]n
·前体是[-NH-H2Si-CH2-Si(NEtH)2-]n
·前体是[-NH-H2Si-CH2-Si(NnPrH)2-]n
·前体是[-NH-H2Si-CH2-Si(NiPrH)2-]n
·前体是[-NH-H2Si-CH2-Si(NBuH)2-]n
·前体是[-NH-H2Si-CH2-Si(NiBuH)2-]n
·前体是[-NH-H2Si-CH2-Si(NtBuH)2-]n
·前体是[-NH-H2Si-CH2-Si(NAmH)2-]n
·前体是[-NH-H2Si-CH2-Si(吡啶)2-]n
·前体是[-NH-H2Si-CH2-Si(吡咯)2-]n
·前体是[-NH-H2Si-CH2-Si(吡咯烷)2-]n
·前体是[-NH-H2Si-CH2-Si(咪唑)2-]n
·前体是[-NH-H2Si-CH2-Si(哌啶)2-]n
·前体是[-NH-H2Si-CH2-Si(嘧啶)2-]n
·R、R3和R5=H;
·前体是[-NH-SiH(CH2=CH2)-CH2-SiH(CH2=CH2)-]n
·前体是[-NH-SiH(CH2-CH2=CH2)-CH2-SiH(CH2-CH2=CH2)-]n
·前体是[-NH-SiH(NH2)-CH2-SiH(NH2)-]n
·前体是[-NH-SiH(NMe2)-CH2-SiH(NMe2)-]n
·前体是[-NH-SiH(NMeEt)-CH2-SiH(NMeEt)-]n
·前体是[-NH-SiH(NEt2)-CH2-SiH(NEt2)-]n
·前体是[-NH-SiH(NnPr2)-CH2-SiH(NnPr2)-]n
·前体是[-NH-SiH(NiPr2)-CH2-SiH(NiPr2)-]n
·前体是[-NH-SiH(NBu2)-CH2-SiH(NBu2)-]n
·前体是[-NH-SiH(NiBu2)-CH2-SiH(NiBu2)-]n
·前体是[-NH-SiH(NtBu2)-CH2-SiH(NtBu2)-]n
·前体是[-NH-SiH(NAm2)-CH2-SiH(NAm2)-]n
·前体是[-NH-SiH(NCy戊基2)-CH2-SiH(NCy戊基2)-]n
·前体是[-NH-SiH(N己基2)-CH2-SiH(N己基2)-]n
·前体是[-NH-SiH(NCyHex2)-CH2-SiH(NCyHex2)-]n
·前体是[-NH-SiH(NMeH)-CH2-SiH(NMeH)-]n
·前体是[-NH-SiH(NEtH)-CH2-SiH(NEtH)-]n
·前体是[-NH-SiH(NnPrH)-CH2-SiH(NnPrH)-]n
·前体是[-NH-SiH(NiPrH)-CH2-SiH(NiPrH)-]n
·前体是[-NH-SiH(NBuH)-CH2-SiH(NBuH)-]n
·前体是[-NH-SiH(NiBuH)-CH2-SiH(NiBuH)-]n
·前体是[-NH-SiH(NtBuH)-CH2-SiH(NtBuH)-]n
·前体是[-NH-SiH(NAmH)-CH2-SiH(NAmH)-]n
·前体是[-NH-SiH(吡啶)-CH2-SiH(吡啶)-]n
·前体是[-NH-SiH(吡咯)-CH2-SiH(吡咯)-]n
·前体是[-NH-SiH(吡咯烷)-CH2-SiH(吡咯烷)-]n
·前体是[-NH-SiH(咪唑)-CH2-SiH(咪唑)-]n
·前体是[-NH-SiH(哌啶)-CH2-SiH(哌啶)-]n
·前体是[-NH-SiH(嘧啶)-CH2-SiH(嘧啶)-]n
·t=2;
·前体是[-NR-R4R5Si-(CH2)2-SiR2R3-]n
·前体包含[-NR-R4R5Si-(CH2)2-SiR2R3-]n单元和[-NR-R4R5Si-(CH2)2-SiR1R2R3-]端帽;
·前体包含[-NR-R4R5Si-(CH2)2-SiR2R3-]n单元和[-NR-R4R5Si-(CH2)2-SiR2R3-NR1R2]端帽;
·R、R2、R3、R4和R5=H;
·前体是[-NH-SiH2-CH2-CH2-SiH2-]n
·R=SixH2x+1(x=1至4)并且R2、R3、R4和R5=H;
·前体是[-N(SiH3)-SiH2-CH2-CH2-SiH2-]n
·前体是[-N(Si2H5)-SiH2-CH2-CH2-SiH2-]n
·前体是[-N(Si3H7)-SiH2-CH2-CH2-SiH2-]n
·前体是[-N(Si4H9)-SiH2-CH2-CH2-SiH2-]n
·R=CyH2y+1(y=1至6)并且R2、R3、R4和R5=H;
·前体是[-N(CH3)-SiH2-CH2-CH2-SiH2-]n
·前体是[-N(C2H5)-SiH2-CH2-CH2-SiH2-]n
·前体是[-N(C3H7)-SiH2-CH2-CH2-SiH2-]n
·前体是[-N(C4H9)-SiH2-CH2-CH2-SiH2-]n
·前体是[-N(C5H11)-SiH2-CH2-CH2-SiH2-]n
·前体是[-N(C6H13)-SiH2-CH2-CH2-SiH2-]n
·R2、R3、R4和R5=H;
·前体是[-N(SiMe3)-H2Si-CH2-CH2-SiH2-]n
·前体是[-N(SiEt3)-H2Si-CH2-CH2-SiH2-]n
·前体是[-N(Si(iPr)3)-SiH2-CH2-CH2-SiH2-]n
·前体是[-N(Si(nPr)3)-SiH2-CH2-CH2-SiH2-]n
·前体是[-N(Si(Bu)3)-SiH2-CH2-CH2-SiH2-]n
·前体是[-N(Si(iBu)3)-SiH2-CH2-CH2-SiH2-]n
·前体是[-N(Si(tBu)3)-SiH2-CH2-CH2-SiH2-]n
·前体是[-N(Si(戊基)3)-SiH2-CH2-CH2-SiH2-]n
·前体是[-N(Si(己基)3)-SiH2-CH2-CH2-SiH2-]n
·前体是[-Nx(SiH(Me)2)-SiH2-CH2-CH2-SiH2-]n
·前体是[-N(SiH(Et)2)-SiH2-CH2-CH2-SiH2-]n
·前体是[-N(SiH(iPr)2)-SiH2-CH2-CH2-SiH2-]n
·前体是[-N(SiH(nPr)2)-SiH2-CH2-CH2-SiH2-]n
·前体是[-N(SiH(Bu)2)-SiH2-CH2-CH2-SiH2-]n
·前体是[-N(SiH(iBu)2)-SiH2-CH2-CH2-SiH2-]n
·前体是[-N(SiH(tBu)2)-SiH2-CH2-CH2-SiH2-]n
·前体是[-N(SiH(戊基)2)-SiH2-CH2-CH2-SiH2-]n
·前体是[-N(SiH(己基)2)-SiH2-CH2-CH2-SiH2-]n
·前体是[-N(SiH2(Me))-SiH2-CH2-CH2-SiH2-]n
·前体是[-N(SiH2(Et))-SiH2-CH2-CH2-SiH2-]n
·前体是[-N(SiH2(iPr))-SiH2-CH2-CH2-SiH2-]n
·前体是[-N(SiH2(nPr))-SiH2-CH2-CH2-SiH2-]n
·前体是[-N(SiH2(Bu))-SiH2-CH2-CH2-SiH2-]n
·前体是[-N(SiH2(iBu))-SiH2-CH2CH2-SiH2-]n
·前体是[-N(SiH2(tBu))-SiH2-CH2-CH2-SiH2-]n
·前体是[-N(SiH2(戊基))-SiH2-CH2-CH2-SiH2-]n
·前体是[-N(SiH2(己基))-SiH2-CH2-CH2-SiH2-]n
·前体是[-N(SiH2NMe2)-SiH2-CH2-CH2-SiH2-]n
·前体是[-N(SiH2NEt2)-SiH2-CH2-CH2-SiH2-]n
·前体是[-N(SiH2NiPr2)-SiH2-CH2-CH2-SiH2-]n
·前体是[-N(SiH2NnPr2)-SiH2-CH2-CH2-SiH2-]n
·前体是[-N(SiH2NMeEt)-SiH2-CH2-CH2-SiH2-]n
·前体是[-N(SiH(NMe2)2)-SiH2-CH2-CH2-SiH2-]n
·前体是[-N(SiH(NEt2)2)-SiH2-CH2-CH2-SiH2-]n
·R2、R3、R4和R5=H并且R=R1’R2’R3’Si(CH2)bSiR4’R5’其中b=1至2并且R1’、R2’、R3’、R4’和R5’=H或C1-C6烃基;
·前体是[-N(SiH3-CH2-SiH2)-SiH2-CH2-CH2-SiH2-]n
·前体是[-N(SiH3-CH2-CH2-SiH2)-SiH2-CH2-CH2-SiH2-]n
·前体是[-N(SiMe3-CH2-SiMe2)-SiH2-CH2-CH2-SiH2-]n
·前体是[-N(SiMe3-CH2-CH2-SiMe2)-SiH2-CH2-CH2-SiH2-]n
·前体是[-N(SiEt3-CH2-SiEt2)-SiH2-CH2-CH2-SiH2-]n
·前体是[-N(SiEt3-CH2-CH2-SiEt2)-SiH2-CH2-CH2-SiH2-]n
·R、R3、R4和R5=H;
·前体是[-NH-H2Si-CH2-CH2-SiH(CH2=CH2)-]n
·前体是[-NH-H2Si-CH2-CH2-SiH(CH2-CH2=CH2)-]n
·前体是[-NH-H2Si-CH2-CH2-SiH(NH2)-]n
·前体是[-NH-H2Si-CH2-CH2-SiH(NMe2)-]n
·前体是[-NH-H2Si-CH2-CH2-SiH(NMeEt)-]n
·前体是[-NH-H2Si-CH2-CH2-SiH(NEt2)-]n
·前体是[-NH-H2Si-CH2-CH2-SiH(NnPr2)-]n
·前体是[-NH-H2Si-CH2-CH2-SiH(NiPr2)-]n
·前体是[-NH-H2Si-CH2-CH2-SiH(NBu2)-]n
·前体是[-NH-H2Si-CH2-CH2-SiH(NiBu2)-]n
·前体是[-NH-H2Si-CH2-CH2-SiH(NtBu2)-]n
·前体是[-NH-H2Si-CH2-CH2-SiH(NAm2)-]n
·前体是[-NH-H2Si-CH2-CH2-SiH(NCy戊基2)-]n
·前体是[-NH-H2Si-CH2-CH2-SiH(N己基2)-]n
·前体是[-NH-H2Si-CH2-CH2-SiH(NCyHex2)-]n
·前体是[-NH-H2Si-CH2-CH2-SiH(NMeH)-]n
·前体是[-NH-H2Si-CH2-CH2-SiH(NEtH)-]n
·前体是[-NH-H2Si-CH2-CH2-SiH(NnPrH)-]n
·前体是[-NH-H2Si-CH2-CH2-SiH(NiPrH)-]n
·前体是[-NH-H2Si-CH2-CH2-SiH(NBuH)-]n
·前体是[-NH-H2Si-CH2-CH2-SiH(NiBuH)-]n
·前体是[-NH-H2Si-CH2-CH2-SiH(NtBuH)-]n
·前体是[-NH-H2Si-CH2-CH2-SiH(NAmH)-]n
·前体是[-NH-H2Si-CH2-CH2-SiH(吡啶)-]n
·前体是[-NH-H2Si-CH2-CH2-SiH(吡咯)-]n
·前体是[-NH-H2Si-CH2-CH2-SiH(吡咯烷)-]n
·前体是[-NH-H2Si-CH2-CH2-SiH(咪唑)-]n
·前体是[-NH-H2Si-CH2-CH2-SiH(哌啶)-]n
·前体是[-NH-H2Si-CH2-CH2-SiH(嘧啶)-]n
·R、R4和R5=H;
·前体是[-NH-H2Si-CH2-CH2-Si(CH2=CH2)2-]n
·前体是[-NH-H2Si-CH2-CH2-Si(CH2-CH2=CH2)2-]n;·前体是[-NH-H2Si-CH2-CH2-Si(NH2)2-]n
·前体是[-NH-H2Si-CH2-CH2-Si(NMe2)2-]n
·前体是[-NH-H2Si-CH2-CH2-Si(NMeEt)2-]n
·前体是[-NH-H2Si-CH2-CH2-Si(NEt2)2-]n
·前体是[-NH-H2Si-CH2-CH2-Si(NnPr2)2-]n
·前体是[-NH-H2Si-CH2-CH2-Si(NiPr2)2-]n
·前体是[-NH-H2Si-CH2-CH2-Si(NBu2)2-]n
·前体是[-NH-H2Si-CH2-CH2-Si(NiBu2)2-]n
·前体是[-NH-H2Si-CH2-CH2-Si(NtBu2)2-]n
·前体是[-NH-H2Si-CH2-CH2-Si(NAm2)2-]n
·前体是[-NH-H2Si-CH2-CH2-Si(NCy戊基2)2-]n
·前体是[-NH-H2Si-CH2-CH2-Si(Si(N己基2)2-]n
·前体是[-NH-H2Si-CH2-CH2-Si(NCyHex2)2-]n
·前体是[-NH-H2Si-CH2-CH2-Si(NMeH)2-]n
·前体是[-NH-H2Si-CH2-CH2-Si(NEtH)2-]n
·前体是[-NH-H2Si-CH2-CH2-Si(NnPrH)2-]n
·前体是[-NH-H2Si-CH2-CH2-Si(NiPrH)2-]n
·前体是[-NH-H2Si-CH2-CH2-Si(NBuH)2-]n
·前体是[-NH-H2Si-CH2-CH2-Si(NiBuH)2-]n
·前体是[-NH-H2Si-CH2-CH2-Si(NtBuH)2-]n
·前体是[-NH-H2Si-CH2-CH2-Si(NAmH)2-]n
·前体是[-NH-H2Si-CH2-CH2-Si(吡啶)2-]n
·前体是[-NH-H2Si-CH2-CH2-Si(吡咯)2-]n
·前体是[-NH-H2Si-CH2-CH2-Si(吡咯烷)2-]n
·前体是[-NH-H2Si-CH2-CH2-Si(咪唑)2-]n
·前体是[-NH-H2Si-CH2-CH2-Si(哌啶)2-]n
·前体是[-NH-H2Si-CH2-CH2-Si(嘧啶)2-]n
·R、R3和R5=H;
·前体是[-NH-SiH(CH2=CH2)-CH2-CH2-SiH(CH2=CH2)-]n
·前体是[-NH-SiH(CH2-CH2=CH2)-CH2-CH2-SiH(CH2-CH2=CH2)-]n;·前体是[-NH-SiH(NH2)-CH2-CH2-SiH(NH2)-]n
·前体是[-NH-SiH(NMe2)-CH2-CH2-SiH(NMe2)-]n
·前体是[-NH-SiH(NMeEt)-CH2-CH2-SiH(NMeEt)-]n
·前体是[-NH-SiH(NEt2)-CH2-CH2-SiH(NEt2)-]n
·前体是[-NH-SiH(NnPr2)-CH2-CH2-SiH(NnPr2)-]n
·前体是[-NH-SiH(NiPr2)-CH2-CH2-SiH(NiPr2)-]n
·前体是[-NH-SiH(NBu2)-CH2-CH2-SiH(NBu2)-]n
·前体是[-NH-SiH(NiBu2)-CH2-CH2-SiH(NiBu2)-]n
·前体是[-NH-SiH(NtBu2)-CH2-CH2-SiH(NtBu2)-]n
·前体是[-NH-SiH(NAm2)-CH2-CH2-SiH(NAm2)-]n
·前体是[-NH-SiH(NCy戊基2)-CH2-CH2-SiH(NCy戊基2)-]n
·前体是[-NH-SiH(N己基2)-CH2-CH2-SiH(N己基2)-]n
·前体是[-NH-SiH(NCyHex2)-CH2-CH2-SiH(NCyHex2)-]n
·前体是[-NH-SiH(NMeH)-CH2-CH2-SiH(NMeH)-]n
·前体是[-NH-SiH(NEtH)-CH2-CH2-SiH(NEtH)-]n
·前体是[-NH-SiH(NnPrH)-CH2-CH2-SiH(NnPrH)-]n
·前体是[-NH-SiH(NiPrH)-CH2-CH2-SiH(NiPrH)-]n
·前体是[-NH-SiH(NBuH)-CH2-CH2-SiH(NBuH)-]n
·前体是[-NH-SiH(NiBuH)-CH2-CH2-SiH(NiBuH)-]n
·前体是[-NH-SiH(NtBuH)-CH2-CH2-SiH(NtBuH)-]n
·前体是[-NH-SiH(NAmH)-CH2-CH2-SiH(NAmH)-]n
·前体是[-NH-SiH(吡啶)-CH2-CH2-SiH(吡啶)-]n
·前体是[-NH-SiH(吡咯)-CH2-CH2-SiH(吡咯)-]n
·前体是[-NH-SiH(吡咯烷)-CH2-CH2-SiH(吡咯烷)-]n
·前体是[-NH-SiH(咪唑)-CH2-CH2-SiH(咪唑)-]n
·前体是[-NH-SiH(哌啶)-CH2-CH2-SiH(哌啶)-]n
·前体是[-NH-SiH(嘧啶)-CH2-CH2-SiH(嘧啶)-]n
·形成含SI膜的组合物包含在大约0.1摩尔%与大约50摩尔%之间的前体;
·形成含SI膜的组合物包含在大约93%w/w与大约100%w/w之间的前体;
·形成含SI膜的组合物包含在大约99%w/w与大约100%w/w之间的前体;
·形成含SI膜的组合物包含在大约0ppmw与200ppmw之间的Cl;
·形成含SI膜的组合物包含在大约0ppmw与50ppmw之间的Cl;
·进一步包含溶剂;
·该溶剂选自下组,该组由以下各项组成:C1-C16烃基、THF、DMO、醚、吡啶、酮、酯及其组合;
·溶剂是C1-C16饱和或不饱和烃基;
·溶剂是四氢呋喃(THF);
·溶剂是草酸二甲酯(DMO);
·溶剂是醚;
·溶剂是吡啶;
·溶剂是甲基异丁基酮;
·溶剂是环己酮;
·溶剂是乙醇;
·溶剂是异丙醇;
·进一步包含催化剂或自由基产生剂;
·自由基产生剂是光引发剂,例如苯酮、奎宁或茂金属;
·进一步包含热自由基引发剂,例如过氧化物或包含-N=N-单元的偶氮化合物;或
·形成含SI膜的组合物包含在大约0ppmw与100ppb之间的选自以下的每种金属:碱金属,碱土金属,Al和过渡金属(如国际纯粹与应用化学联合会(International Unionof Pure and Applied Chemistry,IUPAC)所定义)。
还披露了在基底上沉积含Si层的方法。将以上披露的任何形成含SI膜的组合物(但优选式(I)的组合物)的蒸气引入其中设置有基底的反应器中。使用气相沉积方法将前体的至少一部分沉积到基底上以形成含Si层。所披露的方法可包括以下方面中的一个或多个:
·向反应器中引入包含第二前体的蒸气;
·该第二前体包含选自下组的元素,该组由以下各项组成:第2族,第13族,第14族,过渡金属,镧系元素及其组合;
·该第二前体的元素选自B、Zr、Hf、Ti、Nb、V、Ta、Al、Si、Ge;
·将共反应物引入该反应器中;
·该共反应物选自下组,该组由以下各项组成:O2、O3、H2O、H2O2、NO、NO2、羧酸、醇、乙醇胺、其自由基及其组合;
·共反应物是等离子体处理的氧;
·共反应物是臭氧;
·含Si层是含氧化硅的层;
·共反应物选自下组,该组由以下各项组成:H2、NH3、(SiH3)3N、氢化硅烷(如SiH4、Si2H6、Si3H8、Si4H10、Si5H10、Si6H12)、氯硅烷和氯聚硅烷(如SiHCl3、SiH2Cl2、SiH3Cl、Si2Cl6、Si2HCl5、Si3Cl8)、烷基硅烷(如Me2SiH2、Et2SiH2、MeSiH3、EtSiH3)、肼(如N2H4、MeHNNH2、MeHNNHMe)、有机胺(如NMeH2、NEtH2、NMe2H、NEt2H、NMe3、NEt3、(SiMe3)2NH)、二胺(如乙二胺、二甲基亚乙基二胺、四甲基亚乙基二胺)、吡唑啉、吡啶、含B分子(如B2H6、三甲基硼、三乙基硼、硼吖嗪、取代的硼吖嗪、二烷基氨基硼烷)、烷基金属(如三甲基铝、三乙基铝、二甲基锌、二乙基锌)、其自由基物种、以及其混合物。
·该共反应物选自下组,该组由以下各项组成:H2、NH3、SiH4、Si2H6、Si3H8、SiH2Me2、SiH2Et2、N(SiH3)3、其氢自由基、以及其混合物;
·共反应物是HCDS或PCDS;
·共反应物是饱和或不饱和的、直链、支链或环烃基;
·共反应物是乙烯;
·共反应物是乙炔;
·共反应物是丙烯;
·共反应物是异戊二烯;
·共反应物是环己烷;
·共反应物是环己烯;
·共反应物是环己二烯;
·共反应物是戊烯;
·共反应物是戊炔;
·共反应物是环戊烷;
·共反应物是丁二烯;
·共反应物是环丁烷;
·共反应物是萜品烯;
·共反应物是辛烷;
·共反应物是辛烯;
·气相沉积工艺是化学气相沉积工艺;
·气相沉积工艺是原子层沉积(ALD)工艺;
·气相沉积工艺是空间ALD工艺;
·气相沉积工艺是可流动的化学气相沉积工艺(F-CVD);
·含硅层是SiO2
·含硅层是SiC;
·含硅层是SiN;
·含硅层是SiON;
·含硅层是SiOC;
·含硅层是SiONC;
·含硅层是SiBN;
·含硅层是SiBCN;
·含硅层是SiCN;
·含硅层是SiMCO,其中M选自Zr、Hf、Ti、Nb、V、Ta、Al、Ge;或
·进一步包括使含Si层退火。
披露了使用所披露的前体在基底上形成含Si膜的方法。将上面披露的任何形成含SI膜的组合物,但优选式(II)的那些,与基底接触并通过旋涂,喷涂,浸涂或狭缝涂布技术以形成含Si膜。所披露的方法可包括以下方面:
·形成含SI膜的组合物还包含选自由以下组成的组的溶剂:C5-C16支链、直链、饱和的或不饱和的烃基;THF;DMO;醚;吡啶;酮;酯;及其组合;
·溶剂是C5-C16饱和的或不饱和的烃基;
·溶剂是醚;
·溶剂是甲基异丁基酮;
·溶剂是环己酮;
·形成含SI膜的组合物还包含催化剂或自由基产生剂;
·自由基产生剂是光引发剂;
·自由基产生剂是苯酮;
·自由基产生剂是奎宁;
·自由基产生剂是茂金属;
·自由基产生剂是热自由基引发剂;
·自由基产生剂是过氧化物;
·自由基产生剂是包含-N=N-单元的偶氮化合物;
·催化剂是路易斯酸;
·催化剂是光酸产生剂;
·催化剂是氢化硅烷化催化剂;
·路易斯酸是三(五氟苯基)硼烷B(C6F5)3
·路易斯酸是衍生物;
·路易斯酸是由B(C6F5)3与茂金属化合物络合形成的非配位阴离子;
·经由旋涂技术形成含Si膜;
·经由喷涂技术形成含Si膜;
·经由浸涂技术形成含Si膜;
·经由狭缝涂布技术形成含Si膜;
·使含Si膜退火;
·使含Si膜UV固化;
·在反应气氛下使含Si膜UV固化以增强低聚物的交联;或
·激光处理含Si膜。
符号和命名法
以下详细描述和权利要求利用了许多缩写、符号和术语,这些缩写、符号和术语在本领域中通常是公知的。虽然定义通常与每个首字母缩略词的第一个实例一起提供,但为方便起见,表1提供了与其各自定义一起使用的缩写、符号和术语的列表。
表1
Figure GDA0002980374570000371
本文使用元素周期表中元素的标准缩写。应当理解,元素可以通过这些缩写来指代(例如,Si指硅,N指氮,O指氧,C指碳等)。
如本文所用,术语“独立地”当在描述R基团的上下文中使用时应理解为表示主题R基团不仅相对于具有相同或不同下标或上标的其他R基团独立地选择,而且还是相对于相同R基团的任何附加种类独立地选择。例如,在式MR1 x(NR2R3)(4-x)中,其中x是2或3,两个或三个R1基团与彼此或与R2或与R3可以但不必相同。此外,应该理解,除非另外特别说明,当在不同式中使用时,R基团的值彼此独立。
如本文所用,术语“烃基”是指仅含有碳和氢原子的饱和的或不饱和的官能团。如本文所用,术语“烷基”是指仅含有碳和氢原子的饱和官能团。烷基是一种烃基。此外,术语“烷基”是指直链、支链或环烷基。直链烷基的实例包括但不限于甲基、乙基、丙基、丁基等。支链烷基的实例包括但不限于叔丁基。环烷基的实例包括但不限于环丙基、环戊基、环己基等。
如本文所用,术语“芳基”是指芳环化合物,其中一个氢原子已从环上除去。
如本文所用,术语“杂环基团”是指环状化合物,其具有至少两种不同元素(不包括H)的原子,例如C和S和/或N,作为其环的成员。
如本文所用,术语“碳硅氮烷”是指含有Si、C和N原子和至少一个Si-N键的直链、支链或环状分子;
如本文所用,首字母缩略词“DSP”代表二硅杂丙烷,更特别是H3Si-CH2-SiH3或其配体类似物-H2Si-CH2-SiH3或其单体类似物-H2Si-CH2-SiH2-;首字母缩略词“DSB”代表二硅杂丁烷,更特别是H3Si-CH2-CH2-SiH3或其配体类似物-H2Si-CH2-CH2-SiH3或其单体类似物-H2Si-CH2-CH2-SiH2-;并且缩写“HNDSP2”、“RNDSP2”、“NDSP3”、“HNDSB2”、“RNDSB2”、和“NDSB3”代表HN(DSP)2、RN(DSP)2、N(DSP)3、HN(DSB)2、RN(DSB)2、和N(DSB)3各自地其中R是如所定义的。
如本文所用,缩写“Me”是指甲基;缩写“Et”是指乙基;缩写“Pr”是指任何丙基(即正丙基或异丙基);缩写“iPr”是指异丙基;缩写“Bu”是指任何丁基(正丁基、异丁基、叔丁基、仲丁基);缩写“tBu”是指叔丁基;缩写“sBu”是指仲丁基;缩写“iBu”是指异丁基;缩写“Ph”是指苯基;缩写“Am”是指任何戊基(异戊基、仲戊基、叔戊基);缩写“Cy”是指环烃基(环丁基、环戊基、环己基等)。
如本文所用,术语“无卤素”是指X范围为从0ppmw至1000ppmw、优选从0ppmw至500ppmw、并且更优选从0ppmw至100ppmw,其中X=Cl,Br或I)。
本文使用元素周期表中元素的标准缩写。应当理解,元素可以通过这些缩写来指代(例如,Si指硅,N指氮,O指氧,C指碳等)。
请注意,在整个说明书和权利要求书中列出了沉积的膜或层,例如氧化硅,而没有参考它们的适当的化学计量学(即SiO2)。这些层可包括纯(Si)层,硅化物(MoSip)层,碳化物(SioCp)层,氮化物(SikNl)层,氧化物(SinOm)层或它们的混合物;其中M是元素,并且k、l、m、n、o和p含端值地范围为从1至6。例如,硅化钴是CokSil,其中k和l各自在从0.5至5的范围内。类似地,任何参考层也可以包括氧化硅层SinOm,其中n的范围为0.5至1.5,并且m的范围为1.5至3.5。更优选地,氧化硅层是SiO2。氧化硅层可以是基于氧化硅的介电材料,例如基于有机或基于氧化硅的低k介电材料,例如应用材料公司(Applied Materials,Inc.)的黑钻石(Black Diamond)II或III材料。或者,任何参考的含硅层可以是纯硅。任何含硅层也可包括掺杂剂,例如B、C、P、As和/或Ge。
本文所述的任何和所有范围包括它们的端点(即,x=1至4包括x=1,x=4,以及x=其间的任何数字),而不管是否使用术语“含端值”。
附图说明
为了进一步理解本发明的本质和目的,应结合附图参考以下详细描述,其中相同的元件被赋予相同或相似的附图标记,并且其中:
图1是示例性沉积工艺的流程图;
图2是通过无卤素合成路线产生的NDSP2和NDSP3混合物的最终产物的GCMS色谱图;
图3是通过无卤素合成路线在分馏的第一馏分后产生的NDSP2和NDSP3混合物的最终产物的GCMS色谱图;
图4是通过无卤素合成路线在分馏的第二馏分后产生的NDSP3和HNDSP2混合物的GCMS色谱图;
图5是除去通过无卤素合成路线产生的HNDSP2和NDSP3后无色粘性油的GCMS色谱图;
图6a和6b是通过涉及卤素的合成路线选择性地产生的NDSP2的最终产物的GCMS色谱图,其是在最终产物在室温下16小时后(图6a)以及在室温下8周后(图6b)取得的;并且
图7是凝胶渗透色谱图(Gel Permation Chromatographic graph),显示与分子量分布的多分散性相比的分子量。
具体实施方式
披露了形成含SI膜的组合物,其包含碳硅氮烷或聚碳硅氮烷(或聚有机硅氮烷)前体。还披露了合成碳硅氮烷或聚碳硅氮烷前体的方法和使用其沉积用于制造半导体的含硅膜的方法。
披露的前体具有下式:
RaN(R4R5Si(CH2)mSiR1R2R3)3-a (I)
或具有下式的单元:
[-NR-R4R5Si-(CH2)t-SiR2R3-]n (II)
其中a=0至1;m=1至4;t=1至4;n=2至400;
R1、R2、R3、R4、和R5独立地是H、烃基团(C1至C6)、或具有式NR”2的烷基氨基并且每个R”独立地是H、C1-C6烃基团、C6-C12芳基,或NR”2形成环胺基团,并且其条件是R1、R2、R3、R4、和R5中的至少一个是H;并且
R是H;C1-C6烃基团;具有式SixR’2x+1的甲硅烷基,其中x=1至4并且每个R’独立地=H、C1-C6烃基、或具有式NR”2的烷基氨基并且每个R”独立地是H、C1-C6基团、C6-C12芳基,或NR”2形成环胺基团;或R1’R2’R3’Si(CH2)bSiR4’R5’基团,其中b=1至2并且R1’、R2’、R3’、R4’、和R5’独立地是H、C1-C6烃基、C6-C12芳基、或具有式NR”2的烷基氨基并且每个R”独立地是H、C1-C6基团、C6-C12芳基,或NR”2形成环胺基团;并且其条件是R1’、R2’、R3’、R4’、和R5’中的至少一个是H。优选地m=1至2并且t=1至2。烷基氨基的R”可以连接以在N原子上形成环状链。例如,NR”2可以形成吡啶、吡咯、吡咯烷或咪唑环结构。当前体在式(I)中含有6个H时(即R、R1、R2、R3、R4和R5各自独立地为H)或在式(II)中的每个N-Si-C-Si或N-Si-C-C-Si骨架单元中含有5个H时(即R、R2、R3、R4和R5各自独立地为H),前体可具有改善的挥发性。
披露的式(I)和(II)中所示的前体提供了制备形成含SI膜的组合物的灵活性,所述形成含SI膜的组合物根据应用具体地具有比其它元素更重的一种元素。例如,如果在膜中更多的Si是更优选的,则R可以是具有式SixH2x+1(x=1至4)的硅烷或R1’R2’R3’Si(CH2)bSiR4’R5基团,其中b=1至2。如果在膜中更多的N是更优选的,则R、R1、R2、R3、R4、和R5各自独立地是具有式NR”2的烷基氨基。如果在膜中更多的C是更优选的,则R、R1、R2、R3、R4、和R5可以是烃基团(C1至C12)或在骨架单元中形成长碳连接链,例如N-Si-Cc-Si(c=1至2)。
披露的前体不含Si-卤键,这是重要的,因为卤素可能损坏基底中的其他层(例如,低k层,铜互连层等)。披露的形成含SI膜的组合物不含卤素,并且能够在半导体器件,半导体元件,电子元件和层状材料上形成总体平坦化的,热稳定的和粘附的介电层和其它类介电层或材料。
披露的前体可含有一个或两个直接键合到Si原子上的氢原子。与类似的含Si-卤素的前体相比,披露的前体的Si-H键可有助于在ALD工艺中提供更大生长速率/循环,因为H原子占据较少的表面积,导致在基底表面上有更多的分子。包含SiH键(即氢化物官能团)可产生较小的空间体积,这可使前体与不含SiH键的前体相比具有更高的反应性。这些Si-H键可以帮助增加前体的挥发性,这对于气相沉积工艺是重要的。结果,对于气相沉积方法,在披露的式(I)的前体中R1、R2、或R3中的至少一个优选=H并且R4或R5中的至少一个优选=H。
披露的前体可含有一个、两个或三个直接键合到Si原子上的氨基。这些Si-N键可以帮助提高前体的热稳定性,这对于气相沉积工艺也是重要的。氨基还可以帮助将N和C原子结合到所得到的膜中,这可以使得到的层更耐受任何后续的蚀刻过程。
本领域普通技术人员将认识到由Si-H键提供的挥发性直接与氨基提供的热稳定性竞争。申请人认为至少HN(SiH(NiPr2)-CH2-SiH3)2和HN(SiH2-CH2-SiH2(NiPr2))2成功地平衡了那些竞争特性。
本领域普通技术人员将认识到,m=1的实施例可以产生具有更高挥发性和更低熔点、更适合于气相沉积的前体。当所得含硅膜还含有碳时,m=2的实施例也可适用于气相沉积。其中m=3或t=1-3的实施例可以适用于浇注沉积(casting deposition)方法,例如旋涂或浸涂。
一些披露的形成含SI膜的组合物具有适用于气相沉积方法的性质,例如高蒸气压、低熔点(优选在室温下为液体形式)、低升华点和高热稳定性。形成含SI膜的组合物优选在产生1-5托蒸气压的温度下稳定。所披露的适于气相沉积的形成含SI膜的组合物中的碳硅氮烷或聚碳硅氮烷(或聚有机硅氮烷)前体通常具有范围从大约150至大约600、优选从大约200至大约400的分子量。
一些披露的形成含SI膜的组合物具有适用于旋涂,喷涂,浸涂或狭缝涂布方法的性质,例如低蒸气压,低熔点(优选在室温下为液体形式),和在常规涂布过程中良好的溶解度。所披露的适用于这些沉积技术的形成含SI膜的组合物中的碳硅氮烷或聚碳硅氮烷(或聚有机硅氮烷)前体通常具有范围从大约500至大约1,000,000、优选从大约1,000至大约100,000,并且更优选从3,000至50,000的分子量。
当a=0时,披露的式(I)中所示的碳硅氮烷前体具有下式:
N(SiR4R5(CH2)mSiR1R2R3)3 (III)。
当m=1并且R1、R2、R3、R4和R5=H时,式(III)中披露的前体是三(1,3-二硅杂丙烷)胺[N(SiH2-CH2-SiH3)3或NDSP3]。如以下实例中所示,该液体前体适用于气相沉积应用,这至少部分地归因于上面讨论的SiH键和低分子量的益处。
式(III)中所示的示例性前体(其中m=1,R1、R2、R3和R4=H)包括但不限于N(SiH(CH2=CH)-CH2-SiH3)3、N(SiH(CH2=CH-CH2)-CH2-SiH3)3、N(SiH(NH2)-CH2-SiH3)3、N(SiH(NMe2)-CH2-SiH3)3、N(SiH(NMeEt)-CH2-SiH3)3、N(SiH(NEt2)-CH2-SiH3)3、N(SiH(NnPr2)-CH2-SiH3)3、N(SiH(NiPr2)-CH2-SiH3)3、N(SiH(NBu2)-CH2-SiH3)3、N(SiH(NiBu2)-CH2-SiH3)3、N(SiH(NtBu2)-CH2-SiH3)3、N(SiH(NAm2)-CH2-SiH3)3、N(SiH(NCy戊基2)-CH2-SiH3)3、N(SiH(N己基2)-CH2-SiH3)3、N(SiH(NCyHex2)-CH2-SiH3)3、N(SiH(NMeH)-CH2-SiH3)3、N(SiH(NEtH)-CH2-SiH3)3、N(SiH(NnPrH)-CH2-SiH3)3、N(SiH(NiPrH)-CH2-SiH3)3、N(SiH(NBuH)-CH2-SiH3)3、N(SiH(NiBuH)-CH2-SiH3)3、N(SiH(NtBuH)-CH2-SiH3)3、N(SiH(NAmH)-CH2-SiH3)3、N(SiH(吡啶)-CH2-SiH3)3、N(SiH(吡咯)-CH2-SiH3)3,N(SiH(吡咯烷)-CH2-SiH3)3、以及N(SiH(咪唑)-CH2-SiH3)3
式(III)中所示的示例性前体(其中m=1,R2、R3、R4和R5=H)包括但不限于N(SiH2-CH2-SiH2(CH2=CH))3、N(SiH2-CH2-SiH2(CH2=CH-CH2))3、N(SiH2-CH2-SiH2(NH2))3、N(SiH2-CH2-SiH2(NMe2))3、N(SiH2-CH2-SiH2(NMeEt))3、N(SiH2-CH2-SiH2(NEt2))3、N(SiH2-CH2-SiH2(NnPr2))3、N(SiH2-CH2-SiH2(NiPr2))3、N(SiH2-CH2-SiH2(NBu2))3、N(SiH2-CH2-SiH2(NiBu2))3、N(SiH2-CH2-SiH2(NtBu2))3、N(SiH2-CH2-SiH2(NAm2))3、N(SiH2-CH2-SiH2(NCy戊基2))3、N(SiH2-CH2-SiH2(N己基2))3、N(SiH2-CH2-SiH2(NCyHex2))3、N(SiH2-CH2-SiH2(NMeH))3、N(SiH2-CH2-SiH2(NEtH))3、N(SiH2-CH2-SiH2(NnPrH))3、N(SiH2-CH2-SiH2(NiPrH))3、N(SiH2-CH2-SiH2(NBuH))3、N(SiH2-CH2-SiH2(NiBuH))3、N(SiH2-CH2-SiH2(NtBuH))3、N(SiH2-CH2-SiH2(NAmH))3、N(SiH2-CH2-SiH2(吡啶))3、N(SiH2-CH2-SiH2(吡咯))3、N(SiH2-CH2-SiH2(吡咯烷))3、以及N(SiH2-CH2-SiH2(咪唑))3。这些前体适用于气相沉积,这至少部分地归因于上面讨论的SiH键和低分子量的益处。末端氨基配体还可以为所得膜提供改善的热稳定性,以及额外的N源和/或C源。
式(III)中所示的示例性前体(其中m=1,R1、R2和R3=H)包括但不限于N(Si(CH2=CH)2-CH2-SiH3)3、N(Si(CH2=CH-CH2)2-CH2-SiH3)3、N(Si(NH2)2-CH2-SiH3)3、N(Si(NMe2)2-CH2-SiH3)3、N(Si(NMeEt)2-CH2-SiH3)3、N(SiNEt2-CH2-SiH3)3、N(Si(NnPr2)2-CH2-SiH3)3、N(Si(NiPr2)2-CH2-SiH3)3、N(Si(NBu2)2-CH2-SiH3)3、N(Si(NiBu2)2-CH2-SiH3)3、N(Si(NtBu2)2-CH2-SiH3)3、N(Si(NAm2)2-CH2-SiH3)3、N(Si(NCy戊基2)2-CH2-SiH3)3、N(Si(N己基2)2-CH2-SiH3)3、N(Si(NCy己基2)2-CH2-SiH3)3、N(Si(NMeH)2-CH2-SiH3)3、N(Si(NEtH)2-CH2-SiH3)3、N(Si(NnPrH)2-CH2-SiH3)3、N(Si(NiPrH)2-CH2-SiH3)3、N(Si(NBuH)2-CH2-SiH3)3、N(Si(NiBuH)2-CH2-SiH3)3、N(Si(NtBuH)2-CH2-SiH3)3、N(Si(NAmH)2-CH2-SiH3)3、N(Si(吡啶)2-CH2-SiH3)3、N(Si(吡咯)2-CH2-SiH3)3、N(Si(吡咯烷)2-CH2-SiH3)3、以及N(Si(咪唑)2-CH2-SiH3)3
式(III)中所示的示例性前体(其中m=1,R2、R3和R4=H)包括但不限于N(SiH(CH2=CH)-CH2-SiH2(CH2=CH))3、N(SiH(CH2=CH-CH2)-CH2-SiH2(CH2=CH-CH2))3、N(SiH(NH2)-CH2-SiH2(NH2))3、N(SiH(NMe2)-CH2-SiH2(NMe2))3、N(SiH(NMeEt)-CH2-SiH2(NMeEt))3、N(SiH(NEt2)-CH2-SiH2(NEt2))3、N(SiH(NnPr2)-CH2-SiH2(NnPr2))3、N(SiH(NiPr2)-CH2-SiH2(NiPr2))3、N(SiH(NBu2)-CH2-SiH2(NBu2))3、N(SiH(NiBu2)-CH2-SiH2(NiBu2))3、N(SiH(NtBu2)-CH2-SiH2(NtBu2))3、N(SiH(NAm2)-CH2-SiH2(NAm2))3、N(SiH(NCy戊基2)-CH2-SiH2(NCy戊基2))3、N(SiH(N己基2)-CH2-SiH2(N己基2))3、N(SiH(NCy己基2)-CH2-SiH2(NCy己基2))3、N(SiH(NMeH)-CH2-SiH2(NMeH))3、N(SiH(NEtH)-CH2-SiH2(NEtH))3、N(SiH(NnPrH)-CH2-SiH2(NnPrH))3、N(SiH(NiPrH)-CH2-SiH2(NiPrH))3、N(SiH(NBuH)-CH2-SiH2(NBuH))3、N(SiH(NiBuH)-CH2-SiH2(NiBuH))3、N(SiH(NtBuH)-CH2-SiH2(NtBuH))3、N(SiH(NAmH)-CH2-SiH2(NAmH))3、N(SiH(吡啶)-CH2-SiH2(吡啶))3、N(SiH(吡咯)-CH2-SiH2(吡咯))3、N(SiH(吡咯烷)-CH2-SiH2(吡咯烷))3、以及N(SiH(咪唑)-CH2-SiH2(咪唑))3
式(III)中所示的示例性前体(其中m=1,R3、R4和R5=H)包括但不限于N(SiH2-CH2-SiH(CH2=CH)2)3、N(SiH2-CH2-SiH(CH2=CH-CH2)2)3、N(SiH2-CH2-SiH(NH2)2)3、N(SiH2-CH2-SiH(NMe2)2)3、N(SiH2-CH2-SiH(NMeEt)2)3、N(SiH2-CH2-SiH(NEt2)2)3、N(SiH2-CH2-SiH(NnPr2)2)3、N(SiH2-CH2-SiH(NiPr2)2)3、N(SiH2-CH2-SiH(NBu2)2)3、N(SiH2-CH2-SiH(NiBu2)2)3、N(SiH2-CH2-SiH(NtBu2)2)3、N(SiH2-CH2-SiH(NAm2)2)3、N(SiH2-CH2-SiH(NCy戊基2)2)3、N(SiH2-CH2-SiH(N己基2)2)3、N(SiH2-CH2-SiH(NCy己基2)2)3、N(SiH2-CH2-SiH(NMeH)2)3、N(SiH2-CH2-SiH(NEtH)2)3、N(SiH2-CH2-SiH(NnPrH)2)3、N(SiH2-CH2-SiH(NiPrH)2)3、N(SiH2-CH2-SiH(NBuH)2)3、N(SiH2-CH2-SiH(NiBuH)2)3、N(SiH2-CH2-SiH(NtBuH)2)3、N(SiH2-CH2-SiH(NAmH)2)3、N(SiH2-CH2-SiH(吡啶)2)3、N(SiH2-CH2-SiH(吡咯)2)3、N(SiH2-CH2-SiH(吡咯烷)2)3、以及N(SiH2-CH2-SiH(咪唑)2)3。这些前体可以适用于气相沉积或涂布应用,这至少部分地归因于上面讨论的SiH键的益处。末端氨基配体还可以为所得膜提供改善的热稳定性,以及额外的N源和/或C源。最后,列出的具有较低分子量和较高蒸气压的前体更适合于气相沉积技术,而具有较高分子量的前体更适合于涂布技术。
式(III)中所示的示例性前体(其中m=1,R4和R5=H)包括但不限于N(SiH2-CH2-Si(CH2=CH)3)3、N(SiH2-CH2-Si(CH2=CH-CH2)3)3、N(SiH2-CH2-Si(NH2)3)3、N(SiH2-CH2-Si(NMe2)3)3、N(SiH2-CH2-Si(NMeEt)3)3、N(SiH2-CH2-Si(NEt2)3)3、N(SiH2-CH2-Si(NnPr2)3)3、N(SiH2-CH2-Si(NiPr2)3)3、N(SiH2-CH2-Si(NBu2)3)3、N(SiH2-CH2-Si(NiBu2)3)3、N(SiH2-CH2-Si(NtBu2)3)3、N(SiH2-CH2-Si(NAm2)3)3、N(SiH2-CH2-Si(NCy戊基2)3)3、N(SiH2-CH2-Si(N己基2)3)3、N(SiH2-CH2-Si(NCy己基2)3)3、N(SiH2-CH2-Si(NMeH)3)3、N(SiH2-CH2-Si(NEtH)3)3、N(SiH2-CH2-Si(NnPrH)3)3、N(SiH2-CH2-Si(NiPrH)3)3、N(SiH2-CH2-Si(NBuH)3)3、N(SiH2-CH2-Si(NiBuH)3)3、N(SiH2-CH2-Si(NtBuH)3)3、N(SiH2-CH2-Si(NAmH)3)3、N(SiH2-CH2-Si(吡啶)3)3、N(SiH2-CH2-Si(吡咯)3)3、N(SiH2-CH2-Si(吡咯烷)3)3、以及N(SiH2-CH2-Si(咪唑)3)3。这些前体可以适用于气相沉积或涂布应用,这至少部分地归因于上面讨论的SiH键的益处。末端氨基配体还可以为所得膜提供改善的热稳定性,以及额外的N源和/或C源。最后,列出的具有较低分子量和较高蒸气压的前体更适合于气相沉积技术,而具有较高分子量的前体更适合于涂布技术。
当m=2,R1、R2、R3、R4和R5=H时,披露的碳硅氮烷前体是三(1,4-二硅杂丁烷)胺[N(SiH2-CH2-CH2-SiH3)3或NDSB3]。该液体前体适合于气相沉积,这至少部分地归因于上面讨论的SiH键和低分子量的益处。
式(III)中所示的示例性前体(其中m=2,R1、R2、R3和R4=H)包括但不限于N(SiH(CH2=CH)-CH2-CH2-SiH3)3、N(SiH(CH2=CH-CH2)-CH2-CH2-SiH3)3、N(SiH(NH2)-CH2-CH2-SiH3)3、N(SiH(NMe2)-CH2-CH2-SiH3)3、N(SiH(NMeEt)-CH2-CH2-SiH3)3、N(SiH(NEt2)-CH2-CH2-SiH3)3、N(SiH(NnPr2)-CH2-CH2-SiH3)3、N(SiH(NiPr2)-CH2-CH2-SiH3)3、N(SiH(NBu2)-CH2-CH2-SiH3)3、N(SiH(NiBu2)-CH2-CH2-SiH3)3、N(SiH(NtBu2)-CH2-CH2-SiH3)3、N(SiH(NAm2)-CH2-CH2-SiH3)3、N(SiH(NCy戊基2)-CH2-CH2-SiH3)3、N(SiH(N己基2)-CH2-CH2-SiH3)3、N(SiH(NCy己基2)-CH2-CH2-SiH3)3、N(SiH(NMeH)-CH2-CH2-SiH3)3、N(SiH(NEtH)-CH2-CH2-SiH3)3、N(SiH(NnPrH)-CH2-CH2-SiH3)3、N(SiH(NiPrH)-CH2-CH2-SiH3)3、N(SiH(NBuH)-CH2-CH2-SiH3)3、N(SiH(NiBuH)-CH2-CH2-SiH3)3、N(SiH(NtBuH)-CH2-CH2-SiH3)3、N(SiH(NAmH)-CH2-CH2-SiH3)3、N(SiH(吡啶)-CH2-CH2-SiH3)3、N(SiH(吡咯)-CH2-CH2-SiH3)3、N(SiH(吡咯烷)-CH2-CH2-SiH3)3、以及N(SiH(咪唑)-CH2-CH2-SiH3)3
式(III)中所示的示例性前体(其中m=2,R2、R3、R4和R5=H)包括但不限于N(SiH2-CH2-CH2-SiH2(CH2=CH))3、N(SiH2-CH2-CH2-SiH2(CH2=CH-CH2))3、N(SiH2-CH2-CH2-SiH2(NH2))3、N(SiH2-CH2-CH2-SiH2(NMe2))3、N(SiH2-CH2-CH2-SiH2(NMeEt))3、N(SiH2-CH2-CH2-SiH2(NEt2))3、N(SiH2-CH2-CH2-SiH2(NnPr2))3、N(SiH2-CH2-CH2-SiH2(NiPr2))3、N(SiH2-CH2-CH2-SiH2(NBu2))3、N(SiH2-CH2-CH2-SiH2(NiBu2))3、N(SiH2-CH2-CH2-SiH2(NtBu2))3、N(SiH2-CH2-CH2-SiH2(NAm2))3、N(SiH2-CH2-CH2-SiH2(NCy戊基2))3、N(SiH2-CH2-CH2-SiH2(N己基2))3、N(SiH2-CH2-CH2-SiH2(NCy己基2))3、N(SiH2-CH2-CH2-SiH2(NMeH))3、N(SiH2-CH2-CH2-SiH2(NEtH))3、N(SiH2-CH2-CH2-SiH2(NnPrH))3、N(SiH2-CH2-CH2-SiH2(NiPrH))3、N(SiH2-CH2-CH2-SiH2(NBuH))3、N(SiH2-CH2-CH2-SiH2(NiBuH))3、N(SiH2-CH2-CH2-SiH2(NtBuH))3、N(SiH2-CH2-CH2-SiH2(NAmH))3、N(SiH2-CH2-CH2-SiH2(吡啶))3、N(SiH2-CH2-CH2-SiH2(吡咯))3、N(SiH2-CH2-CH2-SiH2(吡咯烷))3、以及N(SiH2-CH2-CH2-SiH2(咪唑))3。这些前体可以适用于气相沉积应用,这至少部分地归因于上面讨论的SiH键和低分子量的益处。末端氨基配体还可以为所得膜提供如上所述的改善的热稳定性,以及额外的N源和/或C源。
式(III)中所示的示例性前体(其中m=2,R1、R2和R3=H)包括但不限于N(Si(CH2=CH)2-CH2-CH2-SiH3)3、N(Si(CH2=CH-CH2)2-CH2-CH2-SiH3)3、N(Si(NH2)2-CH2-CH2-SiH3)3、N(Si(NMe2)2-CH2-CH2-SiH3)3、N(Si(NMeEt)2-CH2-CH2-SiH3)3、N(SiNEt2-CH2-CH2-SiH3)3、N(Si(NnPr2)2-CH2-CH2-SiH3)3、N(Si(NiPr2)2-CH2-CH2-SiH3)3、N(Si(NBu2)2-CH2-CH2-SiH3)3、N(Si(NiBu2)2-CH2-CH2-SiH3)3、N(Si(NtBu2)2-CH2-CH2-SiH3)3、N(Si(NAm2)2-CH2-CH2-SiH3)3、N(Si(NCy戊基2)2-CH2-CH2-SiH3)3、N(Si(N己基2)2-CH2-CH2-SiH3)3、N(Si(NCy己基2)2-CH2-CH2-SiH3)3、N(Si(NMeH)2-CH2-CH2-SiH3)3、N(Si(NEtH)2-CH2-CH2-SiH3)3、N(Si(NnPrH)2-CH2-CH2-SiH3)3、N(Si(NiPrH)2-CH2-CH2-SiH3)3、N(Si(NBuH)2-CH2-CH2-SiH3)3、N(Si(NiBuH)2-CH2-CH2-SiH3)3、N(Si(NtBuH)2-CH2-CH2-SiH3)3、N(Si(NAmH)2-CH2-CH2-SiH3)3、N(Si(吡啶)2-CH2-CH2-SiH3)3、N(Si(吡咯)2-CH2-CH2-SiH3)3、N(Si(吡咯烷)2-CH2-CH2-SiH3)3、以及N(Si(咪唑)2-CH2-CH2-SiH3)3
式(III)中所示的示例性前体(其中m=2,R2、R3和R4=H)包括但不限于N(SiH(CH2=CH)-CH2-CH2-SiH2(CH2=CH))3、N(SiH(CH2=CH-CH2)-CH2-CH2-SiH2(CH2=CH-CH2))3、N(SiH(NH2)-CH2-CH2-SiH2(NH2))3、N(SiH(NMe2)-CH2-CH2-SiH2(NMe2))3、N(SiH(NMeEt)-CH2-CH2-SiH2(NMeEt))3、N(SiH(NEt2)-CH2-CH2-SiH2(NEt2))3、N(SiH(NnPr2)-CH2-CH2-SiH2(NnPr2))3、N(SiH(NiPr2)-CH2-CH2-SiH2(NiPr2))3、N(SiH(NBu2)-CH2-CH2-SiH2(NBu2))3、N(SiH(NiBu2)-CH2-CH2-SiH2(NiBu2))3、N(SiH(NtBu2)-CH2-CH2-SiH2(NtBu2))3、N(SiH(NAm2)-CH2-CH2-SiH2(NAm2))3、N(SiH(NCy戊基2)-CH2-CH2-SiH2(NCy戊基2))3、N(SiH(N己基2)-CH2-CH2-SiH2(N己基2))3、N(SiH(NCy己基2)-CH2-CH2-SiH2(NCy己基2))3、N(SiH(NMeH)-CH2-CH2-SiH2(NMeH))3、N(SiH(NEtH)-CH2-CH2-SiH2(NEtH))3、N(SiH(NnPrH)-CH2-CH2-SiH2(NnPrH))3、N(SiH(NiPrH)-CH2-CH2-SiH2(NiPrH))3、N(SiH(NBuH)-CH2-CH2-SiH2(NBuH))3、N(SiH(NiBuH)-CH2-CH2-SiH2(NiBuH))3、N(SiH(NtBuH)-CH2-CH2-SiH2(NtBuH))3、N(SiH(NAmH)-CH2-CH2-SiH2(NAmH))3、N(SiH(吡啶)-CH2-CH2-SiH2(吡啶))3、N(SiH(吡咯)-CH2-CH2-SiH2(吡咯))3、N(SiH(吡咯烷)-CH2-CH2-SiH2(吡咯烷))3、以及N(SiH(咪唑)-CH2-CH2-SiH2(咪唑))3
式(III)中所示的示例性前体(其中m=2,R3、R4和R5=H)包括但不限于N(SiH2-CH2-CH2-SiH(CH2=CH)2)3、N(SiH2-CH2-CH2-SiH(CH2=CH-CH2)2)3、N(SiH2-CH2-CH2-SiH(NH2)2)3、N(SiH2-CH2-CH2-SiH(NMe2)2)3、N(SiH2-CH2-CH2-SiH(NMeEt)2)3、N(SiH2-CH2-CH2-SiH(NEt2)2)3、N(SiH2-CH2-CH2-SiH(NnPr2)2)3、N(SiH2-CH2-CH2-SiH(NiPr2)2)3、N(SiH2-CH2-CH2-SiH(NBu2)2)3、N(SiH2-CH2-CH2-SiH(NiBu2)2)3、N(SiH2-CH2-CH2-SiH(NtBu2)2)3、N(SiH2-CH2-CH2-SiH(NAm2)2)3、N(SiH2-CH2-CH2-SiH(NCy戊基2)2)3、N(SiH2-CH2-CH2-SiH(N己基2)2)3、N(SiH2-CH2-CH2-SiH(NCy己基2)2)3、N(SiH2-CH2-CH2-SiH(NMeH)2)3、N(SiH2-CH2-CH2-SiH(NEtH)2)3、N(SiH2-CH2-CH2-SiH(NnPrH)2)3、N(SiH2-CH2-CH2-SiH(NiPrH)2)3、N(SiH2-CH2-CH2-SiH(NBuH)2)3、N(SiH2-CH2-CH2-SiH(NiBuH)2)3、N(SiH2-CH2-CH2-SiH(NtBuH)2)3、N(SiH2-CH2-CH2-SiH(NAmH)2)3、N(SiH2-CH2-CH2-SiH(吡啶)2)3、N(SiH2-CH2-CH2-SiH(吡咯)2)3、N(SiH2-CH2-CH2-SiH(吡咯烷)2)3、以及N(SiH2-CH2-CH2-SiH(咪唑)2)3。这些前体可以适用于气相沉积或涂布应用,这至少部分地归因于上面讨论的SiH键的益处。末端氨基配体还可以为所得膜提供如上所述的改善的热稳定性,以及额外的N源和/或C源。最后,列出的具有较低分子量和较高蒸气压的前体更适合于气相沉积技术,而具有较高分子量的前体更适合于涂布技术。
式(III)中所示的示例性前体(其中m=2,R4和R5=H)包括但不限于N(SiH2-CH2-CH2-Si(CH2=CH)3)3、N(SiH2-CH2-CH2-Si(CH2=CH-CH2)3)3、N(SiH2-CH2-CH2-Si(NH2)3)3、N(SiH2-CH2-CH2-Si(NMe2)3)3、N(SiH2-CH2-CH2-Si(NMeEt)3)3、N(SiH2-CH2-CH2-Si(NEt2)3)3、N(SiH2-CH2-CH2-Si(NnPr2)3)3、N(SiH2-CH2-CH2-Si(NiPr2)3)3、N(SiH2-CH2-CH2-Si(NBu2)3)3、N(SiH2-CH2-CH2-Si(NiBu2)3)3、N(SiH2-CH2-CH2-Si(NtBu2)3)3、N(SiH2-CH2-CH2-Si(NAm2)3)3、N(SiH2-CH2-CH2-Si(NCy戊基2)3)3、N(SiH2-CH2-CH2-Si(N己基2)3)3、N(SiH2-CH2-CH2-Si(NCy己基2)3)3、N(SiH2-CH2-CH2-Si(NMeH)3)3、N(SiH2-CH2-CH2-Si(NEtH)3)3、N(SiH2-CH2-CH2-Si(NnPrH)3)3、N(SiH2-CH2-CH2-Si(NiPrH)3)3、N(SiH2-CH2-CH2-Si(NBuH)3)3、N(SiH2-CH2-CH2-Si(NiBuH)3)3、N(SiH2-CH2-CH2-Si(NtBuH)3)3、N(SiH2-CH2-CH2-Si(NAmH)3)3、N(SiH2-CH2-CH2-Si(吡啶)3)3、N(SiH2-CH2-CH2-Si(吡咯)3)3、N(SiH2-CH2-CH2-Si(吡咯烷)3)3、以及N(SiH2-CH2-CH2-Si(咪唑)3)3。这些前体适用于涂布应用,这至少部分地归因于上面讨论的SiH键的益处。末端氨基配体还可以为所得膜提供额外的N源和/或C源。
当a=1时,披露的式(I)中所示的碳硅氮烷前体具有下式:
RN(SiR4R5(CH2)mSiR1R2R3)2 (IV)
当m=1并且R、R1、R2、R3、R4和R5=H时,披露的式(IV)中所示的前体是双(1,3-二硅杂丙烷)胺[HN(SiH2-CH2-SiH3)2或NDSP2]。NDSP2是挥发性的,含有许多Si-H键,使其对基底表面更具反应性。结果,该前体适用于气相沉积工艺,更具体地,适用于ALD工艺。申请人认为,该前体甚至可以具有足够的反应性,以在使用N2的PEALD工艺中附着到Si-Cl封端的或甚至Si封端的基底表面。
当m=1;R1、R2、R3、R4和R5=H;并且R=SixH2x+1,其中x=1至4时,披露的式(IV)中所示的碳硅氮烷前体是SiH3N(SiH2-CH2-SiH3)2、Si2H5N(SiH2-CH2-SiH3)2、Si3H7N(SiH2-CH2-SiH3)2、和Si4H9N(SiH2-CH2-SiH3)2。这些前体可以适用于气相沉积应用,这至少部分地归因于上面讨论的SiH键的益处。额外的N-Si键使这些前体比具有N-H键的前体更稳定,但比具有N-C键的那些更具反应性。结果,当需要适度的聚合条件时,这些前体可以是期望的。与其中R=H或烷基的相应分子相比,无碳的SixH2x+1还可以在所得膜中产生更多的Si。
当m=1;R1、R2、R3、R4和R5=H;并且R=SiHz(CyH2y+1)3-z,其中y=1至6,z=0至2时,披露的式(IV)中所示的碳硅氮烷前体包括但不限于(SiMe3)N(SiH2-CH2-SiH3)2、(SiEt3)N(SiH2-CH2-SiH3)2、Si(iPr)3N(SiH2-CH2-SiH3)2、Si(nPr)3N(SiH2-CH2-SiH3)2、Si(Bu)3N(SiH2-CH2-SiH3)2、Si(iBu)3N(SiH2-CH2-SiH3)2、Si(tBu)3N(SiH2-CH2-SiH3)2、Si(戊基)3N(SiH2-CH2-SiH3)2、Si(己基)3N(SiH2-CH2-SiH3)2、(SiHMe2)N(SiH2-CH2-SiH3)2、(SiHEt2)N(SiH2-CH2-SiH3)2、SiH(iPr)2N(SiH2-CH2-SiH3)2、SiH(nPr)2N(SiH2-CH2-SiH3)2、SiH(Bu)2N(SiH2-CH2-SiH3)2、SiH(iBu)2N(SiH2-CH2-SiH3)2、SiH(tBu)2N(SiH2-CH2-SiH3)2、SiH(戊基)2N(SiH2-CH2-SiH3)2、SiH(己基)2N(SiH2-CH2-SiH3)2、(SiH2Me)N(SiH2-CH2-SiH3)2、(SiH2Et)N(SiH2-CH2-SiH3)2、SiH2(iPr)N(SiH2-CH2-SiH3)2、SiH2(nPr)N(SiH2-CH2-SiH3)2、SiH2(Bu)N(SiH2-CH2-SiH3)2、SiH2(iBu)N(SiH2-CH2-SiH3)2、SiH2(tBu)N(SiH2-CH2-SiH3)2、SiH2(戊基)N(SiH2-CH2-SiH3)2、以及SiH2(己基)N(SiH2-CH2-SiH3)2。额外的N-Si键使这些前体比具有N-H键的前体更稳定,但比具有N-C键的那些更具反应性。结果,当需要适度的聚合条件时,这些前体可以是期望的。可以选择碳链的长度以在膜中提供期望量的碳。最后,列出的具有较低分子量和较高蒸气压的前体更适合于气相沉积技术,而具有较高分子量的前体更适合于涂布技术。
当m=1;R1、R2、R3、R4和R5=H;并且R=R1’R2’R3’Si(CH2)bSiR4’R5’基团,其中b=1至2并且R1’、R2’、R3’、R4’、和R5’独立地是H或C1-C6烃基团时,披露的式(IV)中所示的碳硅氮烷前体包括但不限于(SiH3-CH2-CH2-SiH2)N(SiH2-CH2-SiH3)2、(SiMe3-CH2-SiMe2)N(SiH2-CH2-SiH3)2、(SiMe3-CH2-CH2-SiMe2)N(SiH2-CH2-SiH3)2、(SiEt3-CH2-SiEt2)N(SiH2-CH2-SiH3)2、或(SiEt3-CH2-CH2-SiEt2)N(SiH2-CH2-SiH3)2
当m=1;R1、R2、R3、R4和R5=H;并且R=CyH2y+1,其中y=1至6时,披露的式(IV)中所示的碳硅氮烷前体包括(Me)N(SiH2-CH2-SiH3)2、(Et)N(SiH2-CH2-SiH3)2、(nPr)N(SiH2-CH2-SiH3)2、(iPr)N(SiH2-CH2-SiH3)2、(Bu)N(SiH2-CH2-SiH3)2、(iBu)N(SiH2-CH2-SiH3)2、(tBu)N(SiH2-CH2-SiH3)2、(戊基)N(SiH2-CH2-SiH3)2、以及(己基)N(SiH2-CH2-SiH3)2。该族化合物可用于气相沉积具有碳含量的膜,例如SiOC或SiNC,因为Si-C键(对于Si-R)不具有高反应性并且在沉积工艺中可能保持完整。结果,为了防止沉积过多的C,y优选为1至3。这些前体也比DSP3类似物更容易合成,因为RNHR2反应物是Et、Pr、Bu、戊基和己基的液体。
当m=1;R1、R2、R3、R4和R5=H;并且R=CxH2x-y,其中x=2至6,y=0(对于x=2-6)或y=2(对于x=3-6)或y=4(对于x=4-6)时,披露的式(IV)中所示的碳硅氮烷前体包括(乙烯基)N(SiH2-CH2-SiH3)2、(烯丙基)N(SiH2-CH2-SiH3)2、(丙二烯)N(SiH2-CH2-SiH3)2、(丁烯)N(SiH2-CH2-SiH3)2、(丁二烯)N(SiH2-CH2-SiH3)2、(丁三烯)N(SiH2-CH2-SiH3)2、或(己二烯)N(SiH2-CH2-SiH3)2。该族化合物也可用于气相沉积具有碳含量的膜。另外,不饱和烃基提供了化学吸附前体或物理吸附前体之间的交联机会。
当m=1;R1、R2、R3、R4和R5=H;并且R=SiHx(NR’R”)3-x,其中x=1或2并且R’和R”独立地是Me、Et、iPr、或nPr时,披露的式(IV)中所示的碳硅氮烷前体包括但不限于(SiH2NMe2)N(SiH2-CH2-SiH3)2、(SiH2NEt2)N(SiH2-CH2-SiH3)2、(SiH2NiPr2)N(SiH2-CH2-SiH3)2、(SiH2NnPr2)N(SiH2-CH2-SiH3)2、(SiH2NMeEt)N(SiH2-CH2-SiH3)2、(SiH(NMe2)2)N(SiH2-CH2-SiH3)2、以及SiH(NEt2)2)N(SiH2-CH2-SiH3)2
式(IV)中所示的示例性碳硅氮烷前体,其中m=1;R1、R2、R3和R4=H;并且R=H、CuH2u+1、或SivH2v-1(其中u=1-6并且v=1-4),包括但不限于RN(SiH(CH2=CH)-CH2-SiH3)2、RN(SiH(CH2=CH-CH2)-CH2-SiH3)2、RN(SiH(NH2)-CH2-SiH3)2、RN(SiH(NMe2)-CH2-SiH3)2、RN(SiH(NMeEt)-CH2-SiH3)2、RN(SiH(NEt2)-CH2-SiH3)2、RN(SiH(NnPr2)-CH2-SiH3)2、RN(SiH(NiPr2)-CH2-SiH3)2、RN(SiH(NBu2)-CH2-SiH3)2、RN(SiH(NiBu2)-CH2-SiH3)2、RN(SiH(NtBu2)-CH2-SiH3)2、RN(SiH(NAm2)-CH2-SiH3)2、RN(SiH(NCy戊基2)-CH2-SiH3)2、RN(SiH(N己基2)-CH2-SiH3)2、RN(SiH(NCy己基2)-CH2-SiH3)2、RN(SiH(NMeH)-CH2-SiH3)2、RN(SiH(NEtH)-CH2-SiH3)2、RN(SiH(NnPrH)-CH2-SiH3)2、RN(SiH(NiPrH)-CH2-SiH3)2、RN(SiH(NBuH)-CH2-SiH3)2、RN(SiH(NiBuH)-CH2-SiH3)2、RN(SiH(NtBuH)-CH2-SiH3)2、RN(SiH(NAmH)-CH2-SiH3)2、RN(SiH(吡啶)-CH2-SiH3)2、RN(SiH(吡咯)-CH2-SiH3)2、RN(SiH(吡咯烷)-CH2-SiH3)2、以及RN(SiH(咪唑)-CH2-SiH3)2
式(IV)中所示的示例性碳硅氮烷前体,其中m=1;R2、R3、R4和R5=H;并且R=H、CuH2u+1、或SivH2v-1(其中u=1-6并且v=1-4),包括但不限于RN(SiH2-CH2-SiH2(CH2=CH))2、RN(SiH2-CH2-SiH2(CH2=CH-CH2))2、RN(SiH2-CH2-SiH2(NH2))2、RN(SiH2-CH2-SiH2(NMe2))2、RN(SiH2-CH2-SiH2(NMeEt))2、RN(SiH2-CH2-SiH2(NEt2))2、RN(SiH2-CH2-SiH2(NnPr2))2、RN(SiH2-CH2-SiH2(NiPr2))2、RN(SiH2-CH2-SiH2(NBu2))2、RN(SiH2-CH2-SiH2(NiBu2))2、RN(SiH2-CH2-SiH2(NtBu2))2、RN(SiH2-CH2-SiH2(NAm2))2、RN(SiH2-CH2-SiH2(NCy戊基2))2、RN(SiH2-CH2-SiH2(N己基2))2、RN(SiH2-CH2-SiH2(NCy己基2))2、RN(SiH2-CH2-SiH2(NMeH))2、RN(SiH2-CH2-SiH2(NEtH))2、RN(SiH2-CH2-SiH2(NnPrH))2、RN(SiH2-CH2-SiH2(NiPrH))2、RN(SiH2-CH2-SiH2(NBuH))2、RN(SiH2-CH2-SiH2(NiBuH))2、RN(SiH2-CH2-SiH2(NtBuH))2、RN(SiH2-CH2-SiH2(NAmH))2、RN(SiH2-CH2-SiH2(吡啶))2、RN(SiH2-CH2-SiH2(吡咯))2、RN(SiH2-CH2-SiH2(吡咯烷))2
以及RN(SiH2-CH2-SiH2(咪唑))2。这些前体可以适用于气相沉积或涂布应用,这至少部分地归因于上面讨论的SiH键的益处。末端氨基配体还可以为所得膜提供如上所述的改善的热稳定性,以及额外的N源和/或C源。最后,列出的具有较低分子量和较高蒸气压的前体更适合于气相沉积技术,而具有较高分子量的前体更适合于涂布技术。
式(IV)中所示的示例性碳硅氮烷前体,其中m=1;R1、R2和R3=H;并且R=H、CuH2u+1、或SivH2v-1(其中u=1-6并且v=1-4),包括但不限于RN(Si(CH2=CH)2-CH2-SiH3)2、RN(Si(CH2=CH-CH2)2-CH2-SiH3)2、RN(Si(NH2)2-CH2-SiH3)2、RN(Si(NMe2)2-CH2-SiH3)2、RN(Si(NMeEt)2-CH2-SiH3)2、RN(SiNEt2-CH2-SiH3)2、RN(Si(NnPr2)2-CH2-SiH3)2、RN(Si(NiPr2)2-CH2-SiH3)2、RN(Si(NBu2)2-CH2-SiH3)2、RN(Si(NiBu2)2-CH2-SiH3)2、RN(Si(NtBu2)2-CH2-SiH3)2、RN(Si(NAm2)2-CH2-SiH3)2、RN(Si(NCy戊基2)2-CH2-SiH3)2、RN(Si(N己基2)2-CH2-SiH3)2、RN(Si(NCy己基2)2-CH2-SiH3)2、RN(Si(NMeH)2-CH2-SiH3)2、RN(Si(NEtH)2-CH2-SiH3)2、RN(Si(NnPrH)2-CH2-SiH3)2、RN(Si(NiPrH)2-CH2-SiH3)2、RN(Si(NBuH)2-CH2-SiH3)2、RN(Si(NiBuH)2-CH2-SiH3)2、RN(Si(NtBuH)2-CH2-SiH3)2、RN(Si(NAmH)2-CH2-SiH3)2、RN(Si(吡啶)2-CH2-SiH3)2、RN(Si(吡咯)2-CH2-SiH3)2、RN(Si(吡咯烷)2-CH2-SiH3)2、以及RN(Si(咪唑)2-CH2-SiH3)2
式(IV)中所示的示例性碳硅氮烷前体,其中m=1;R2、R3和R4=H;并且R=H、CuH2u+1、或SivH2v-1(其中u=1-6并且v=1-4),包括RN(SiH(CH2=CH)-CH2-SiH2(CH2=CH))2、RN(SiH(CH2=CH-CH2)-CH2-SiH2(CH2=CH-CH2))2、RN(SiH(NH2)-CH2-SiH2(NH2))2、RN(SiH(NMe2)-CH2-SiH2(NMe2))2、RN(SiH(NMeEt)-CH2-SiH2(NMeEt))2、RN(SiH(NEt2)-CH2-SiH2(NEt2))2、RN(SiH(NnPr2)-CH2-SiH2(NnPr2))2、RN(SiH(NiPr2)-CH2-SiH2(NiPr2))2、RN(SiH(NBu2)-CH2-SiH2(NBu2))2、RN(SiH(NiBu2)-CH2-SiH2(NiBu2))2、RN(SiH(NtBu2)-CH2-SiH2(NtBu2))2、RN(SiH(NAm2)-CH2-SiH2(NAm2))2、RN(SiH(NCy戊基2)-CH2-SiH2(NCy戊基2))2、RN(SiH(N己基2)-CH2-SiH2(N己基2))2、RN(SiH(NCy己基2)-CH2-SiH2(NCy己基2))2、RN(SiH(NMeH)-CH2-SiH2(NMeH))2、RN(SiH(NEtH)-CH2-SiH2(NEtH))2、RN(SiH(NnPrH)-CH2-SiH2(NnPrH))2、RN(SiH(NiPrH)-CH2-SiH2(NiPrH))2、RN(SiH(NBuH)-CH2-SiH2(NBuH))2、RN(SiH(NiBuH)-CH2-SiH2(NiBuH))2、RN(SiH(NtBuH)-CH2-SiH2(NtBuH))2、RN(SiH(NAmH)-CH2-SiH2(NAmH))2、RN(SiH(吡啶)-CH2-SiH2(吡啶))2、RN(SiH(吡咯)-CH2-SiH2(吡咯))2、RN(SiH(吡咯烷)-CH2-SiH2(吡咯烷))2、以及RN(SiH(咪唑)-CH2-SiH2(咪唑))2
式(IV)中所示的示例性碳硅氮烷前体,其中m=1;R3、R4和R5=H;R=H、CuH2u+1、或SivH2v-1(其中u=1-6并且v=1-4),包括但不限于RN(SiH2-CH2-SiH(CH2=CH)2)2、RN(SiH2-CH2-SiH(CH2=CH-CH2)2)2、RN(SiH2-CH2-SiH(NH2)2)2、RN(SiH2-CH2-SiH(NMe2)2)2、RN(SiH2-CH2-SiH(NMeEt)2)2、RN(SiH2-CH2-SiH(NEt2)2)2、RN(SiH2-CH2-SiH(NnPr2)2)2、RN(SiH2-CH2-SiH(NiPr2)2)2、RN(SiH2-CH2-SiH(NBu2)2)2、RN(SiH2-CH2-SiH(NiBu2)2)2、RN(SiH2-CH2-SiH(NtBu2)2)2、RN(SiH2-CH2-SiH(NAm2)2)2、RN(SiH2-CH2-SiH(NCy戊基2)2)2、RN(SiH2-CH2-SiH(N己基2)2)2、RN(SiH2-CH2-SiH(NCy己基2)2)2、RN(SiH2-CH2-SiH(NMeH)2)2、RN(SiH2-CH2-SiH(NEtH)2)2、RN(SiH2-CH2-SiH(NnPrH)2)2、RN(SiH2-CH2-SiH(NiPrH)2)2、RN(SiH2-CH2-SiH(NBuH)2)2、RN(SiH2-CH2-SiH(NiBuH)2)2、RN(SiH2-CH2-SiH(NtBuH)2)2、RN(SiH2-CH2-SiH(NAmH)2)2、RN(SiH2-CH2-SiH(吡啶)2)2、RN(SiH2-CH2-SiH(吡咯)2)2、RN(SiH2-CH2-SiH(吡咯烷)2)2、以及RN(SiH2-CH2-SiH(咪唑)2)2。这些前体适用于气相沉积或涂布应用,这至少部分地归因于上面讨论的SiH键的益处。末端氨基配体还可以为所得膜提供如上所述的改善的热稳定性,以及额外的N源和/或C源。最后,列出的具有较低分子量和较高蒸气压的前体更适合于气相沉积技术,而具有较高分子量的前体更适合于涂布技术。
式(IV)中所示的示例性碳硅氮烷前体,其中m=1;R4和R5=H;并且R=H、CuH2u+1、或SivH2v-1(其中u=1-6并且v=1-4),包括但不限于RN(SiH2-CH2-Si(CH2=CH)3)2、RN(SiH2-CH2-Si(CH2=CH-CH2)3)2、RN(SiH2-CH2-Si(NH2)3)2、RN(SiH2-CH2-Si(NMe2)3)2、RN(SiH2-CH2-Si(NMeEt)3)2、RN(SiH2-CH2-Si(NEt2)3)2、RN(SiH2-CH2-Si(NnPr2)3)2、RN(SiH2-CH2-Si(NiPr2)3)2、RN(SiH2-CH2-Si(NBu2)3)2、RN(SiH2-CH2-Si(NiBu2)3)2、RN(SiH2-CH2-Si(NtBu2)3)2、RN(SiH2-CH2-Si(NAm2)3)2、RN(SiH2-CH2-Si(NCy戊基2)3)2、RN(SiH2-CH2-Si(N己基2)3)2、RN(SiH2-CH2-Si(NCy己基2)3)2、RN(SiH2-CH2-Si(NMeH)3)2、RN(SiH2-CH2-Si(NEtH)3)2、RN(SiH2-CH2-Si(NnPrH)3)2、RN(SiH2-CH2-Si(NiPrH)3)2、RN(SiH2-CH2-Si(NBuH)3)2、RN(SiH2-CH2-Si(NiBuH)3)2、RN(SiH2-CH2-Si(NtBuH)3)2、RN(SiH2-CH2-Si(NAmH)3)2、RN(SiH2-CH2-Si(吡啶)3)2、RN(SiH2-CH2-Si(吡咯)3)2、RN(SiH2-CH2-Si(吡咯烷)3)2、以及RN(SiH2-CH2-Si(咪唑)3)2。这些前体可以适合于气相沉积或涂布应用,这至少部分地归因于上面讨论的SiH键的益处。末端氨基配体还可以为所得膜提供如上所述的改善的热稳定性,以及额外的N源和/或C源。最后,列出的具有较低分子量和较高蒸气压的前体更适合于气相沉积技术,而具有较高分子量的前体更适合于涂布技术。
当m=2并且R、R1、R2、R3、R4和R5=H时,披露的碳硅氮烷前体是HN(SiH2-CH2-CH2-SiH3)2(HNDSB2)。HNDSB2是挥发性的并且包含许多Si-H键,使其对基底表面更具反应性。结果,该前体可以适用于气相沉积工艺,更具体地,适用于ALD工艺。申请人认为,该前体甚至可以具有足够的反应性,以在使用N2的PEALD工艺中附着到Si-Cl封端的或甚至Si封端的基底表面。
当m=2;R1、R2、R3、R4和R5=H;并且R=SixH2x+1,其中x=1至4时,披露的式(IV)中所示的碳硅氮烷前体是SiH3N(SiH2-CH2-CH2-SiH3)2、Si2H5N(SiH2-CH2-CH2-SiH3)2、Si3H7N(SiH2-CH2-CH2-SiH3)2、以及Si4H9N(SiH2-CH2-CH2-SiH3)2。这些前体可以适用于气相沉积应用,这至少部分地归因于上面讨论的SiH键的益处。额外的N-Si键使这些前体比具有N-H键的前体更稳定,但比具有N-C键的那些更具反应性。结果,当需要适度的聚合条件时,这些前体可以是期望的。与其中R=H或烷基的相应分子相比,无碳的SixH2x+1还可以在所得膜中产生更多的Si。
当m=2;R1、R2、R3、R4和R5=H;并且R=SiHz(CyH2y+1)3-z(其中y=1至6,z=0至2)时,披露的式(IV)中所示的碳硅氮烷前体包括但不限于(SiMe3)N(SiH2-CH2-CH2-SiH3)2、(SiEt3)N(SiH2-CH2-CH2-SiH3)2、Si(iPr)3N(SiH2-CH2-CH2-SiH3)2、Si(nPr)3N(SiH2-CH2-CH2-SiH3)2、Si(Bu)3N(SiH2-CH2-CH2-SiH3)2、Si(iBu)3N(SiH2-CH2-CH2-SiH3)2、Si(tBu)3N(SiH2-CH2-CH2-SiH3)2、Si(戊基)3N(SiH2-CH2-CH2-SiH3)2、Si(己基)3N(SiH2-CH2-CH2-SiH3)2、(SiHMe2)N(SiH2-CH2-CH2-SiH3)2、(SiHEt2)N(SiH2-CH2-CH2-SiH3)2、SiH(iPr)2N(SiH2-CH2-CH2-SiH3)2、SiH(nPr)2N(SiH2-CH2-CH2-SiH3)2、SiH(Bu)2N(SiH2-CH2-CH2-SiH3)2、SiH(iBu)2N(SiH2-CH2-CH2-SiH3)2、SiH(tBu)2N(SiH2-CH2-CH2-SiH3)2、SiH(戊基)2N(SiH2-CH2-CH2-SiH3)2、SiH(己基)2N(SiH2-CH2-CH2-SiH3)2、(SiH2Me2)N(SiH2-CH2-CH2-SiH3)2、(SiH2Et2)N(SiH2-CH2-CH2-SiH3)2、SiH2(iPr)N(SiH2-CH2-CH2-SiH3)2、SiH2(nPr)N(SiH2-CH2-CH2-SiH3)2、SiH2(Bu)N(SiH2-CH2-CH2-SiH3)2、SiH2(iBu)N(SiH2-CH2-CH2-SiH3)2、SiH2(tBu)N(SiH2-CH2-CH2-SiH3)2、SiH2(戊基)N(SiH2-CH2-CH2-SiH3)2、以及SiH2(己基)N(SiH2-CH2-CH2-SiH3)2。额外的N-Si键使这些前体比具有N-H键的前体更稳定,但比具有N-C键的那些更具反应性。结果,当需要适度的聚合条件时,这些前体可以是期望的。可以选择碳链的长度以在膜中提供期望量的碳。最后,列出的具有较低分子量和较高蒸气压的前体更适合于气相沉积技术,而具有较高分子量的前体更适合于涂布技术。
当m=2;R1、R2、R3、R4和R5=H;并且R=R1’R2’R3’Si(CH2)bSiR4’R5’基团,其中b=1至2并且R1’、R2’、R3’、R4’、和R5’独立地是H或C1-C6烃基团,披露的式(IV)中所示的碳硅氮烷前体包括但不限于(SiH3-CH2-SiH2)N(SiH2-CH2-CH2-SiH3)2、(SiH3-CH2-CH2-SiH2)N(SiH2-CH2-CH2-SiH3)2、(SiMe3-CH2-SiMe2)N(SiH2-CH2-CH2-SiH3)2、(SiMe3-CH2-CH2-SiMe2)N(SiH2-CH2-CH2-SiH3)2、(SiEt3-CH2-SiEt2)N(SiH2-CH2-CH2-SiH3)2、以及(SiEt3-CH2-CH2-SiEt2)N(SiH2-CH2-CH2-SiH3)2
当m=2;R1、R2、R3、R4和R5=H;并且R=CyH2y+1,其中y=1至6时,披露的式(IV)中所示的碳硅氮烷前体包括但不限于(Me)N(SiH2-CH2-CH2-SiH3)2、(Et)N(SiH2-CH2-CH2-SiH3)2、(nPr)N(SiH2-CH2-CH2-SiH3)2、(iPr)N(SiH2-CH2-CH2-SiH3)2、(Bu)N(SiH2-CH2-CH2-SiH3)2、(iBu)N(SiH2-CH2-CH2-SiH3)2、(tBu)N(SiH2-CH2-CH2-SiH3)2、(戊基)N(SiH2-CH2-CH2-SiH3)2、以及(己基)N(SiH2-CH2-CH2-SiH3)2。该族化合物可用于气相沉积具有碳含量的膜,例如SiOC或SiNC,因为Si-C键(对于Si-R)不具有高反应性并且在沉积工艺中可能保持完整。结果,为了防止沉积过多的C,y优选为1至3。这些前体也比DSB3类似物更容易合成,因为RNHR2反应物是Et、Pr、Bu、戊基和己基的液体。
当m=2;R1、R2、R3、R4和R5=H;并且R=SiHx(NR’R”)3-x,其中x=1或2并且R’和R”独立地是Me、Et、iPr、nPr时,披露的式(IV)中所示的碳硅氮烷前体包括但不限于(SiH2NMe2)N(SiH2-CH2-CH2-SiH3)2、(SiH2NEt2)N(SiH2-CH2-CH2-SiH3)2、(SiH2NiPr2)N(SiH2-CH2-CH2-SiH3)2、(SiH2NnPr2)N(SiH2-CH2-CH2-SiH3)2、(SiH2NMeEt)N(SiH2-CH2-CH2-SiH3)2、(SiH(NMe2)2)N(SiH2-CH2-CH2-SiH3)2、以及SiH(NEt2)2)N(SiH2-CH2-CH2-SiH3)2
式(IV)中所示的示例性碳硅氮烷前体,其中m=2;R1、R2、R3和R4=H;并且R=H、CuH2u+1、或SivH2v-1(其中u=1-6并且v=1-4),包括但不限于RN(SiH(CH2=CH)-CH2-CH2-SiH3)2、RN(SiH(CH2=CH-CH2)-CH2-CH2-SiH3)2、RN(SiH(NH2)-CH2-CH2-SiH3)2、RN(SiH(NMe2)-CH2-CH2-SiH3)2、RN(SiH(NMeEt)-CH2-CH2-SiH3)2、RN(SiH(NEt2)-CH2-CH2-SiH3)2、RN(SiH(NnPr2)-CH2-CH2-SiH3)2、RN(SiH(NiPr2)-CH2-CH2-SiH3)2、RN(SiH(NBu2)-CH2-CH2-SiH3)2、RN(SiH(NiBu2)-CH2-CH2-SiH3)2、RN(SiH(NtBu2)-CH2-CH2-SiH3)2、RN(SiH(NAm2)-CH2-CH2-SiH3)2、RN(SiH(NCy戊基2)-CH2-CH2-SiH3)2、RN(SiH(N己基2)-CH2-CH2-SiH3)2、RN(SiH(NCy己基2)-CH2-CH2-SiH3)2、RN(SiH(NMeH)-CH2-CH2-SiH3)2、RN(SiH(NEtH)-CH2-CH2-SiH3)2、RN(SiH(NnPrH)-CH2-CH2-SiH3)2、RN(SiH(NiPrH)-CH2-CH2-SiH3)2、RN(SiH(NBuH)-CH2-CH2-SiH3)2、RN(SiH(NiBuH)-CH2-CH2-SiH3)2、RN(SiH(NtBuH)-CH2-CH2-SiH3)2、RN(SiH(NAmH)-CH2-CH2-SiH3)2、RN(SiH(吡啶)-CH2-CH2-SiH3)2、RN(SiH(吡咯)-CH2-CH2-SiH3)2、RN(SiH(吡咯烷)-CH2-CH2-SiH3)2、以及RN(SiH(咪唑)-CH2-CH2-SiH3)2
式(IV)中所示的示例性碳硅氮烷前体,其中m=2;R2、R3、R4和R5=H;并且R=H、CuH2u+1、或SivH2v-1(其中u=1-6并且v=1-4),包括但不限于RN(SiH2-CH2-CH2-SiH2(CH2=CH))2、RN(SiH2-CH2-CH2-SiH2(CH2=CH-CH2))2、RN(SiH2-CH2-CH2-SiH2(NH2))2、RN(SiH2-CH2-CH2-SiH2(NMe2))2、RN(SiH2-CH2-CH2-SiH2(NMeEt))2、RN(SiH2-CH2-CH2-SiH2(NEt2))2、RN(SiH2-CH2-CH2-SiH2(NnPr2))2、RN(SiH2-CH2-CH2-SiH2(NiPr2))2、RN(SiH2-CH2-CH2-SiH2(NBu2))2、RN(SiH2-CH2-CH2-SiH2(NiBu2))2、RN(SiH2-CH2-CH2-SiH2(NtBu2))2、RN(SiH2-CH2-CH2-SiH2(NAm2))2、RN(SiH2-CH2-CH2-SiH2(NCy戊基2))2、RN(SiH2-CH2-CH2-SiH2(N己基2))2、RN(SiH2-CH2-CH2-SiH2(NCy己基2))2、RN(SiH2-CH2-CH2-SiH2(NMeH))2、RN(SiH2-CH2-CH2-SiH2(NEtH))2、RN(SiH2-CH2-CH2-SiH2(NnPrH))2、RN(SiH2-CH2-CH2-SiH2(NiPrH))2、RN(SiH2-CH2-CH2-SiH2(NBuH))2、RN(SiH2-CH2-CH2-SiH2(NiBuH))2、RN(SiH2-CH2-CH2-SiH2(NtBuH))2、RN(SiH2-CH2-CH2-SiH2(NAmH))2、RN(SiH2-CH2-CH2-SiH2(吡啶))2、RN(SiH2-CH2-CH2-SiH2(吡咯))2、RN(SiH2-CH2-CH2-SiH2(吡咯烷))2、以及RN(SiH2-CH2-CH2-SiH2(咪唑))2。这些前体适用于气相沉积或涂布应用,这至少部分地归因于上面讨论的SiH键的益处。末端氨基配体还可以为所得膜提供如上所述的改善的热稳定性,以及额外的N源和/或C源。最后,列出的具有较低分子量和较高蒸气压的前体更适合于气相沉积技术,而具有较高分子量的前体更适合于涂布技术。
式(IV)中所示的示例性碳硅氮烷前体,其中m=2;R1、R2和R3=H;并且R=H、CuH2u+1、或SivH2v-1(其中u=1-6并且v=1-4),包括但不限于RN(Si(CH2=CH)2-CH2-CH2-SiH3)2、RN(Si(CH2=CH-CH2)2-CH2-CH2-SiH3)2、RN(Si(NH2)2-CH2-CH2-SiH3)2、RN(Si(NMe2)2-CH2-CH2-SiH3)2、RN(Si(NMeEt)2-CH2-CH2-SiH3)2、RN(Si(NEt2)2-CH2-CH2-SiH3)2、RN(Si(NnPr2)2-CH2-CH2-SiH3)2、RN(Si(NiPr2)2-CH2-CH2-SiH3)2、RN(Si(NBu2)2-CH2-CH2-SiH3)2、RN(Si(NiBu2)2-CH2-CH2-SiH3)2、RN(Si(NtBu2)2-CH2-CH2-SiH3)2、RN(Si(NAm2)2-CH2-CH2-SiH3)2、RN(Si(NCy戊基2)2-CH2-CH2-SiH3)2、RN(Si(N己基2)2-CH2-CH2-SiH3)2、RN(Si(NCy己基2)2-CH2-CH2-SiH3)2、RN(Si(NMeH)2-CH2-CH2-SiH3)2、RN(Si(NEtH)2-CH2-CH2-SiH3)2、RN(Si(NnPrH)2-CH2-CH2-SiH3)2、RN(Si(NiPrH)2-CH2-CH2-SiH3)2、RN(Si(NBuH)2-CH2-CH2-SiH3)2、RN(Si(NiBuH)2-CH2-CH2-SiH3)2、RN(Si(NtBuH)2-CH2-CH2-SiH3)2、RN(Si(NAmH)2-CH2-CH2-SiH3)2、RN(Si(吡啶)2-CH2-CH2-SiH3)2、RN(Si(吡咯)2-CH2-CH2-SiH3)2、RN(Si(吡咯烷)2-CH2-CH2-SiH3)2、以及RN(Si(咪唑)2-CH2-CH2-SiH3)2
式(IV)中所示的示例性碳硅氮烷前体,其中m=2;R2、R3和R4=H;并且R=H、CuH2u+1、或SivH2v-1(其中u=1-6并且v=1-4),包括但不限于RN(SiH(CH2=CH)-CH2-CH2-SiH2(CH2=CH))2、RN(SiH(CH2=CH-CH2)-CH2-CH2-SiH2(CH2=CH-CH2))2、RN(SiH(NH2)-CH2-CH2-SiH2(NH2))2、RN(SiH(NMe2)-CH2-CH2-SiH2(NMe2))2、RN(SiH(NMeEt)-CH2-CH2-SiH2(NMeEt))2、RN(SiH(NEt2)-CH2-CH2-SiH2(NEt2))2、RN(SiH(NnPr2)-CH2-CH2-SiH2(NnPr2))2、RN(SiH(NiPr2)-CH2-CH2-SiH2(NiPr2))2、RN(SiH(NBu2)-CH2-CH2-SiH2(NBu2))2、RN(SiH(NiBu2)-CH2-CH2-SiH2(NiBu2))2、RN(SiH(NtBu2)-CH2-CH2-SiH2(NtBu2))2、RN(SiH(NAm2)-CH2-CH2-SiH2(NAm2))2、RN(SiH(NCy戊基2)-CH2-CH2-SiH2(NCy戊基2))2、RN(SiH(N己基2)-CH2-CH2-SiH2(N己基2))2、RN(SiH(NCy己基2)-CH2-CH2-SiH2(NCy己基2))2、RN(SiH(NMeH)-CH2-CH2-SiH2(NMeH))2、RN(SiH(NEtH)-CH2-CH2-SiH2(NEtH))2、RN(SiH(NnPrH)-CH2-CH2-SiH2(NnPrH))2、RN(SiH(NiPrH)-CH2-CH2-SiH2(NiPrH))2、RN(SiH(NBuH)-CH2-CH2-SiH2(NBuH))2、RN(SiH(NiBuH)-CH2-CH2-SiH2(NiBuH))2、RN(SiH(NtBuH)-CH2-CH2-SiH2(NtBuH))2、RN(SiH(NAmH)-CH2-CH2-SiH2(NAmH))2、RN(SiH(吡啶)-CH2-CH2-SiH2(吡啶))2、RN(SiH(吡咯)-CH2-CH2-SiH2(吡咯))2、RN(SiH(吡咯烷)-CH2-CH2-SiH2(吡咯烷))2、以及RN(SiH(咪唑)-CH2-CH2-SiH2(咪唑))2
式(IV)中所示的示例性碳硅氮烷前体,其中m=2;R3、R4和R5=H;并且R=H、CuH2u+1、或SivH2v-1(其中u=1-6并且v=1-4),包括但不限于RN(SiH2-CH2-CH2-SiH(CH2=CH)2)2、RN(SiH2-CH2-CH2-SiH(CH2=CH-CH2)2)2、RN(SiH2-CH2-CH2-SiH(NH2)2)2、RN(SiH2-CH2-CH2-SiH(NMe2)2)2、RN(SiH2-CH2-CH2-SiH(NMeEt)2)2、RN(SiH2-CH2-CH2-SiH(NEt2)2)2、RN(SiH2-CH2-CH2-SiH(NnPr2)2)2、RN(SiH2-CH2-CH2-SiH(NiPr2)2)2、RN(SiH2-CH2-CH2-SiH(NBu2)2)2、RN(SiH2-CH2-CH2-SiH(NiBu2)2)2、RN(SiH2-CH2-CH2-SiH(NtBu2)2)2、RN(SiH2-CH2-CH2-SiH(NAm2)2)2、RN(SiH2-CH2-CH2-SiH(NCy戊基2)2)2、RN(SiH2-CH2-CH2-SiH(N己基2)2)2、RN(SiH2-CH2-CH2-SiH(NCy己基2)2)2、RN(SiH2-CH2-CH2-SiH(NMeH)2)2、RN(SiH2-CH2-CH2-SiH(NEtH)2)2、RN(SiH2-CH2-CH2-SiH(NnPrH)2)2、RN(SiH2-CH2-CH2-SiH(NiPrH)2)2、RN(SiH2-CH2-CH2-SiH(NBuH)2)2、RN(SiH2-CH2-CH2-SiH(NiBuH)2)2、RN(SiH2-CH2-CH2-SiH(NtBuH)2)2、RN(SiH2-CH2-CH2-SiH(NAmH)2)2、RN(SiH2-CH2-CH2-SiH(吡啶)2)2、RN(SiH2-CH2-CH2-SiH(吡咯)2)2、RN(SiH2-CH2-CH2-SiH(吡咯烷)2)2、以及RN(SiH2-CH2-CH2-SiH(咪唑)2)2。这些前体适用于气相沉积或涂布应用,这至少部分地归因于上面讨论的SiH键的益处。末端氨基配体还可以为所得膜提供如上所述的改善的热稳定性,以及额外的N源和/或C源。最后,列出的具有较低分子量和较高蒸气压的前体更适合于气相沉积技术,而具有较高分子量的前体更适合于涂布技术。
式(IV)中所示的示例性碳硅氮烷前体,其中m=2;R4和R5=H;并且R=H、CuH2u+1、或SivH2v-1(其中u=1-6并且v=1-4),包括但不限于RN(SiH2-CH2-CH2-Si(CH2=CH)3)2、RN(SiH2-CH2-CH2-Si(CH2=CH-CH2)3)2、RN(SiH2-CH2-CH2-Si(NH2)3)2、RN(SiH2-CH2-CH2-Si(NMe2)3)2、RN(SiH2-CH2-CH2-Si(NMeEt)3)2、RN(SiH2-CH2-CH2-Si(NEt2)3)2、RN(SiH2-CH2-CH2-Si(NnPr2)3)2、RN(SiH2-CH2-CH2-Si(NiPr2)3)2、RN(SiH2-CH2-CH2-Si(NBu2)3)2、RN(SiH2-CH2-CH2-Si(NiBu2)3)2、RN(SiH2-CH2-CH2-Si(NtBu2)3)2、RN(SiH2-CH2-CH2-Si(NAm2)3)2、RN(SiH2-CH2-CH2-Si(NCy戊基2)3)2、RN(SiH2-CH2-CH2-Si(N己基2)3)2、RN(SiH2-CH2-CH2-Si(NCy己基2)3)2、RN(SiH2-CH2-CH2-Si(NMeH)3)2、RN(SiH2-CH2-CH2-Si(NEtH)3)2、RN(SiH2-CH2-CH2-Si(NnPrH)3)2、RN(SiH2-CH2-CH2-Si(NiPrH)3)2、RN(SiH2-CH2-CH2-Si(NBuH)3)2、RN(SiH2-CH2-CH2-Si(NiBuH)3)2、RN(SiH2-CH2-CH2-Si(NtBuH)3)2、RN(SiH2-CH2-CH2-Si(NAmH)3)2、RN(SiH2-CH2-CH2-Si(吡啶)3)2、RN(SiH2-CH2-CH2-Si(吡咯)3)2、RN(SiH2-CH2-CH2-Si(吡咯烷)3)2、以及RN(SiH2-CH2-CH2-Si(咪唑)3)2。这些前体适用于气相沉积或涂布应用,这至少部分地归因于上面讨论的SiH键的益处。末端氨基配体还可以为所得膜提供如上所述的改善的热稳定性,以及额外的N源和/或C源。最后,列出的具有较低分子量和较高蒸气压的前体更适合于气相沉积技术,而具有较高分子量的前体更适合于涂布技术。
回到式(II),当t=1并且R、R2、R3、R4和R5=H时,所示的披露的聚碳硅氮烷包含具有式[-NH-SiH2-CH2-SiH2-]n(即[-NH-DSP-]n)的单元。[-NH-DSP-]n含有许多Si-H键,使其对基底表面更具反应性。结果,该前体可以适用于旋涂沉积工艺。申请人认为,该前体甚至可以具有足够的反应性,以在CVD或ALD工艺中附着到Si-Cl或Si-OH封端的或甚至Si封端的基底表面上。
当t=1;R2、R3、R4和R5=H;并且R=SixH2x+1,其中x=1至4时,披露的前体含有具有式[-N(SiH3)-SiH2-CH2-SiH2-]n、[-N(Si2H5)-SiH2-CH2-SiH2-]n、[-N(Si3H7)-SiH2-CH2-SiH2-]n、[-N(Si4H9)-SiH2-CH2-SiH2-]n的单元。甲硅烷基配体的选择可有助于提供具有期望硅含量的膜。换句话说,Si4H9配体可以产生具有比SiH3配体产生的Si更多的Si的膜。
当t=1;R2、R3、R4和R5=H;并且R=SiHz(CyH2y+1)3-z,其中y=1至6,z=0至2时,披露的前体含有具有以下式的单元,这些式包括但不限于[-N(Si(Me)3)-SiH2-CH2-SiH2-]n、[-N(Si(Et)3)-SiH2-CH2-SiH2-]n、[-N(Si(iPr)3)-SiH2-CH2-SiH2-]n、[-N(Si(nPr)3)-SiH2-CH2-SiH2-]n、[-N(Si(Bu)3)-SiH2-CH2-SiH2-]n、[-N(Si(iBu)3)-SiH2-CH2-SiH2-]n、[-N(Si(tBu)3)-SiH2-CH2-SiH2-]n、[-N(Si(戊基)3)-SiH2-CH2-SiH2-]n、[-N(Si(己基)3)-SiH2-CH2-SiH2-]n、[-Nx(SiH(Me)2)-SiH2-CH2-SiH2-]n、[-N(SiH(Et)2)-SiH2-CH2-SiH2-]n、[-N(SiH(iPr)2)-SiH2-CH2-SiH2-]n、[-N(SiH(nPr)2)-SiH2-CH2-SiH2-]n、[-N(SiH(Bu)2)-SiH2-CH2-SiH2-]n、[-N(SiH(iBu)2)-SiH2-CH2-SiH2-]n、[-N(SiH(tBu)2)-SiH2-CH2-SiH2-]n、[-N(SiH(戊基)2)-SiH2-CH2-SiH2-]n,[-N(SiH(己基)2)-SiH2-CH2-SiH2-]n、[-N(SiH2(Me))-SiH2-CH2-SiH2-]n、[-N(SiH2(Et))-SiH2-CH2-SiH2-]n、[-N(SiH2(iPr))-SiH2-CH2-SiH2-]n、[-N(SiH2(nPr))-SiH2-CH2-SiH2-]n、[-N(SiH2(Bu))-SiH2-CH2-SiH2-]n、[-N(SiH2(iBu))-SiH2-CH2-SiH2-]n、[-N(SiH2(tBu))-SiH2-CH2-SiH2-]n、[-N(SiH2(戊基))-SiH2-CH2-SiH2-]n、以及[-N(SiH2(己基))-SiH2-CH2-SiH2-]n
当t=1;R2、R3、R4和R5=H;并且R=R1’R2’R3’Si(CH2)bSiR4’R5’基团,其中b=1至2并且R1’、R2’、R3’、R4’、和R5’独立地是H或C1-C6烃基团时,披露的前体含有具有以下式的单元,这些式包括但不限于[-N(SiH3-CH2-SiH2)-SiH2-CH2-SiH2-]n、[-N(SiH3-CH2-CH2-SiH2)-SiH2-CH2-SiH2-]n、[-N(SiMe3-CH2-SiMe2)-SiH2-CH2-SiH2-]n、[-N(SiMe3-CH2-CH2-SiMe2)-SiH2-CH2-SiH2-]n、[-N(SiEt3-CH2-SiEt2)-SiH2-CH2-SiH2-]n、以及[-N(SiEt3-CH2-CH2-SiEt2)-SiH2-CH2-SiH2-]n
当t=1;R2、R3、R4和R5=H;并且R=CyH2y+1,其中y=1至6时,披露的聚碳硅氮烷前体含有具有以下式的单元,这些式包括但不限于[-N(Me)-SiH2-CH2-SiH2-]n、[-N(Et)-SiH2-CH2-SiH2-]n、[-N(iPr)-SiH2-CH2-SiH2-]n、[-N(nPr)-SiH2-CH2-SiH2-]n、[-N(Bu)-SiH2-CH2-SiH2-]n、[-N(iBu)-SiH2-CH2-SiH2-]n、[-N(tBu)-SiH2-CH2-SiH2-]n、[-N(戊基)-SiH2-CH2-SiH2-]n、以及[-N(己基)-SiH2-CH2-SiH2-]n。该族化合物可用于沉积具有碳含量的膜,例如SiOC或SiNC,因为Si-C键(对于Si-R)不具有高反应性并且在沉积工艺中可能保持完整。结果,为了防止沉积过多的C,y优选为1至3。这些前体也比[-NH-DSP-]n类似物更容易合成,因为RNHR2反应物是Et、Pr、Bu、戊基和己基的液体。
当t=1;R2、R3、R4和R5=H;并且R=R1’R2’R3’Si(CH2)bSiR4’R5’,其中b=1至2并且R1’、R2’、R3’、R4’和R5’=H时,披露的聚碳硅氮烷前体含有具有以下式的单元,这些式包括但不限于[-N(-SiH2-CH2-SiH3)-SiH2-CH2-SiH2-]n(即[-N(DSP)-DSP-]n)或[-N(-SiH2-CH2-CH2-SiH3)-SiH2-CH2-SiH2-]n(即[-N(DSB)-DSP-]n)。
当t=1;R2、R3、R4和R5=H;并且R=SiHx(NR’R”)3-x,其中x=1或2并且R’和R”独立地是Me、Et、iPr、nPr时,披露的碳硅氮烷前体含有具有以下式的单元,这些式包括但不限于[-N(SiH2NMe2)-SiH2-CH2-SiH2-]n、[-N(SiH2NEt2)-SiH2-CH2-SiH2-]n、[-N(SiH2NiPr2)-SiH2-CH2-SiH2-]n、[-N(SiH2NnPr2)-SiH2-CH2-SiH2-]n、[-N(SiH2NMeEt)-SiH2-CH2-SiH2-]n、[-N(SiH(NMe2)2)-SiH2-CH2-SiH2-]n、以及[-N(SiH(NEt2)2)-SiH2-CH2-SiH2-]n
式(II)中所示的示例性聚碳硅氮烷前体(其中t=1并且R、R3、R4和R5=H含有具有以下式的单元,这些式包括但不限于[-NH-H2Si-CH2-SiH(CH2=CH2)-]n、[-NH-H2Si-CH2-SiH(CH2-CH2=CH2)-]n、[-NH-H2Si-CH2-SiH(NH2)-]n、[-NH-H2Si-CH2-SiH(NMe2)-]n、[-NH-H2Si-CH2-SiH(NMeEt)-]n、[-NH-H2Si-CH2-SiH(NEt2)-]n、[-NH-H2Si-CH2-SiH(NnPr2)-]n、[-NH-H2Si-CH2-SiH(NiPr2)-]n、[-NH-H2Si-CH2-SiH(NBu2)-]n、[-NH-H2Si-CH2-SiH(NiBu2)-]n、[-NH-H2Si-CH2-SiH(NtBu2)-]n、[-NH-H2Si-CH2-SiH(NAm2)-]n、[-NH-H2Si-CH2-SiH(NCy戊基2)-]n、[-NH-H2Si-CH2-SiH(N己基2)-]n、[-NH-H2Si-CH2-SiH(NCy己基2)-]n、[-NH-H2Si-CH2-SiH(NMeH)-]n、[-NH-H2Si-CH2-SiH(NEtH)-]n、[-NH-H2Si-CH2-SiH(NnPrH)-]n、[-NH-H2Si-CH2-SiH(NiPrH)-]n、[-NH-H2Si-CH2-SiH(NBuH)-]n、[-NH-H2Si-CH2-SiH(NiBuH)-]n、[-NH-H2Si-CH2-SiH(NtBuH)-]n、[-NH-H2Si-CH2-SiH(NAmH)-]n、[-NH-H2Si-CH2-SiH(吡啶)-]n、[-NH-H2Si-CH2-SiH(吡咯)-]n、[-NH-H2Si-CH2-SiH(吡咯烷)-]n、以及[-NH-H2Si-CH2-SiH(咪唑)-]n。这些前体适用于旋涂应用,这至少部分地归因于上面讨论的SiH键的益处。氨基配体还可以为所得膜提供如上所述的改善的热稳定性,以及额外的N源和/或C源。
式(II)中所示的示例性聚碳硅氮烷前体(其中t=1并且R、R4和R5=H)含有具有以下式的单元,这些式包括但不限于[-NH-H2Si-CH2-Si(CH2=CH2)2-]n、[-NH-H2Si-CH2-Si(CH2-CH2=CH2)2-]n、[-NH-H2Si-CH2-Si(NH2)2-]n、[-NH-H2Si-CH2-Si(NMe2)2-]n、[-NH-H2Si-CH2-Si(NMeEt)2-]n、[-NH-H2Si-CH2-Si(NEt2)2-]n、[-NH-H2Si-CH2-Si(NnPr2)2-]n、[-NH-H2Si-CH2-Si(NiPr2)2-]n、[-NH-H2Si-CH2-Si(NBu2)2-]n、[-NH-H2Si-CH2-Si(NiBu2)2-]n、[-NH-H2Si-CH2-Si(NtBu2)2-]n、[-NH-H2Si-CH2-Si(NAm2)2-]n、[-NH-H2Si-CH2-Si(NCy戊基2)2-]n、[-NH-H2Si-CH2-Si(N己基2)2-]n、[-NH-H2Si-CH2-Si(NCy己基2)2-]n、[-NH-H2Si-CH2-Si(NMeH)2-]n、[-NH-H2Si-CH2-Si(NEtH)2-]n、[-NH-H2Si-CH2-Si(NnPrH)2-]n、[-NH-H2Si-CH2-Si(NiPrH)2-]n、[-NH-H2Si-CH2-Si(NBuH)2-]n、[-NH-H2Si-CH2-Si(NiBuH)2-]n、[-NH-H2Si-CH2-Si(NtBuH)2-]n、[-NH-H2Si-CH2-Si(NAmH)2-]n、[-NH-H2Si-CH2-Si(吡啶)2-]n、[-NH-H2Si-CH2-Si(吡咯)2-]n、[-NH-H2Si-CH2-Si(吡咯烷)2-]n、以及[-NH-H2Si-CH2-Si(咪唑)2-]n。这些前体适用于旋涂应用,这至少部分地归因于上面讨论的SiH键的益处。末端氨基配体还可以为所得膜提供如上所述的改善的热稳定性,以及额外的N源和/或C源。
式(II)中所示的示例性聚碳硅氮烷前体(其中t=1并且R、R3和R5=H)含有具有以下式的单元,这些式包括但不限于[-NH-SiH(CH2=CH2)-CH2-SiH(CH2=CH2)-]n、[-NH-SiH(CH2-CH2=CH2)-CH2-SiH(CH2-CH2=CH2)-]n、[-NH-SiH(NH2)-CH2-SiH(NH2)-]n、[-NH-SiH(NMe2)-CH2-SiH(NMe2)-]n、[-NH-SiH(NMeEt)-CH2-SiH(NMeEt)-]n、[-NH-SiH(NEt2)-CH2-SiH(NEt2)-]n、[-NH-SiH(NnPr2)-CH2-SiH(NnPr2)-]n、[-NH-SiH(NiPr2)-CH2-SiH(NiPr2)-]n、[-NH-SiH(NBu2)-CH2-SiH(NBu2)-]n、[-NH-SiH(NiBu2)-CH2-SiH(NiBu2)-]n、[-NH-SiH(NtBu2)-CH2-SiH(NtBu2)-]n、[-NH-SiH(NAm2)-CH2-SiH(NAm2)-]n、[-NH-SiH(NCy戊基2)-CH2-SiH(NCy戊基2)-]n、[-NH-SiH(N己基2)-CH2-SiH(N己基2)-]n、[-NH-SiH(NCy己基2)-CH2-SiH(NCy己基2)-]n、[-NH-SiH(NMeH)-CH2-SiH(NMeH)-]n、[-NH-SiH(NEtH)-CH2-SiH(NEtH)-]n、[-NH-SiH(NnPrH)-CH2-SiH(NnPrH)-]n、[-NH-SiH(NiPrH)-CH2-SiH(NiPrH)-]n、[-NH-SiH(NBuH)-CH2-SiH(NBuH)-]n、[-NH-SiH(NiBuH)-CH2-SiH(NiBuH)-]n、[-NH-SiH(NtBuH)-CH2-SiH(NtBuH)-]n、[-NH-SiH(NAmH)-CH2-SiH(NAmH)-]n、[-NH-SiH(吡啶)-CH2-SiH(吡啶)-]n、[-NH-SiH(吡咯)-CH2-SiH(吡咯)-]n、[-NH-SiH(吡咯烷)-CH2-SiH(吡咯烷)-]n、以及[-NH-SiH(咪唑)-CH2-SiH(咪唑)-]n。这些前体适用于旋涂应用,这至少部分地归因于上面讨论的SiH键的益处。末端氨基配体还可以为所得膜提供如上所述的改善的热稳定性,以及额外的N源和/或C源。
当t=2并且R、R2、R3、R4和R5=H时,披露的聚碳硅氮烷前体含有具有式[-NH-SiH2-CH2-CH2-SiH2-]n(即[-NH-DSB-]n)的单元。[-NH-DSB-]n含有许多Si-H键,使其对基底表面更具反应性。结果,该前体可以适用于旋涂沉积工艺。申请人认为该前体甚至可以具有足够的反应性以附着到Si-Cl封端的或甚至Si封端的基底表面上。
当t=2;R2、R3、R4和R5=H;并且R=SixH2x+1,其中x=1至4时,披露的聚碳硅氮烷前体含有具有以下式的单元:[-N(SiH3)-SiH2-CH2-CH2-SiH2-]n、[-N(Si2H5)-SiH2-CH2-CH2-SiH2-]n、[-N(Si3H7)-SiH2-CH2-CH2-SiH2-]n、和/或[-N(Si4H9)-SiH2-CH2-CH2-SiH2-]n。甲硅烷基配体的选择可有助于提供具有期望硅含量的膜。换句话说,Si4H9配体可以产生具有比SiH3配体产生的Si更多的Si的膜。
当t=2;R1、R2、R3、R4和R5=H;并且R=SiHz(CyH2y+1)3-z,其中y=1至6并且z=0至2时,披露的碳硅氮烷前体含有具有以下式的单元,这些式包括但不限于[-N(Si(Me)3)-SiH2-CH2-CH2-SiH2-]n、[-N(Si(Et)3)-SiH2-CH2-CH2-SiH2-]n、[-N(Si(iPr)3)-SiH2-CH2-CH2-SiH2-]n、[-N(Si(nPr)3)-SiH2-CH2-CH2-SiH2-]n、[-N(Si(Bu)3)-SiH2-CH2-CH2-SiH2-]n、[-N(Si(iBu)3)-SiH2-CH2-CH2-SiH2-]n、[-N(Si(tBu)3)-SiH2-CH2-CH2-SiH2-]n、[-N(Si(戊基)3)-SiH2-CH2-CH2-SiH2-]n、[-N(Si(己基)3)-SiH2-CH2-CH2-SiH2-]n、[-Nx(SiH(Me)2)-SiH2-CH2-CH2-SiH2-]n、[-N(SiH(Et)2)-SiH2-CH2-CH2-SiH2-]n、[-N(SiH(iPr)2)-SiH2-CH2-CH2-SiH2-]n、[-N(SiH(nPr)2)-SiH2-CH2-CH2-SiH2-]n、[-N(SiH(Bu)2)-SiH2-CH2-CH2-SiH2-]n、[-N(SiH(iBu)2)-SiH2-CH2-CH2-SiH2-]n、[-N(SiH(tBu)2)-SiH2-CH2-CH2-SiH2-]n、[-N(SiH(戊基)2)-SiH2-CH2-CH2-SiH2-]n、[-N(SiH(己基)2)-SiH2-CH2-CH2-SiH2-]n、[-N(SiH2(Me))-SiH2-CH2-CH2-SiH2-]n、[-N(SiH2(Et))-SiH2-CH2-CH2-SiH2-]n、[-N(SiH2(iPr))-SiH2-CH2-CH2-SiH2-]n、[-N(SiH2(nPr))-SiH2-CH2-CH2-SiH2-]n、[-N(SiH2(Bu))-SiH2-CH2-CH2-SiH2-]n、[-N(SiH2(iBu))-SiH2-CH2CH2-SiH2-]n、[-N(SiH2(tBu))-SiH2-CH2-CH2-SiH2-]n、以及[-N(SiH2(戊基))-SiH2-CH2-CH2-SiH2-]n、以及[-N(SiH2(己基))-SiH2-CH2-CH2-SiH2-]n
当t=2,R=CyH2y+1(y=1至6)并且R2、R3、R4和R5=H时,披露的聚碳硅氮烷前体含有具有以下式的单元,这些式包括但不限于[-N(Me)-SiH2-CH2-CH2-SiH2-]n、[-N(Et)-SiH2-CH2-CH2-SiH2-]n、[-N(iPr)-SiH2-CH2-CH2-SiH2-]n、[-N(nPr)-SiH2-CH2-CH2-SiH2-]n、[-N(Bu)-SiH2-CH2-CH2-SiH2-]n、[-N(iBu)-SiH2-CH2-CH2-SiH2-]n、[-N(tBu)-SiH2-CH2-CH2-SiH2-]n、[-N(戊基)-SiH2-CH2-CH2-SiH2-]n、以及[-N(己基)-SiH2-CH2-CH2-SiH2-]n。该族化合物可用于沉积具有碳含量的膜,例如SiOC或SiNC,因为Si-C键(对于Si-R)不具有高反应性并且在沉积工艺中可能保持完整。结果,为了防止沉积过多的C,y优选为1至3。这些前体也比[-NH-DSB-]n类似物更容易合成,因为RNHR2反应物是Et、Pr、Bu、戊基和己基的液体。
当t=2;R2、R3、R4和R5=H;并且R=R1’R2’R3’Si(CH2)bSiR4’R5’基团,其中b=1至2并且R1’、R2’、R3’、R4’、和R5’独立地是H或C1-C6烃基团时,披露的聚碳硅氮烷前体含有具有以下式的单元,这些式包括但不限于[-N(SiH3-CH2-SiH2)-SiH2-CH2-CH2-SiH2-]n、[-N(SiH3-CH2-CH2-SiH2)-SiH2-CH2-CH2-SiH2-]n、[-N(SiMe3-CH2-SiMe2)-SiH2-CH2-CH2-SiH2-]n、[-N(SiMe3-CH2-CH2-SiMe2)-SiH2-CH2-CH2-SiH2-]n、[-N(SiEt3-CH2-SiEt2)-SiH2-CH2-CH2-SiH2-]n、以及[-N(SiEt3-CH2-CH2-SiEt2)-SiH2-CH2-CH2-SiH2-]n
当t=2;R2、R3、R4和R5=H;并且R=R1’R2’R3’Si(CH2)bSiR4’R5’,其中b=1至2并且R1’、R2’、R3’、R4’和R5’=H时,披露的聚碳硅氮烷前体含有具有以下式的单元:[-N(-SiH2-CH2-SiH3)-SiH2-CH2-CH2-SiH2-]n(即[-N(DSP)-DSB-]n)或[-N(-SiH2-CH2-CH2-SiH3)-SiH2-CH2-CH2-SiH2-]n(即[-N(DSB)-DSB-]n)。[-N(DSP)-DSB-]n和[-N(DSB)-DSB-]n含有许多Si-H键,使其对基底表面更具反应性。结果,该前体可以适用于旋涂沉积工艺。申请人认为该前体甚至可以具有足够的反应性以附着到Si-Cl封端的或甚至Si封端的基底表面上。
当t=2;R2、R3、R4和R5=H;并且R=SiHx(NR’R”)3-x,其中x=1或2并且R’和R”独立地是Me、Et、iPr、nPr时,披露的碳硅氮烷前体含有具有以下式的单元,这些式包括但不限于[-N(SiH2NMe2)-SiH2-CH2-CH2-SiH2-]n、[-N(SiH2NEt2)-SiH2-CH2-CH2-SiH2-]n、[-N(SiH2NiPr2)-SiH2-CH2-CH2-SiH2-]n、[-N(SiH2NnPr2)-SiH2-CH2-CH2-SiH2-]n、[-N(SiH2NMeEt)-SiH2-CH2-CH2-SiH2-]n、[-N(SiH(NMe2)2)-SiH2-CH2-CH2-SiH2-]n、以及[-N(SiH(NEt2)2)-SiH2-CH2-CH2-SiH2-]n
式(II)中所示的示例性聚碳硅氮烷前体(其中t=2并且R、R3、R4和R5=H)含有具有以下式的单元,这些式包括但不限于[-NH-H2Si-CH2-CH2-SiH(CH2=CH2)-]n、[-NH-H2Si-CH2-CH2-SiH(CH2-CH2=CH2)-]n、[-NH-H2Si-CH2-CH2-SiH(NH2)-]n、[-NH-H2Si-CH2-CH2-SiH(NMe2)-]n、[-NH-H2Si-CH2-CH2-SiH(NMeEt)-]n、[-NH-H2Si-CH2-CH2-SiH(NEt2)-]n、[-NH-H2Si-CH2-CH2-SiH(NnPr2)-]n、[-NH-H2Si-CH2-CH2-SiH(NiPr2)-]n、[-NH-H2Si-CH2-CH2-SiH(NBu2)-]n、[-NH-H2Si-CH2-CH2-SiH(NiBu2)-]n、[-NH-H2Si-CH2-CH2-SiH(NtBu2)-]n、[-NH-H2Si-CH2-CH2-SiH(NAm2)-]n、[-NH-H2Si-CH2-CH2-SiH(NCy戊基2)-]n、[-NH-H2Si-CH2-CH2-SiH(N己基2)-]n、[-NH-H2Si-CH2-CH2-SiH(NCy己基2)-]n、[-NH-H2Si-CH2-CH2-SiH(NMeH)-]n、[-NH-H2Si-CH2-CH2-SiH(NEtH)-]n、[-NH-H2Si-CH2-CH2-SiH(NnPrH)-]n、[-NH-H2Si-CH2-CH2-SiH(NiPrH)-]n、[-NH-H2Si-CH2-CH2-SiH(NBuH)-]n、[-NH-H2Si-CH2-CH2-SiH(NiBuH)-]n、[-NH-H2Si-CH2-CH2-SiH(NtBuH)-]n、[-NH-H2Si-CH2-CH2-SiH(NAmH)-]n、[-NH-H2Si-CH2-CH2-SiH(吡啶)-]n、[-NH-H2Si-CH2-CH2-SiH(吡咯)-]n、[-NH-H2Si-CH2-CH2-SiH(吡咯烷)-]n、以及[-NH-H2Si-CH2-CH2-SiH(咪唑)-]n。这些前体适用于旋涂应用,这至少部分地归因于上面讨论的SiH键的益处。氨基配体还可以为所得膜提供如上所述的改善的热稳定性,以及额外的N源和/或C源。
式(II)中所示的示例性聚碳硅氮烷前体(其中t=2并且R、R4和R5=H)含有具有以下式的单元,这些式包括但不限于[-NH-H2Si-CH2-CH2-Si(CH2=CH2)2-]n、[-NH-H2Si-CH2-CH2-Si(CH2-CH2=CH2)2-]n、[-NH-H2Si-CH2-CH2-Si(NH2)2-]n、[-NH-H2Si-CH2-CH2-Si(NMe2)2-]n、[-NH-H2Si-CH2-CH2-Si(NMeEt)2-]n、[-NH-H2Si-CH2-CH2-Si(NEt2)2-]n、[-NH-H2Si-CH2-CH2-Si(NnPr2)2-]n、[-NH-H2Si-CH2-CH2-Si(NiPr2)2-]n、[-NH-H2Si-CH2-CH2-Si(NBu2)2-]n、[-NH-H2Si-CH2-CH2-Si(NiBu2)2-]n、[-NH-H2Si-CH2-CH2-Si(NtBu2)2-]n、[-NH-H2Si-CH2-CH2-Si(NAm2)2-]n、[-NH-H2Si-CH2-CH2-Si(NCy戊基2)2-]n、[-NH-H2Si-CH2-CH2-Si(N己基2)2-]n、[-NH-H2Si-CH2-CH2-Si(NCy己基2)2-]n、[-NH-H2Si-CH2-CH2-Si(NMeH)2-]n、[-NH-H2Si-CH2-CH2-Si(NEtH)2-]n、[-NH-H2Si-CH2-CH2-Si(NnPrH)2-]n、[-NH-H2Si-CH2-CH2-Si(NiPrH)2-]n、[-NH-H2Si-CH2-CH2-Si(NBuH)2-]n、[-NH-H2Si-CH2-CH2-Si(NiBuH)2-]n、[-NH-H2Si-CH2-CH2-Si(NtBuH)2-]n、[-NH-H2Si-CH2-CH2-Si(NAmH)2-]n、[-NH-H2Si-CH2-CH2-Si(吡啶)2-]n、[-NH-H2Si-CH2-CH2-Si(吡咯)2-]n、[-NH-H2Si-CH2-CH2-Si(吡咯烷)2-]n、以及[-NH-H2Si-CH2-CH2-Si(咪唑)2-]n。这些前体适用于旋涂应用,这至少部分地归因于上面讨论的SiH键的益处。氨基配体还可以为所得膜提供如上所述的改善的热稳定性,以及额外的N源和/或C源。
式(II)中所示的示例性聚碳硅氮烷前体(其中t=2并且R、R3和R5=H)含有具有以下式的单元,这些式包括但不限于[-NH-SiH(CH2=CH2)-CH2-CH2-SiH(CH2=CH2)-]n、[-NH-SiH(CH2-CH2=CH2)-CH2-CH2-SiH(CH2-CH2=CH2)-]n、[-NH-SiH(NH2)-CH2-CH2-SiH(NH2)-]n、[-NH-SiH(NMe2)-CH2-CH2-SiH(NMe2)-]n、[-NH-SiH(NMeEt)-CH2-CH2-SiH(NMeEt)-]n、[-NH-SiH(NEt2)-CH2-CH2-SiH(NEt2)-]n、[-NH-SiH(NnPr2)-CH2-CH2-SiH(NnPr2)-]n、[-NH-SiH(NiPr2)-CH2-CH2-SiH(NiPr2)-]n、[-NH-SiH(NBu2)-CH2-CH2-SiH(NBu2)-]n、[-NH-SiH(NiBu2)-CH2-CH2-SiH(NiBu2)-]n、[-NH-SiH(NtBu2)-CH2-CH2-SiH(NtBu2)-]n、[-NH-SiH(NAm2)-CH2-CH2-SiH(NAm2)-]n、[-NH-SiH(NCy戊基2)-CH2-CH2-SiH(NCy戊基2)-]n、[-NH-SiH(N己基2)-CH2-CH2-SiH(N己基2)-]n、[-NH-SiH(NCy己基2)-CH2-CH2-SiH(NCy己基2)-]n、[-NH-SiH(NMeH)-CH2-CH2-SiH(NMeH)-]n、[-NH-SiH(NEtH)-CH2-CH2-SiH(NEtH)-]n、[-NH-SiH(NnPrH)-CH2-CH2-SiH(NnPrH)-]n、[-NH-SiH(NiPrH)-CH2-CH2-SiH(NiPrH)-]n、[-NH-SiH(NBuH)-CH2-CH2-SiH(NBuH)-]n、[-NH-SiH(NiBuH)-CH2-CH2-SiH(NiBuH)-]n、[-NH-SiH(NtBuH)-CH2-CH2-SiH(NtBuH)-]n、[-NH-SiH(NAmH)-CH2-CH2-SiH(NAmH)-]n、[-NH-SiH(吡啶)-CH2-CH2-SiH(吡啶)-]n、[-NH-SiH(吡咯)-CH2-CH2-SiH(吡咯)-]n、[-NH-SiH(吡咯烷)-CH2-CH2-SiH(吡咯烷)-]n、以及[-NH-SiH(咪唑)-CH2-CH2-SiH(咪唑)-]n。这些前体适用于旋涂应用,这至少部分地归因于上面讨论的SiH键的益处。氨基配体还可以为所得膜提供如上所述的改善的热稳定性,以及额外的N源和/或C源。
在一个示例性合成方法中,可以使用含卤素的反应物合成所披露的前体。在第二示例性合成方法中,不需要含卤素的反应物。两种披露的合成方法都可以提供高产率。披露的合成方法可以比常规合成方法更具选择性(即,可以产生比现有技术方法更多的期望前体)。无卤素合成方法可用于制备于卤化物敏感性底物一起使用的前体。
申请人已发现特定的溶剂极性选择有助于减少不期望的副产物的合成。例如,在非极性溶剂中,可以选择性地产生RN(R4R5Si(CH2)mSiR1R2R3)2,同时产生最少量的N(R4R5Si(CH2)mSiR1R2R3)3副产物。相反,在极性溶剂中,可以选择性地产生含有N(R4R5Si(CH2)mSiR1R2R3)3的化合物,同时产生最少量的RN(R4R5Si(CH2)mSiR1R2R3)2副产物。
可以按比例放大所披露的合成方法以产生大量产物。例如,按比例放大至大约1kg至大约100kg。
在示例性的无卤素合成路线中,具有式H3Si(CH2)SiH3的起始试剂(即DSP)在压力反应器中在基于过渡金属的非均相或均相催化剂存在下与氨反应。反应可以是纯的或使用溶剂。示例性催化剂包括但不限于Ru、Pt、Pd。如果使用溶剂,则溶剂可选自烃基、胺、醚等。该反应可以产生二取代产物和三取代产物(例如,HNDSP2和NDSP3)的混合物,或N-DSP的直链或支链低聚物(即含有具有式[-NR-DSP-]n的单元的前体,其中R如上所定义)。可以优化反应参数以产生期望的前体。示例性的反应参数包括反应温度,化学计量和反应时间。
用DSB起始试剂替换上面的DSP起始试剂,得到HNDSB2或NDSB3。反应式如下。H3Si-CH2-CH2-SiH3+NH3→HNDSB2;H3Si-CH2-CH2-SiH3+NH3→NDSB3
含N-DSB的低聚物[-NH-DSB-]n和[-N(DSB)-DSB-]n(n=2至400)可以通过在压力反应器中用DSB代替DSP,在基于过渡金属的非均相催化剂(例如但不限于Ru、Pt、Pd)和基于过渡金属的均相催化剂的存在下并在20-150℃加热混合物通过无卤素路线合成。H3Si-CH2-CH2-SiH3+NH3→HN(DSB)2→[-NH-DSB-]n;H3Si-CH2-CH2-SiH3+NH3→N(DSB)3→[-N(DSB)-DSB-]n
起始DSP或DSB试剂可以通过使LiAlH4(LAH)与在二甘醇二甲醚(H3COC2H4OC2H4OCH3)中的SiCl3CH2SiCl3或在二正丁基醚(H9C4OC4H9)中的SiCl3CH2CH2SiCl3反应来合成。3LiAlH4+2SiCl3CH2SiCl3→2DSP+3LiAlCl4或3LiAlH4+2SiCl3CH2CH2SiCl3→2DSB+3LiAlCl4
或者,氨反应物可以用具有式R-NH2的胺代替,其中R是C1-C6直链的、支链的、饱和的或不饱和的烃基。这种无卤素反应产生(-DSP-NR-)或(-DSB-NR-)。
RN(-SiR4R5-CH2-SiR1R2R3)2可以通过在有或没有溶剂的情况下在基于过渡金属的非均相或均相催化剂存在下将HN(-SiR4R5-CH2-SiR1R2R3)2与碳硅烷(例如H3SiCnH2nSiH3)或相应的含R化合物混合在压力反应器中形成。示例性催化剂包括但不限于Ru、Pt、Pd。将混合物加热至范围在20-150℃之间的温度。该反应产生RNDSP2、NDSP3和含N-DSP的低聚物的组合。纯RNDSP2、NDSP3或含N-DSP的低聚物可通过适当的蒸馏或分离方法获得。例如,(H3Si-CH2-SiH2-)2-N-SiH2-CnH2n-SiH3可以在压力反应器中通过使HN(DSP)2与碳硅烷H3SiCnH2nSiH3在催化剂(例如Ru/C、Pt/C,Pd/C)的存在下反应来合成。
HN(-SiR4R5-CH2-SiR1R2R3)2可与具有式SixR’2x+2(x=1-4)的硅烷反应,以产生[SixR’2x+1]-N(-SiR4R5-CH2-SiR1R2R3)2。更具体地,HNDSP2与SiH4反应以产生(SiH3)N(DSP)2。脱氢偶联反应(无卤素路线)在基于过渡金属的非均相催化剂(例如Ru、Pt、Pd)和基于过渡金属的均相催化剂存在下在压力反应器中发生。合成可以在有或没有溶剂的情况下进行。将混合物加热至20-150℃之间的温度。HN(DSP)2+SinH2n+2→RN(DSP)2,R=SinH2n+1;n=1至4。当n=1时,还可以产生(DSP)-N(SiH3)-(DSP)。当n=2时,还可以产生(DSP)-N(Si2H5)-(DSP)。
在另一个实例中,HN(-SiR4R5-CH2-SiR1R2R3)2可以与具有式SiH3CxH2x+1(x=1-4)的碳硅烷反应以产生(SiH2CxH2x+1)N(-SiR4R5-CH2-SiR1R2R3)2。更具体地,HN(DSP)2与SiH3Me反应以产生(MeSiH2)N(DSP)2
(DSP)2N-(SiH2(CH2)nSiH3)或(DSB)2N-(SiH2(CH2)nSiH3),其中n=1至2,可以通过使HN(DSP)2或HN(DSB)2与(H3SiCnH2nSiH3)(n=1至2)在压力反应器中在Ru/C、Pt/C、Pd/C等催化剂存在下通过脱氢偶联(无卤素路线)反应来合成,具有反应式:(DSP)2N-H+H3SiCnH2nSiH3=(DSP)2N-SiH2CnH2nSiH3+H2,其中n=1至2。
或者,RNDSP2或RNDSB2产物可以通过卤化路线合成。HNDSP2在溶剂中与相应的卤代烷烃,硅烷或碳硅烷混合。合适的溶剂包括烃类或醚类溶剂,如二乙醚,四氢呋喃(THF),甘醇二甲醚或苯甲醚。由于HCl是该反应的副产物,因此需要HCl清除剂。示例性HCl清除剂包括任何胺,但优选叔胺。例如,(H3Si-CH2-SiH2-)2-N-SiH2-CnH2n-SiH3(n=1至2)可以通过在有或没有溶剂的情况下使HN(DSP)2或HN(DSB)2与相应的卤代碳硅烷(X-H2SiCnH2nSiH3;X=Cl、Br、I,n=1至2)反应来合成。示例性溶剂包括烃或芳族溶剂,如苯、甲苯、叔胺等。
可以通过在溶剂中混合X-N(-SiR4R5-CH2-SiR1R2R3)2和NH3来选择性地合成HN(-SiR4R5-CH2-SiR1R2R3)2或N(-SiR4R5-CH2-SiR1R2R3)3。如果使用非极性溶剂,例如甲苯,则产生HN(-SiR4R5-CH2-SiR1R2R3)2。如果使用极性溶剂,则产生N(-SiR4R5-CH2-SiR1R2R3)3。示例性但非限制性的极性溶剂包括醚类溶剂,例如二乙醚,THF,甘醇二甲醚或苯甲醚。例如,可以通过在醚类溶剂中混合DSP-Cl和氨来选择性地合成NDSP3。或者,可以通过将DSP-Cl和氨在甲苯中混合来选择性地合成HNDSP2。由于HCl是这些反应的副产物,因此需要HCl清除剂。示例性HCl清除剂包括但不限于胺,并且优选叔胺或过量的氨,这取决于期望的产物。
HN(-SiR4R5-CH2-SiR1R2R3)2可与具有式R-X(其中X为Cl、Br或I且R=CxH2x+2)的卤代烷烃反应以产生(CxH2x+1)N(-SiR4R5-CH2-SiR1R2R3)2。例如,HN(DSP)2与CH3Cl反应产生(Me)N(DSP)2
(SiH2NMe2)N(DSP)2可以通过使HN(DSP)2和X-SiH2NMe2在有或没有溶剂的情况下反应来合成。溶剂可以是烃溶剂,叔胺等。
(DSP)2N-(SiH2(CH2)nSiH3)或(DSB)2N-(SiH2(CH2)nSiH3)可以通过使HN(DSP)2或HN(DSB)2与相应的卤代碳硅烷(X-H2SiCnH2nSiH3;X=Cl、Br、I)在有或没有溶剂下反应来合成。示例性的非限制性溶剂包括烃溶剂,芳族溶剂如苯、甲苯等,叔胺等。
RNDSP2或RNDSB2可以通过将HNDSP2与nBuLi(直链或支链的烷基锂)混合来合成。HNDSP2上的酸性质子可以通过以下提取:与nBuLi反应,然后在烃溶剂(例如但不限于戊烷、己烷等)或醚溶剂(例如但不限于二乙醚、THF、甘醇二甲醚或苯甲醚)中混合具有式R-X(其中X=Cl、Br或I;R是烷烃、硅烷、碳硅烷、苯基或甲硅烷基氨基(SiNR’2或SiNR’R”),其中R’和R”各自独立地为H、烃基(C1至C12))的卤代化合物。例如,HNDSP2与SiMe3X反应产生(SiMe3)N(DSP)2;HNDSP2与CH3X反应产生(Me)N(DSP)2。(DSP)2N-[CH2]nH(其中n=1-6)可以通过以下来合成:使HNDSP2与nBuLi反应,然后与在烃溶剂(像戊烷、己烷等)或醚类溶剂(像二乙醚、THF等)、芳族溶剂(像苯、甲苯等)中的烷基卤(烷基=CnH2n+1并且卤化物=Cl、Br、I)反应,具有以下反应式:HNDSP2+R-X→RNDSP2+HX,其中X=Cl、Br或I;R=CnH2n+1;n=1-6。
或者,HNDSB2和NDSB3也可以通过用上述涉及卤素的路线中用于产生HNDSP2和NDSP3的DSB-Cl替换DSP-Cl来选择性合成。ClSiH2-CH2-CH2-SiH3+NH3→HN(DSB)2+HCl;ClSiH2-CH2-CH2-SiH3+NH3→NDSB3+HCl。在非极性溶剂中,可以选择性地产生HNDSB2。用极性溶剂代替非极性溶剂,可以用涉及卤素的路线选择性地产生NDSB3。
本领域普通技术人员将认识到,可分别使用HSiR2-CH2-SiR3或ClSiR2-CH2-SiR3和脱氢偶联或Cl交换路线合成取代的DSP和DSB反应物。
为了确保工艺可靠性,所得的形成含SI膜的组合物可在使用前通过连续或分级分批蒸馏或升华纯化至纯度范围为从大约90%w/w至大约100%w/w,优选范围为从大约99%w/w至大约100%w/w。形成含SI膜的组合物可含有下列任何杂质:不期望的同类物质;溶剂;氯化金属化合物;或其他反应产物。优选地,这些杂质的总量低于0.1%w/w。
纯化材料中的每种溶剂(例如甲苯、己烷、取代的己烷、戊烷、取代的戊烷、二乙醚、THF、甘醇二甲醚、二甲氧基醚或苯甲醚)的浓度可以在从大约0%w/w至大约5%w/w、优选从大约0%w/w至大约0.1%w/w的范围内。在组合物的合成中可以使用溶剂。如果两者具有相似的沸点,则可能难以将组合物于溶剂分开。冷却混合物可以在液体溶剂中产生固体前体,其可以通过过滤分离。也可以使用真空蒸馏,条件是前体产物不加热到大约其分解点以上。
在一个实施方案中,披露的含Si的成膜组合物含有小于5%v/v、优选小于1%v/v、更优选小于0.1%v/v、并且甚至更优选小于0.01%v/v的其任何不期望的同类物质、反应物或其他反应产物。该实施例可以提供更好的工艺可重复性。该实施例可以通过蒸馏形成含SI膜的组合物来制备。在另一个实施例中,披露的形成含SI膜的组合物可含有在5%v/v与50%v/v之间的碳硅氮烷或聚碳硅氮烷前体,特别是当混合物提供改进的工艺参数或分离靶前体困难或昂贵时。例如,反应产物的混合物可以产生适合于旋涂或气相沉积的稳定的液体混合物。
形成含SI膜的组合物中痕量金属和类金属的浓度可以各自在从大约0ppbw至大约500ppbw、优选从大约0ppbw至大约100ppbw、并且更优选从大约0ppbw至大约10ppbw的范围内。本领域普通技术人员将认识到,提取(使用诸如氢氟酸、硝酸或硫酸的试剂)以及分析(通过原子吸收光谱、x射线荧光光谱或类似分析技术)可用于确定痕量金属和类金属浓度。本领域普通技术人员将进一步认识到,气相沉积前体所需的浓度可低于聚合物前体的浓度。
纯化的含Si的膜形成组合物中的卤素浓度可以在从大约0ppmw至大约1000ppmw、优选从0ppmw至500ppmw、并且更优选从0ppmw至100pppmw的范围内。卤素浓度可通过气相色谱原子发射光谱法(GC-AES)或本领域已知的其他技术测定。这些分析技术提供了共价键合的卤素-硅烷卤素和卤离子两者的总浓度。或者,卤化物浓度可通过离子色谱法测定。本领域普通技术人员将认识到卤化物浓度可低于相同前体的卤素浓度,特别是当前体包括Si-卤素键时。卤化物浓度可以在从大约0ppmw至大约500ppmw、优选从大约0ppmw至大约250ppmw、并且更优选从大约0ppmw至大约75ppmw的范围内。
还披露了使用所披露的式I或式II的前体用于气相沉积方法的方法。为了适合于气相沉积方法,披露的前体应具有范围从大约150至大约600、优选从大约200至大约400的分子量。披露的方法提供形成含SI膜的组合物用于沉积含硅膜的用途。披露的方法可用于制造半导体、光伏、LCD-TFT或平板型器件。该方法包括:将披露的形成含SI膜的组合物的蒸气引入其中设置有基底的反应器中:通过沉积工艺将至少部分的披露的碳硅氮烷或聚碳硅氮烷前体沉积到基底上以形成含Si层。
披露的方法还提供使用气相沉积工艺在基底上形成含双金属的层,并且更具体地,用于沉积SiMOx膜,其中x可以是0-4并且M是Ta、Hf、Nb、Mg、Al、Sr、Y、Ba、Ca、As、Sb、Bi、Sn、Pb、Co、镧系元素(例如Er),或其组合。
披露的在基底上形成含硅层的方法可用于制造半导体、光伏、LCD-TFT或平板型器件。披露的形成含SI膜的组合物可使用本领域已知的任何气相沉积方法沉积含Si膜。合适的气相沉积方法的实例包括化学气相沉积(CVD)或原子层沉积(ALD)。示例性CVD方法包括热CVD,等离子体增强CVD(PECVD)、脉冲CVD(PCVD)、低压CVD(LPCVD)、低于大气压CVD(SACVD)或大气压CVD(APCVD)、可流动CVD(f-CVD)、金属有机化学气相沉积(MOCVD)、热线CVD(HWCVD,也称为cat-CVD,其中热线用作沉积工艺的能量源)、包含自由基的CVD,以及其组合。示例性ALD方法包括热ALD、等离子体增强ALD(PEALD)、空间隔离ALD、热线ALD(HWALD)、包含自由基的ALD及其组合。也可以使用超临界流体沉积。沉积方法优选为ALD、空间ALD或PE-ALD,以提供合适的阶梯覆盖和膜厚度控制。
将形成含SI膜的组合物的蒸气引入含有基底的反应室中。将反应室内的温度和压力以及基底的温度保持在适于将至少部分碳硅氮烷前体气相沉积到基底上的条件下。换句话说,在将汽化的组合物引入室中之后,室内的条件使得至少部分汽化的前体沉积在基底上以形成含硅膜。共反应物也可用于帮助形成含Si层。
反应室可以是其中发生沉积方法的装置的任何围隔或室,例如但不限于平行板型反应器、冷壁型反应器、热壁型反应器、单晶片反应器、多晶片反应器或其他此类类型的沉积系统。所有这些示例性反应室都能够用作ALD反应室。反应室可以保持在范围从约0.5毫托至约20托的压力下。另外,反应室内的温度可以在从约20℃至约600℃的范围内。本领域普通技术人员将认识到,可以通过仅仅实验来优化温度以实现期望的结果。
可以通过控制基底支架的温度或控制反应器壁的温度来控制反应器的温度。用于加热基底的装置在本领域中是已知的。将反应器壁加热至足够的温度,以足够的生长速率和期望的物理状态和组成得到期望的膜。可以加热反应器壁的非限制性示例性温度范围包括从大约20℃至大约600℃。当使用等离子体沉积工艺时,沉积温度可以在从大约20℃至大约550℃的范围内。或者,当进行热处理时,沉积温度可以在从大约300℃至大约600℃的范围内。
或者,可以将基底加热到足够的温度,以足够的生长速率和期望的物理状态和组成获得期望的含硅膜。可以加热基底的非限制性示例性温度范围包括150℃至600℃。优选地,基底的温度保持小于或等于500℃。
其上将沉积含硅膜的基底类型将根据预期的最终用途而变化。基底通常定义为进行加工的材料。基底可以是用于半导体、光伏、平板或LCD-TFT器件制造的任何合适的基底。合适的基底的实例包括晶片,例如硅、二氧化硅、玻璃、Ge或GaAs晶片。晶片可以具有从先前的制造步骤沉积在其上的一层或多层不同材料。例如,晶片可包括硅层(晶体、非晶、多孔等)、氧化硅层、氮化硅层、氮氧化硅层、碳掺杂氧化硅(SiCOH)层或其组合。另外,晶片可包括铜层、钨层或金属层(例如铂、钯、镍、铑或金)。晶片可以包括阻挡层,例如锰、氧化锰、钽、氮化钽等。也可以使用塑料层,例如聚(3,4-亚乙基二氧基噻吩)聚(苯乙烯磺酸酯)[PEDOT:PSS]。这些层可以是平面的或图案化的。在一些实施例中,基底可以是由氢化碳(例如CHx,其中x大于零(例如,x≤4))制成的图案化光刻胶膜。在一些实施例中,基底可以包括氧化物层(其用作MIM、DRAM或FeRam技术中的介电材料(例如,基于ZrO2的材料、基于HfO2的材料、基于TiO2的材料、基于稀土氧化物的材料、基于三元氧化物的材料等))或来自基于氮化物的膜(例如,TaN),其用作在铜与低k层之间的氧阻挡层。披露的工艺可以将含硅层直接沉积在晶片上或直接沉积在晶片顶部上的一个或多个(当图案化的层形成基底时)层上。此外,本领域普通技术人员将认识到,本文使用的术语“膜”或“层”是指铺设在表面上或在表面上铺展的一些材料的厚度,并且该表面可以是沟槽或线。在整个说明书和权利要求书中,晶片及其上的任何相关层被称为基底。所用的实际基底也可取决于所用的具体前体实施例。然而,在许多情况下,所用的优选基底将选自氢化碳,TiN,SRO,Ru和Si型基底,例如多晶硅或结晶硅基底。
基底可以被图案化以包括具有高纵横比的通孔或沟槽。例如,可以使用任何ALD技术在具有范围从大约20:1至大约100:1的纵横比的硅通孔(TSV)上沉积共形的含Si膜,例如SiO2。在另一个实例中,沟槽可以通过可流动CVD用聚硅氮烷或聚碳硅氮烷填充,并通过退火或UV固化转变成硬膜。如果在氧化气氛下退火或UV固化,则膜可以转变成含氧化硅的膜。或者,如果在惰性、氮化气氛(NH3、肼、胺、NO)或碳化气氛下退火或UV固化,则膜可以转变为含氮化硅或含碳氮化硅的膜。
形成含SI膜的组合物可以纯净的形式提供。或者,形成含SI膜的组合物可进一步包含适用于气相沉积的溶剂。溶剂可以选自C1-C16饱和的或不饱和的烃、四氢呋喃(THF)、草酸二甲酯(DMO)、醚、吡啶、甲基异丁基酮、环己酮、乙醇、异丙醇或其组合。
对于气相沉积,通过常规手段,例如管道和/或流量计,将形成含SI膜的组合物以蒸气形式引入反应器中。蒸气形式的组合物可以通过常规蒸发步骤(例如直接蒸发,蒸馏)蒸发组合物、通过鼓泡、或通过使用升华器(例如Xu等人的PCT披露WO 2009/087609中披露的升华器)来产生。该组合物可以以液态进料到蒸发器中,在蒸发器中将其蒸发,然后将其引入反应器中。或者,可以通过将载气通入含有前体的容器中或通过将载气鼓泡到前体中来蒸发组合物。载气可包括但不限于Ar、He或N2,以及其混合物。用载气鼓泡还可以除去组合物中存在的任何溶解氧。然后将载气和前体作为蒸气引入反应器中。
如果需要,可以将容器加热到允许形成含SI膜的组合物处于其液相并具有足够的蒸气压的温度。容器可以保持在例如0℃-150℃的温度范围内。本领域技术人员认识到,可以以已知方式调节容器的温度,以控制蒸发的形成含SI膜的组合物的量。
除了披露的组合物之外,还可以将反应气体引入反应器中。反应气体可以是氧化剂,例如O2;O3;H2O;H2O2;含氧基团例如O·或OH·;NO;NO2;羧酸,例如甲酸、乙酸、丙酸;NO、NO2或羧酸的自由基物质;多聚甲醛;及其混合物。优选地,氧化剂选自下组,该组由以下各项组成:O2、O3、H2O、H2O2、其含氧自由基例如O·或OH·、及其混合物。优选地,当进行ALD工艺时,共反应物是等离子体处理的氧、臭氧或其组合。当使用氧化性气体时,所得的含硅膜也含有氧。
或者,反应气体可以是还原剂,例如以下之一:H2,NH3,(SiH3)3N,氢化硅烷(例如SiH4、Si2H6、Si3H8、Si4H10、Si5H10、Si6H12),氯硅烷和氯聚硅烷(例如SiHCl3、SiH2Cl2、SiH3Cl、Si2Cl6、Si2HCl5、Si3Cl8),烷基硅烷(例如Me2SiH2、Et2SiH2、MeSiH3、EtSiH3),肼(例如,N2H4、MeHNNH2、MeHNNHMe),有机胺(例如NMeH2、NEtH2、NMe2H、NEt2H、NMe3、NEt3、(SiMe3)2NH),二胺例如乙二胺、二甲基乙二胺、四甲基乙二胺、吡唑啉、吡啶,含B的分子(例如B2H6、三甲基硼、三乙基硼、环硼氮烷、被取代的环硼氮烷、二烷基氨基硼烷),烷基金属(例如三甲基铝、三乙基铝、二甲基锌、二乙基锌),其自由基物质或其混合物。当使用还原剂时,所得的含硅膜可以是纯Si。
或者,反应气体可选自下组,该组由以下各项组成:H2、NH3、SiH4、Si2H6、Si3H8、SiH2Me2、SiH2Et2、N(SiH3)3、其氢自由基、以及其混合物。
或者,反应气体可以是HCDS或PCDS。
或者,反应气体可以是烃(饱和的或不饱和的、直链、支链或环状的),例如但不限于乙烯、乙炔、丙烯、异戊二烯、环己烷、环己烯、环己二烯、戊烯、戊炔、环戊烷、丁二烯、环丁烷、萜品烯、辛烷、辛烷或其组合。
可以用等离子体处理反应气体,以便将反应气体分解成其自由基形式。当用等离子体处理时,N2也可用作还原剂。例如,可以以范围从约50W至约500W、优选从约100W至约200W的功率产生等离子体。可以在反应器自身内产生或存在等离子体。或者,等离子体通常可以位于远离反应器的位置,例如,在远程定位的等离子体系统中。本领域技术人员将认识到适合于这种等离子体处理的方法和设备。
期望的含硅膜还含有另一种元素,例如但不限于B、Zr、Hf、Ti、Nb、V、Ta、Al、Si、Ge。
披露的形成含SI膜的组合物还可以与卤代硅烷或聚卤代二硅烷或多卤代三硅烷(例如六氯二硅烷,五氯二硅烷或四氯二硅烷,或八氯三硅烷)以及一种或多种共反应气体一起使用以形成SiN或SiCN膜,如在PCT公开号WO2011/123792中所披露的,其全部内容以其整体并入本文。
形成含SI膜的组合物的蒸气和一种或多种共反应物可以同时(化学气相沉积),顺序(原子层沉积)或以其他组合引入反应室中。例如,可以在一个脉冲中引入形成含SI膜的组合物,并且可以在单独的脉冲(改进的原子层沉积)中将两个另外的金属源一起引入。或者,在引入形成含SI膜的组合物之前,反应室可能已经含有共反应物。共反应物可以通过位于或远离反应室的等离子体系统,并且分解成自由基,如在可流动的CVD构造中那样。或者,可以将形成含SI膜的组合物连续引入反应室,同时通过脉冲(脉冲化学气相沉积)引入其他前体或反应物。在另一个替代方案中,可以从淋浴头同时喷射形成含SI膜的组合物和一种或多种共反应物,在淋浴头下旋转保持若干晶片的基座(空间ALD)。
在一个非限制性示例性原子层沉积工艺中,将形成含SI膜的组合物的气相引入反应室,在那里使其与合适的基底接触。然后可以通过吹扫和/或抽空反应室从反应室中除去过量的组合物。将氧源引入反应室,在那里它以自限制方式与吸收的碳硅氮烷或聚碳硅氮烷前体反应。通过吹扫和/或抽空反应室,从反应室中除去任何过量的氧源。如果期望的膜是氧化硅膜,这种两步法可以提供期望的膜厚度,或者可以重复直到获得具有期望厚度的膜。
或者,如果期望的膜是硅金属/类金属氧化物膜(即SiMOx,其中x可以是0-4且M是B、Zr、Hf、Ti、Nb、V、Ta、Al、Si、Ge或其组合),上述两步法之后可以将含金属或类金属的前体的蒸气引入反应室中。将基于沉积的硅金属/类金属氧化物膜的性质来选择含金属或类金属的前体。在引入反应室后,含金属或类金属的前体与基底接触。通过吹扫和/或抽空反应室,从反应室中除去任何过量的含金属或类金属的前体。再次,可以将氧源引入反应室中以与含金属或类金属的前体反应。通过吹扫和/或抽空反应室从反应室中除去过量的氧源。如果已经达到期望的膜厚度,则可以终止该过程。但是,如果期望较厚的膜,则可以重复整个四步过程。通过交替提供形成含SI膜的组合物、含金属或类金属的前体和氧源,可以沉积具有期望组成和厚度的膜。
另外,通过改变脉冲数,可以获得具有期望化学计量M:Si比的膜。例如,SiMO2膜可以通过具有形成含SI膜的组合物的一个脉冲和含金属或类金属的前体的一个脉冲来获得,其中每个脉冲之后是氧源的脉冲。然而,本领域普通技术人员将认识到,获得期望膜所需的脉冲数可能与所得膜的化学计量比不相同。
在另一个替代方案中,可以使用披露的组合物和含N的共反应物(例如氨、N2、N2/H2混合物或胺)通过ALD或改进的等离子体增强ALD工艺沉积Si或致密SiCN膜。对于N2和N2/H2混合物,共反应物需要被等离子体直接激活(在室内)或远程激活。
在另一个替代方案中,可以通过美国专利申请公开号2014/0051264中披露的可流动PECVD(f-PECVD)方法使用披露的形成含SI膜的组合物和含氮自由基或氧自由基的共反应物沉积含硅膜。在远程等离子体系统中产生分别含氮自由基或氧自由基的共反应物,例如NH3或H2O。将自由基共反应物和披露组合物的气相引入反应室,在反应室中它们反应并将初始可流动的膜沉积在基底上。申请人认为披露的化合物的氮原子有助于进一步改善沉积的膜的流动性,导致膜具有比由其它前体产生的空隙更少的空隙。申请人认为,使用披露的形成含SI膜的组合物在具有NH3等离子体的可流动CVD工艺中沉积的膜将产生具有相对于氧化硅膜而言的期望蚀刻选择性的SiCN膜,这是由于前体的Si-C-Si骨架提供了具有足够C含量的膜。
在又一个实施例中,通过在室中前体的分压下将晶片保持在低于前体露点的温度,可以仅通过冷凝(热可流动CVD或T-FCVD)沉积可流动膜。对于这样的应用,具有低蒸气压前体(通常在室温下<50托,甚至优选在室温下<10托)有利于促进前体冷凝而不将晶片冷却到非常低的温度。取代或未取代的N(DSP)3和RN(DSP)2族分子具有合适的挥发性范围。然后可以通过各种手段中的一种或多种原位或非原位实现这类膜的交联,这些手段包括但不限于将沉积的膜暴露于反应性气体、等离子体、光子、电子束、中性粒子束、或催化剂。催化剂可以预先沉积,共沉积或后沉积,并且可以通过诸如加热或光子暴露的方式活化。从化学上讲,这种交联可以通过各种化学反应来实现,范围从但不限于Si-H/N-H H2消除、氢化硅烷化、胺基缩合形成硅氮烷、硅烷醇缩合形成硅氧烷、开环聚合和/或脱氢偶联。
还披露了在涂层沉积方法中使用披露的式(I)或(II)中所示的前体的方法,例如旋涂,喷涂,浸涂或狭缝涂布技术。为了适合于涂布方法,披露的前体应具有范围从大约500至大约1,000,000、优选从大约1,000至大约100,000、并且更优选从大约3,000至大约50,000的分子量。披露的方法提供形成含SI膜的组合物用于沉积含硅膜的用途。披露的方法可用于制造半导体、光伏、LCD-TFT、光学涂层或平板型器件。该方法包括:将披露的形成含SI膜的组合物的液体形式施加在基底上并固化以在基底上形成含Si层。
如前所述,披露的形成含SI膜的组合物的液体形式可以是前体的纯溶液或前体与挥发性溶剂和任选的交联引发剂(例如自由基产生剂(热或光引发))和催化剂的混合物。在形成含SI膜的组合物中可以包括热活化的(过氧化物或氮杂化合物)或UV引发的(例如苯酮或醌)自由基引发剂。在UV活化或/和加热后促进膜交联的催化剂也可包括在成膜组合物中。这类催化剂包括光酸产生剂、路易斯酸和典型的氢化硅烷化催化剂。在这些化合物中,B(C6F5)3是特别合适的化合物,因为它是路易斯酸和强脱氢催化剂。
示例性涂层沉积方法包括旋涂。图1提供了示例性旋涂工艺的流程图。本领域普通技术人员将认识到,可以在不脱离本文的教导的情况下执行与图1中提供的步骤相比更少的步骤或另外的步骤。例如,在商业操作中可能不需要在R&D设置中使用的表征步骤。本领域普通技术人员将进一步认识到,该方法优选在惰性气氛下进行以防止膜的不期望的氧化和/或在洁净室中进行以帮助防止污染从而防止膜的颗粒污染。
可以制备其上有待沉积含Si膜的平面的或图案化的基底用于步骤1-4中的沉积工艺。在制备过程中使用高纯度气体和溶剂。气体通常具有半导体等级并且没有颗粒污染。对于半导体使用,溶剂应该是无颗粒的,通常小于100个颗粒/mL(0.5μm颗粒,更优选小于10个颗粒/mL)并且没有会导致表面污染的非挥发性残留物。建议使用金属污染小于50ppb(对于每种元素,优选小于5ppb)的半导体级溶剂。
在步骤1中,在室温(在大约20℃与大约25℃之间)下将基底在丙酮中超声处理大约60秒至大约120秒、优选大约90秒。在步骤2中,将平面的或图案化的基底在室温下在异丙醇(IPA)中超声处理大约60秒至大约120秒、优选大约90秒。本领域普通技术人员将认识到,这些步骤可以在相同或不同的超声波仪中进行。不同的超声波器需要更多设备,但提供了更简单的工艺。如果用于两个步骤以防止任何基底污染,则必须在步骤1与步骤2之间彻底清洁超声波仪。适用于所披露方法的示例性超声波仪包括里拉电子里拉超声波型号(LeelaElectronics Leela Sonic Models)50、60、100、150、200、250、或500或必能信(Branson)B系列。在步骤3中,从IPA超声波仪中取出基底并用新鲜的IPA漂洗。在步骤4中,使用惰性气体例如N2或Ar干燥漂洗的基底。本领域普通技术人员将认识到,步骤1至4提供了一个示例性晶片制备工艺。存在多种晶片制备工艺并且可以在不脱离本文的教导的情况下使用。参见,例如,Handbook of Silicon Wafer Cleaning Technology,3rd Edition[硅晶片清洁技术手册第三版],2017(William Andrew)。例如,如果需要更亲水的表面,可以使用UV/臭氧化方法。本领域普通技术人员可以至少基于所需的基底材料和清洁度来确定合适的晶片制备工艺。
在该4步制备之后,将基底转移到旋涂机中。示例性合适的旋涂机包括布鲁科学公司(Brewer Science)的
Figure GDA0002980374570000791
旋涂机,拉瑞尔公司(Laurell)的650系列旋涂机,专涂系统公司(Specialty Coating System)的G3旋涂机,或东京电子公司(TokyoElectron)的CLEAN TRACK ACT设备系列。在步骤5中将上面披露的任何形成含SI膜的组合物,但优选式II的那些,分配到基底上,并在步骤6中旋转晶片。本领域普通技术人员将认识到,步骤5和步骤6可以顺序执行(静态模式)或同时执行(动态模式)。使用手动或自动分配装置(例如移液管,注射器或液体流量计)进行步骤5。当同时进行步骤5和6时,初始旋转速率较慢(即,在大约5rpm至大约999rpm之间、优选在大约5rpm至大约300rpm之间)。在分配所有形成含SI膜的组合物之后(即,当步骤5以静态或动态模式完成时),旋转速率在大约1000rpm至大约4000rpm之间的范围内。旋转晶片直到在基底上实现均匀涂布,这通常需要在大约10秒至大约3分钟之间。步骤5和6在晶片上产生含Si膜。本领域普通技术人员将认识到,旋涂过程所需的持续时间、加速速率、溶剂蒸发速率等是可调节的参数,其需要针对每种新配制品进行优化以获得目标膜厚度和均匀性(参见,例如,niversity of Louisville,Micro/Nano Technology Center-Spin Coating Theory[路易斯维尔大学,微/纳米技术中心-旋涂理论],2013年10月)。
在形成含Si膜之后,在步骤7中对晶片进行预烘烤或软烘烤,以从旋涂工艺中除去含Si成膜组合物的任何残留的挥发性有机组分和/或副产物。步骤7可以在热室中或在热板上在范围从大约25℃至大约200℃的温度下进行范围从大约1分钟至大约120分钟的时间段。示例性热板包括布鲁科学公司(Brewer Science)的
Figure GDA0002980374570000801
10或11或保罗公司(Polos)的精密烘烤板。
在步骤8中,固化基底以产生期望的介电材料。图1中示出了3个非限制性选项。可以使用惰性或反应性气体进行3个选项中的任何一种。示例性惰性气体包括N2、Ar、He、KR、Xe等。反应性气体可用于将氧、氮或碳引入膜中。将氧引入膜中的示例性反应气体包括含氧气体,例如O2、O3、空气、H2O、H2O2等。将氮引入膜中的示例性反应气体包括含氮气体,例如NH3;NR3,其中R是C1-C4烃基;等。将碳引入膜中的示例性反应气体包括含碳气体,特别是不饱和的含碳气体,例如烯烃和炔烃(乙烯、乙炔、丙烯等)。
在步骤8a中,在惰性或反应性气体下,在范围从大约101℃至大约1,000℃、优选从大约200℃至大约800℃的温度下对基底进行热固化。炉或快速热处理器可用于执行热固化过程。示例性炉包括赛默飞(ThermoFisher)Lindberg/Blue MTM管式炉、赛默科技(ThermoScientific)ThermolyneTM台式管式炉或马弗炉、因斯托(Inseto)台式石英管式炉、NeyTechVulcan台式炉、东京电子公司TELINDYTM热处理设备或ASM国际(ASM International)
Figure GDA0002980374570000802
立式炉。示例性快速热处理器包括Solaris 100、ULVAC RTP-6或AnnealsysAs-one 100。
或者,在步骤8b中,使用单色或多色光源,使基底在范围从大约190nm至大约400nm的波长进行UV固化。适用于执行步骤8b的示例性VUV或UV固化系统包括但不限于诺信公司(Nordson)
Figure GDA0002980374570000811
UV固化系统,贺利氏公司(Heraeus)Noblelight Light
Figure GDA0002980374570000812
10产品平台或镭公司(Radium)
Figure GDA0002980374570000813
灯。
在另一个替代方案中,热和UV过程都可以在步骤8a和8b规定的相同温度和波长标准下进行。本领域普通技术人员将认识到,固化方法和条件的选择将由期望的目标含硅膜决定。
在步骤9中,使用标准分析工具表征固化膜。示例性工具包括但不限于椭圆偏振仪、x射线光电子能谱、原子力显微镜、x射线荧光、傅里叶变换红外光谱、扫描电子显微镜、二次离子质谱(SIMS)、卢瑟福背散射光谱(RBS)、用于应力分析的表面光度仪,或其组合。
披露的形成含SI膜的组合物的液体形式可以直接施加到基底的中心,然后通过旋转扩展到整个基底,或者可以通过喷涂施加到整个基底上。当直接施加到基底的中心时,可以旋转基底以利用离心力将组合物均匀地分布在基底上。或者,可以将基底浸入形成含SI膜的组合物中。所得膜可在室温下干燥一段时间以蒸发溶剂或膜的挥发性组分,或通过强制干燥或烘烤或通过使用任何以下任何合适方法的组合来进行干燥:这些方法包括热固化和照射,例如离子刺激、电子照射、紫外线和/或可见光照射等。
披露的形成含SI膜的组合物中的碳硅氮烷前体可证明可用作合成含碳硅氮烷聚合物的单体。形成含SI膜的组合物可用于形成旋涂电介质膜配制品,用于光刻应用(例如,色调反转层),或用于抗反射膜。例如,披露的形成含SI膜的组合物可以包含在溶剂中并施加到基底上以形成膜。如果需要,可以旋转基底以使形成含SI膜的组合物均匀地分布在基底上。本领域普通技术人员将认识到,形成含SI膜的组合物的黏度将有助于是否需要旋转基底。可以在惰性气体如氩气、氦气或氮气和/或减压下加热所得膜。或者,可以在反应性气体如NH3或肼下加热所得膜,以增强膜的连接性和氮化。可以将电子束或紫外线辐射施加到所得膜上。披露的碳硅氮烷或聚碳硅氮烷前体的反应性基团(即直接的Si-N、N-H或Si-H键)可证明有用于增加所得聚合物的连接性。
由上述方法得到的含硅膜可包括SiO2;SiC;SiN;SiON;SiOC;SiONC;SiBN;SiBCN;SiCN;SiMCO,其中M选自Zr、Hf、Ti、Nb、V、Ta、Al、Ge,这当然取决于M的氧化态。本领域普通技术人员将认识到,通过明断选择适当的形成含SI膜的组合物和共反应物,可以得到期望的膜组合物。
在获得期望的膜厚度后,可以对膜进行进一步处理,例如热退火,炉退火,快速热退火,UV或电子束固化和/或等离子体气体暴露。本领域技术人员认识到用于执行这些附加处理步骤的系统和方法。例如,在惰性气氛、含H气氛、含N气氛或其组合下,含硅膜可暴露于范围从大约200℃与大约1000℃的温度范围持续从大约0.1秒至大约7200秒的时间。最优选地,温度为600℃,持续时间小于3600秒。甚至更优选地,温度低于400℃。退火步骤可以在进行沉积工艺的相同反应室中进行。或者,可以从反应室中移除基底,在单独的装置中进行退火/快速退火工艺。已经发现任何上述后处理方法,尤其是UV固化,都有效地增强了膜的连接性和交联性。通常使用热退火至<400℃(优选约100℃-300℃)和UV固化的组合来获得具有最高密度的膜。
实例
提供以下非限制性实例以进一步说明本发明的实施方案。然而,这些实例不旨在包括所有实例,并且不旨在限制本文所述发明的范围。
实例1
起始材料1,2-二硅杂丙烷(DSP)和1,3-二硅杂丁烷(DSB)的合成
3LiAlH4+2SiCl3CH2SiCl3→2DSP+3LiAlCl4
3LiAlH4+2SiCl3CH2CH2SiCl3→2DSB+3LiAlCl4
在惰性气氛下将氢化铝锂LiAlH4(LAH)置于装有机械搅拌器的4L容器中。将容器冷却至-78℃,并且然后将1L用于DSP的冷(约-30℃)二甘醇二甲醚(H3COC2H4OC2H4OCH3)或用于DSB的二正丁基醚(H9C4OC4H9)缓慢加入容器中。在搅拌下将容器中的混合物温热至-10℃。将1,2-双(三氯甲硅烷基)甲烷SiCl3CH2SiCl3或1,2-双(三氯甲硅烷基)乙烷SiCl3CH2CH2SiCl3逐滴加入到经温热的混合物中,同时防止反应混合物变得比20℃更暖。加完后,将混合物温热至25℃并搅拌2小时。将挥发性DSP或DSB在30℃下冷凝进入阱(-78℃)。分离出DSP,通过气相色谱(GC)显示产率为82%,纯度为96%。分离出DSB,为无色液体。GC显示产率为65%,纯度为98.8%。
实例2
双(二硅杂丙烷)胺HN(SiH2-CH2-SiH3)2(HN(DSP)2)和三((甲硅烷基甲基)甲硅烷基)胺N(SiH2-CH2-SiH3)3(N(DSP)3)的无卤素路线合成
H3Si-CH2-SiH3+NH3→HN(DSP)2
H3Si-CH2-SiH3+NH3→N(DSP)3
在压力反应器中通过铂炭催化二硅杂丙烷和氨,以产生HN(DSP)2和N(DSP)3。这是一条无卤素路线。0.3L高压釜配备有机械搅拌器、热电偶、压力计、压力传感器和3个计量阀。向高压釜中加入10(0.5g/2.56mol铂)5重量铂炭催化剂。随后将反应器在动态真空下稳定加热至140℃并在该温度下保持3小时。冷却至室温后,用氦气(800托)对反应器加压。将戊烷(50mL)引入手套式操作箱中的反应器中。将反应器浸入液氮浴中后,在真空下除去常压氮气。将氨(3g,0.176mol)和二硅杂丙烷(53.7g,0.705mol)转移到反应器中。然后将反应器加热至50℃。在457rpm下搅拌30小时后,冷却至室温后观察到压力增加大约486psi。将反应器内容物的挥发性组分在不锈钢细压缩气瓶(SSLB)中低温冷阱处理至低至10托的压力。通过液体注入GCMS分析反应器内容物,显示HN(DSP)2和N(DSP)3的7:1混合物以及小量的较高沸点组分。图2是产生的N(DSP)2和N(DSP)3混合物的最终产物的GCMS谱。
将混合物进行真空分馏。通过GCMS测量,第一馏分(42℃/153毫托)包含HN(DSP)2(2.05g,6%)。图3是第一馏分的GCMS谱,显示HN(DSP)2和N(DSP)3混合物。通过GCMS测量,第二馏分包含N(DSP)3和HN(DSP)2的14:1混合物以及较高沸点组分(1.48g)。图4是第二馏分的GCMS谱,显示N(DSP)3和HN(DSP)2的混合物。
实例3
NDSP低聚物[-NH-SiH2-CH2-SiH2-]n([-NH-DSP-]n)和[-N(SiH2-CH2-SiH3)-SiH2-CH2-SiH2-]n([-N(DSP)-DSP-]n)(n=2到400)的无卤素路线合成
H3Si-CH2-SiH3+NH3→HNDSP2→[-NH-DSP-]n
H3Si-CH2-SiH3+NH3→NDSP3→[-N(DSP)-DSP-]n
NDSP低聚物的合成由铂炭催化,并通过二硅杂丙烷与氨之间的反应在压力反应器中进行。该反应与HNDSP2和NDSP3的这些合成相同,后者也是无卤素路线。参考实例2,当实例2中的试剂煮过度时,在通过真空分馏从产物中除去HNDSP2和NDSP3后,无色黏性油留在蒸馏釜(7.5g)中。
图5是去除通过无卤素路线产生的HNDSP2和NDSP3后无色黏性油的GPC谱。通过凝胶渗透色谱(GPC)分析该黏性油,并且如图5所示,明显的分布范围从26,000道尔顿至500道尔顿,显示主要组分具有高分子量低聚物或聚合物,其为由DSP形成的直链或支链低聚物[-NH-DSP-]n或[-N(DSP)-DSP-]n。计算的低聚物的分子量平均值和多分散性指数示于表2中。
表2.计算的低聚物的分子量平均值和多分散性指数
Figure GDA0002980374570000841
实例4:
HNDSP2的选择性合成
ClSiH2-CH2-SiH3+NH3→HNDSP2+HCl
用N2吹扫后,向2升三颈烧瓶中加入非极性溶剂,该溶剂在该过程中是无水甲苯。通过滴入烧瓶中将氯甲硅烷基甲基硅烷(DSP-Cl)(53.6g,0.48mol)加入烧瓶中。通过鼓入烧瓶中的混合物中缓慢加入在+5℃的NH3(11g,0.65mol)。加入所需量的NH3后,将混合物温热至室温并搅拌16小时。观察到在透明液体中形成白色固体。然后将反应混合物通过套管转移到配备有无空气过滤器玻璃料的施伦克(schlenk)过滤漏斗中。滤液固体用无水甲苯洗涤4次。图6a是在室温下搅拌16小时(过夜(overnight或ON)后产物的GC光谱。图6b是室温下8周后最终产物的GC光谱。在该图中,CATO代表反应物DSP-Cl,并且NDSP3代表可能的副产物。如图6a所示,与NDSP3相比,最终产物含有几乎100%的HNDSP2。如图6b所示,8周后产生非常少的NDSP3。因此,该合成方法提供了选择性地产生没有副产物NDSP3的HNDSP2的方法。
实例5
NDSP3的选择性合成
3ClSiH2-CH2-SiH3+4NH3→NDSP3+3NH4Cl
用极性溶剂如THF代替实例4中的非极性溶剂甲苯,选择性地产生NDSP3而没有副产物HNDSP2。
应当理解,本领域技术人员可以在所附权利要求中表达的本发明的原理和范围内对本文所述和所示的部件的细节、材料、步骤和布置进行许多额外的改变以解释本发明的本质。因此,本发明不意图限于上面给出的实例和/或附图中的特定实施例。

Claims (11)

1.一种形成含SI膜的组合物,其包含含有具有下式的单元的直链或支链低聚物前体:
[-NR-R4R5Si-(CH2)t-SiR2R3-]n(II)
其中t=1至4;n=2至400;
R2、R3、R4、和R5独立地是H、C1至C6烃基、或具有式NR”2的烷基氨基并且每个R”独立地是H、C1-C6烃基、C6-C12芳基,或NR”2形成环胺基团,
并且其条件是R2、R3、R4、和R5中的至少一个是H;并且
R是H;C1-C6烃基;具有式SixR’2x+1的甲硅烷基,其中x=1至4并且每个R’独立地=H、C1-C6烃基、或具有式NR”2的烷基氨基并且每个R”独立地是H、C1-C6基团、C6-C12芳基,或NR”2形成环胺基团;或R1’R2’R3’Si(CH2)bSiR4’R5’基团,其中b=1至2并且R1’、R2’、R3’、R4’、和R5’独立地是H、C1-C6烃基、C6-C12芳基、或具有式NR”2的烷基氨基并且每个R”独立地是H、C1-C6基团、C6-C12芳基,或NR”2形成环胺基团;并且其条件是R1’、R2’、R3’、R4’、和R5’中的至少一个是H。
2.根据权利要求1所述的形成含SI膜的组合物,其中,该前体含有具有式[-NH-SiH2-(CH2)t-SiH2-]n的单元,其中t=1-2。
3.根据权利要求1所述的形成含SI膜的组合物,其中,该前体含有具有选自下组的式的单元,该组由以下各项组成:
[-N(SiH3)-SiH2-(CH2)t-SiH2-]n
[-N(Si2H5)-SiH2-(CH2)t-SiH2-]n
[-N(Si3H7)-SiH2-(CH2)t-SiH2-]n、和
[-N(Si4H9)-SiH2-(CH2)t-SiH2-]n,其中t=1-2。
4.根据权利要求1所述的形成含SI膜的组合物,其中,该前体含有具有选自下组的式的单元,该组由以下各项组成
[-N(Si(Me)3)-SiH2-(CH2)t-SiH2-]n
[-N(Si(Et)3)-SiH2-(CH2)t-SiH2-]n
[-N(Si(iPr)3)-SiH2-(CH2)t-SiH2-]n
[-N(Si(nPr)3)-SiH2-(CH2)t-SiH2-]n
[-N(Si(Bu)3)-SiH2-(CH2)t-SiH2-]n
[-N(Si(iBu)3)-SiH2-(CH2)t-SiH2-]n
[-N(Si(tBu)3)-SiH2-(CH2)t-SiH2-]n
[-N(Si(戊基)3)-SiH2-(CH2)t-SiH2-]n
[-N(Si(己基)3)-SiH2-(CH2)t-SiH2-]n
[-N(SiH(Me)2)-SiH2-(CH2)t-SiH2-]n
[-N(SiH(Et)2)-SiH2-(CH2)t-SiH2-]n
[-N(SiH(iPr)2)-SiH2-(CH2)t-SiH2-]n
[-N(SiH(nPr)2)-SiH2-(CH2)t-SiH2-]n
[-N(SiH(Bu)2)-SiH2-(CH2)t-SiH2-]n
[-N(SiH(iBu)2)-SiH2-(CH2)t-SiH2-]n
[-N(SiH(tBu)2)-SiH2-(CH2)t-SiH2-]n
[-N(SiH(戊基)2)-SiH2-(CH2)t-SiH2-]n
[-N(SiH(己基)2)-SiH2-(CH2)t-SiH2-]n
[-N(SiH2(Me))-SiH2-(CH2)t-SiH2-]n
[-N(SiH2(Et))-SiH2-(CH2)t-SiH2-]n
[-N(SiH2(iPr))-SiH2-(CH2)t-SiH2-]n
[-N(SiH2(nPr))-SiH2-(CH2)t-SiH2-]n
[-N(SiH2(Bu))-SiH2-(CH2)t-SiH2-]n
[-N(SiH2(iBu))-SiH2-(CH2)t-SiH2-]n
[-N(SiH2(tBu))-SiH2-(CH2)t-SiH2-]n
[-N(SiH2(戊基))-SiH2-(CH2)t-SiH2-]n、和
[-N(SiH2(己基))-SiH2-(CH2)t-SiH2-]n,其中t=1-2。
5.根据权利要求1所述的形成含SI膜的组合物,其中,该前体含有具有选自下组的式的单元,该组由以下各项组成
[-N(SiH2-CH2-SiH3)-SiH2-(CH2)t-SiH2-]n
[-N(SiH2-CH2-CH2-SiH3)-(CH2)t-CH2-SiH2-]n
[-N(SiMe3-CH2-SiMe2)-(CH2)t-CH2-SiH2-]n
[-N(SiMe3-CH2-CH2-SiMe2)-SiH2-(CH2)t-SiH2-]n
[-N(SiEt3-CH2-SiEt2)-SiH2-(CH2)t-SiH2-]n,和
[-N(SiEt3-CH2-CH2-SiEt2)-SiH2-(CH2)t-SiH2-]n,其中t=1-2。
6.根据权利要求1所述的形成含SI膜的组合物,其中,该前体含有具有选自下组的式的单元,该组由以下各项组成
[-N(Me)-SiH2-(CH2)t-SiH2-]n
[-N(Et)-SiH2-(CH2)t-SiH2-]n
[-N(iPr)-SiH2-(CH2)t-SiH2-]n
[-N(nPr)-SiH2-(CH2)t-SiH2-]n
[-N(Bu)-SiH2-(CH2)t-SiH2-]n
[-N(iBu)-SiH2-(CH2)t-SiH2-]n
[-N(tBu)-SiH2-(CH2)t-SiH2-]n
[-N(戊基)-SiH2-(CH2)t-SiH2-]n、和
[-N(己基)-SiH2-(CH2)t-SiH2-]n,其中t=1-2。
7.根据权利要求1所述的形成含SI膜的组合物,其中,该前体含有具有选自下组的式的单元,该组由以下各项组成
[-N(SiH2NMe2)-H2Si-(CH2)t-SiH2-]n
[-N(SiH2NEt2)-H2Si-(CH2)t-SiH2-]n
[-N(SiH2NiPr2)-SiH2-(CH2)t-SiH2-]n
[-N(SiH2NnPr2)-SiH2-(CH2)t-SiH2-]n
[-N(SiH2NMeEt)-H2Si-(CH2)t-SiH2-]n
[-N(SiH(NMe2)2)-H2Si-(CH2)t-SiH2-]n、和
[-N(SiH(NEt2)2)-H2Si-(CH2)t-SiH2-]n,其中t=1-2。
8.根据权利要求1所述的形成含SI膜的组合物,其中,该前体含有具有选自下组的式的单元,该组由以下各项组成
[-NH-H2Si-(CH2)t-SiH(CH2=CH2)-]n
[-NH-H2Si-(CH2)t-SiH(CH2-CH2=CH2)-]n
[-NH-H2Si-(CH2)t-SiH(NH2)-]n
[-NH-H2Si-(CH2)t-SiH(NMe2)-]n
[-NH-H2Si-(CH2)t-SiH(NMeEt)-]n
[-NH-H2Si-(CH2)t-SiH(NEt2)-]n
[-NH-H2Si-(CH2)t-SiH(NnPr2)-]n
[-NH-H2Si-(CH2)t-SiH(NiPr2)-]n
[-NH-H2Si-(CH2)t-SiH(NBu2)-]n
[-NH-H2Si-(CH2)t-SiH(NiBu2)-]n
[-NH-H2Si-(CH2)t-SiH(NtBu2)-]n
[-NH-H2Si-(CH2)t-SiH(NAm2)-]n
[-NH-H2Si-(CH2)t-SiH(NCy戊基2)-]n
[-NH-H2Si-(CH2)t-SiH(N己基2)-]n
[-NH-H2Si-(CH2)t-SiH(NCyHex2)-]n
[-NH-H2Si-(CH2)t-SiH(NMeH)-]n
[-NH-H2Si-(CH2)t-SiH(NEtH)-]n
[-NH-H2Si-(CH2)t-SiH(NnPrH)-]n
[-NH-H2Si-(CH2)t-SiH(NiPrH)-]n
[-NH-H2Si-(CH2)t-SiH(NBuH)-]n
[-NH-H2Si-(CH2)t-SiH(NiBuH)-]n
[-NH-H2Si-(CH2)t-SiH(NtBuH)-]n
[-NH-H2Si-(CH2)t-SiH(NAmH)-]n
[-NH-H2Si-(CH2)t-SiH(吡啶)-]n
[-NH-H2Si-(CH2)t-SiH(吡咯)-]n
[-NH-H2Si-(CH2)t-SiH(吡咯烷)-]n、和
[-NH-H2Si-(CH2)t-SiH(咪唑)-]n,其中t=1-2。
9.根据权利要求1所述的形成含SI膜的组合物,其中,该前体含有具有选自下组的式的单元,该组由以下各项组成
[-NH-H2Si-(CH2)t-Si(CH2=CH2)2-]n
[-NH-H2Si-(CH2)t-Si(CH2-CH2=CH2)2-]n
[-NH-H2Si-(CH2)t-Si(NH2)2-]n
[-NH-H2Si-(CH2)t-Si(NMe2)2-]n
[-NH-H2Si-(CH2)t-Si(NMeEt)2-]n
[-NH-H2Si-(CH2)t-Si(NEt2)2-]n
[-NH-H2Si-(CH2)t-Si(NnPr2)2-]n
[-NH-H2Si-(CH2)t-Si(NiPr2)2-]n
[-NH-H2Si-(CH2)t-Si(NBu2)2-]n
[-NH-H2Si-(CH2)t-Si(NiBu2)2-]n
[-NH-H2Si-(CH2)t-Si(NtBu2)2-]n
[-NH-H2Si-(CH2)t-Si(NAm2)2-]n
[-NH-H2Si-(CH2)t-Si(NCy戊基2)2-]n
[-NH-H2Si-(CH2)t-Si(Si(N己基2)2-]n
[-NH-H2Si-(CH2)t-Si(NCyHex2)2-]n
[-NH-H2Si-(CH2)t-Si(NMeH)2-]n
[-NH-H2Si-(CH2)t-Si(NEtH)2-]n
[-NH-H2Si-(CH2)t-Si(NnPrH)2-]n
[-NH-H2Si-(CH2)t-Si(NiPrH)2-]n
[-NH-H2Si-(CH2)t-Si(NBuH)2-]n
[-NH-H2Si-(CH2)t-Si(NiBuH)2-]n
[-NH-H2Si-(CH2)t-Si(NtBuH)2-]n
[-NH-H2Si-(CH2)t-Si(NAmH)2-]n
[-NH-H2Si-(CH2)t-Si(吡啶)2-]n
[-NH-H2Si-(CH2)t-Si(吡咯)2-]n
[-NH-H2Si-(CH2)t-Si(吡咯烷)2-]n、和
[-NH-H2Si-(CH2)t-Si(咪唑)2-]n,其中t=1-2。
10.根据权利要求1所述的形成含SI膜的组合物,其中,该前体含有具有选自下组的式的单元,该组由以下各项组成
[-NH-SiH(CH2=CH2)-(CH2)t--SiH(CH2=CH2)-]n
[-NH-SiH(CH2-CH2=CH2)-(CH2)t-SiH(CH2-CH2=CH2)-]n
[-NH-SiH(NH2)-(CH2)t-SiH(NH2)-]n
[-NH-SiH(NMe2)-(CH2)t-SiH(NMe2)-]n
[-NH-SiH(NMeEt)-(CH2)t-SiH(NMeEt)-]n
[-NH-SiH(NEt2)-(CH2)t-SiH(NEt2)-]n
[-NH-SiH(NnPr2)-(CH2)t-SiH(NnPr2)-]n
[-NH-SiH(NiPr2)-(CH2)t-SiH(NiPr2)-]n
[-NH-SiH(NBu2)-(CH2)t-SiH(NBu2)-]n
[-NH-SiH(NiBu2)-(CH2)t-SiH(NiBu2)-]n
[-NH-SiH(NtBu2)-(CH2)t-SiH(NtBu2)-]n
[-NH-SiH(NAm2)-(CH2)t-SiH(NAm2)-]n
[-NH-SiH(NCy戊基2)-(CH2)t-SiH(NCy戊基2)-]n
[-NH-SiH(N己基2)-(CH2)t-SiH(N己基2)-]n
[-NH-SiH(NCyHex2)-(CH2)t-SiH(NCyHex2)-]n
[-NH-SiH(NMeH)-(CH2)t-SiH(NMeH)-]n
[-NH-SiH(NEtH)-(CH2)t-SiH(NEtH)-]n
[-NH-SiH(NnPrH)-(CH2)t-SiH(NnPrH)-]n
[-NH-SiH(NiPrH)-(CH2)t-SiH(NiPrH)-]n
[-NH-SiH(NBuH)-(CH2)t-SiH(NBuH)-]n
[-NH-SiH(NiBuH)-(CH2)t-SiH(NiBuH)-]n
[-NH-SiH(NtBuH)-(CH2)t-SiH(NtBuH)-]n
[-NH-SiH(NAmH)-(CH2)t-SiH(NAmH)-]n
[-NH-SiH(吡啶)-(CH2)t-SiH(吡啶)-]n
[-NH-SiH(吡咯)-(CH2)t-SiH(吡咯)-]n
[-NH-SiH(吡咯烷)-(CH2)t-SiH(吡咯烷)-]n
和[-NH-SiH(咪唑)-(CH2)t-SiH(咪唑)-]n,其中t=1-2。
11.一种在基底上形成含Si膜的方法,该方法包括形成包含如权利要求1至10中任一项所述的形成含SI膜的组合物的溶液;并且通过旋涂、喷涂、浸涂或狭缝涂布技术使该溶液与该基底接触以形成该含Si膜。
CN201780018923.1A 2016-03-23 2017-03-23 形成含si膜的组合物及其制造与使用方法 Active CN109476848B (zh)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US201662312352P 2016-03-23 2016-03-23
US62/312,352 2016-03-23
PCT/US2017/023779 WO2017165626A1 (en) 2016-03-23 2017-03-23 Si-containing film forming compositions and methods of making and using the same

Publications (2)

Publication Number Publication Date
CN109476848A CN109476848A (zh) 2019-03-15
CN109476848B true CN109476848B (zh) 2021-06-22

Family

ID=59900919

Family Applications (1)

Application Number Title Priority Date Filing Date
CN201780018923.1A Active CN109476848B (zh) 2016-03-23 2017-03-23 形成含si膜的组合物及其制造与使用方法

Country Status (7)

Country Link
US (1) US11407922B2 (zh)
EP (1) EP3433302B1 (zh)
JP (1) JP6868640B2 (zh)
KR (2) KR102403096B1 (zh)
CN (1) CN109476848B (zh)
TW (2) TWI753794B (zh)
WO (1) WO2017165626A1 (zh)

Families Citing this family (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
TWI706957B (zh) * 2015-03-30 2020-10-11 法商液態空氣喬治斯克勞帝方法研究開發股份有限公司 碳矽烷與氨、胺類及脒類之觸媒去氫耦合
JP6756689B2 (ja) 2017-10-13 2020-09-16 株式会社Kokusai Electric 半導体装置の製造方法、基板処理装置、およびプログラム
US10510852B2 (en) * 2017-11-28 2019-12-17 Taiwan Semiconductor Manufacturing Company, Ltd. Low-k feature formation processes and structures formed thereby
US11499014B2 (en) 2019-12-31 2022-11-15 L'Air Liquide, Société Anonyme pour l'Etude et l'Exploitation des Procédés Georges Claude Cureable formulations for forming low-k dielectric silicon-containing films using polycarbosilazane
KR20210098360A (ko) * 2020-01-31 2021-08-10 주식회사 유피케미칼 실리콘 전구체 화합물, 이를 포함하는 실리콘-함유 막 형성용 조성물 및 실리콘-함유 막 형성 방법
EP4146725A1 (en) 2020-05-07 2023-03-15 Merck Patent GmbH Polycarbosilazane, and composition comprising the same, and method for producing silicon-containing film using the same

Citations (10)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2002167438A (ja) * 2000-11-29 2002-06-11 Jsr Corp ケイ素ポリマー、膜形成用組成物および絶縁膜形成用材料
WO2005045899A2 (en) * 2003-10-31 2005-05-19 Aviza Technology, Inc. Low temperature deposition of silicone nitride
CN101055250A (zh) * 2007-04-23 2007-10-17 陕西师范大学 对硝基芳烃敏感的单分子层聚硅烷荧光传感薄膜的制备方法
CN104672265A (zh) * 2013-09-20 2015-06-03 气体产品与化学公司 有机氨基硅烷前体和包含该前体的沉积膜的方法
WO2016007708A1 (en) * 2014-07-10 2016-01-14 L'air Liquide, Societe Anonyme Pour L'etude Et L'exploitation Des Procedes Georges Claude Alkylamino-substituted carbosilane precursors
WO2016049154A1 (en) * 2014-09-23 2016-03-31 L'air Liquide, Societe Anonyme Pour L'etude Et L'exploitation Des Procedes Georges Claude Carbosilane substituted amine precursors for deposition of si-containing films and methods thereof
CN105568249A (zh) * 2014-11-03 2016-05-11 气体产品与化学公司 硅基薄膜和形成该薄膜的方法
CN105793270A (zh) * 2013-09-27 2016-07-20 乔治洛德方法研究和开发液化空气有限公司 通过催化脱氢偶联以无卤素方式合成氨基硅烷的方法
WO2016160991A1 (en) * 2015-03-30 2016-10-06 L'air Liquide, Societe Anonyme Pour L'etude Et L'exploitation Des Procedes Georges Claude Catalyst dehydrogenative coupling of carbosilanes with ammonia, amnines and amidines
CN106414575A (zh) * 2014-06-25 2017-02-15 旭化成株式会社 具有孔隙的聚酰亚胺薄膜及其制造方法

Family Cites Families (63)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH0764642B2 (ja) 1986-06-13 1995-07-12 東燃株式会社 窒化物系セラミツクスの製法
JP3230029B2 (ja) 1994-05-30 2001-11-19 富士通株式会社 Iii−v族化合物半導体結晶成長方法
US5874368A (en) 1997-10-02 1999-02-23 Air Products And Chemicals, Inc. Silicon nitride from bis(tertiarybutylamino)silane
US6841256B2 (en) 1999-06-07 2005-01-11 Honeywell International Inc. Low dielectric constant polyorganosilicon materials generated from polycarbosilanes
US6489030B1 (en) 2000-04-14 2002-12-03 Honeywell International, Inc. Low dielectric constant films used as copper diffusion barrier
JP4868639B2 (ja) 2000-06-12 2012-02-01 株式会社Adeka 化学気相成長用原料及びこれを用いた薄膜の製造方法
JP4196246B2 (ja) 2000-11-17 2008-12-17 株式会社トリケミカル研究所 膜形成材料、膜形成方法、及び素子
DE02772548T1 (de) 2001-10-26 2004-11-11 Epichem Ltd., Wirral Vorlaeuferverbindungen für chemische dampfphasenabscheidung
JP2003151972A (ja) 2001-11-15 2003-05-23 Tri Chemical Laboratory Inc 酸化膜、酸化膜形成方法、半導体素子
JP4021653B2 (ja) 2001-11-30 2007-12-12 レール・リキード−ソシエテ・アノニム・プール・レテュード・エ・レクスプロワタシオン・デ・プロセデ・ジョルジュ・クロード Cvd法によるシリコン窒化物膜またはシリコンオキシ窒化物膜の製造方法
JP4116283B2 (ja) 2001-11-30 2008-07-09 レール・リキード−ソシエテ・アノニム・プール・レテュード・エ・レクスプロワタシオン・デ・プロセデ・ジョルジュ・クロード ヘキサキス(モノヒドロカルビルアミノ)ジシランおよびその製造方法
KR20050069986A (ko) 2002-08-18 2005-07-05 에비자 테크놀로지, 인크. 실리콘 산화물 및 산질화물의 저온 증착
JP4358492B2 (ja) 2002-09-25 2009-11-04 レール・リキード−ソシエテ・アノニム・プール・レテュード・エ・レクスプロワタシオン・デ・プロセデ・ジョルジュ・クロード 熱化学気相成長法によるシリコン窒化物膜またはシリコンオキシ窒化物膜の製造方法
US7446217B2 (en) 2002-11-14 2008-11-04 Advanced Technology Materials, Inc. Composition and method for low temperature deposition of silicon-containing films
US7531679B2 (en) 2002-11-14 2009-05-12 Advanced Technology Materials, Inc. Composition and method for low temperature deposition of silicon-containing films such as films including silicon nitride, silicon dioxide and/or silicon-oxynitride
US7972663B2 (en) 2002-12-20 2011-07-05 Applied Materials, Inc. Method and apparatus for forming a high quality low temperature silicon nitride layer
EP1584100A2 (en) 2002-12-20 2005-10-12 Applied Materials, Inc. A method and apparatus for forming a high quality low temperature silicon nitride layer
US7172792B2 (en) 2002-12-20 2007-02-06 Applied Materials, Inc. Method for forming a high quality low temperature silicon nitride film
US6940173B2 (en) 2003-01-29 2005-09-06 International Business Machines Corporation Interconnect structures incorporating low-k dielectric barrier films
JP4265409B2 (ja) 2003-02-13 2009-05-20 三菱マテリアル株式会社 Si−Si結合を有する有機Si含有化合物を用いたSi含有薄膜の形成方法
US7579496B2 (en) * 2003-10-10 2009-08-25 Advanced Technology Materials, Inc. Monosilane or disilane derivatives and method for low temperature deposition of silicon-containing films using the same
JP2005213633A (ja) 2004-02-02 2005-08-11 L'air Liquide Sa Pour L'etude & L'exploitation Des Procede S Georges Claude 化学気相成長法によるシリコン窒化物膜またはシリコンオキシ窒化物膜の製造方法
US7098150B2 (en) 2004-03-05 2006-08-29 Air Liquide America L.P. Method for novel deposition of high-k MSiON dielectric films
US20060012014A1 (en) 2004-07-15 2006-01-19 International Business Machines Corporation Reliability of low-k dielectric devices with energy dissipative layer
US7358317B2 (en) 2004-09-22 2008-04-15 Jsr Corporation Polycarbosilane and method of producing the same
JP2006096675A (ja) 2004-09-28 2006-04-13 L'air Liquide Sa Pour L'etude & L'exploitation Des Procede S Georges Claude 新規なアミノジシランおよび炭窒化珪素膜の形成方法
JP4756128B2 (ja) 2004-10-20 2011-08-24 日揮触媒化成株式会社 半導体加工用保護膜形成用塗布液、その調製方法およびこれより得られる半導体加工用保護膜
JP2006152063A (ja) 2004-11-26 2006-06-15 Jsr Corp 新規ポリカルボシランおよびその製造方法、膜形成用組成物、ならびに膜およびその形成方法
US20060121192A1 (en) 2004-12-02 2006-06-08 Jurcik Benjamin J Liquid precursor refill system
US7892648B2 (en) 2005-01-21 2011-02-22 International Business Machines Corporation SiCOH dielectric material with improved toughness and improved Si-C bonding
US20060286819A1 (en) 2005-06-21 2006-12-21 Applied Materials, Inc. Method for silicon based dielectric deposition and clean with photoexcitation
ES2265291B1 (es) 2005-07-22 2008-03-01 Universidad De Alcala Nuevos dendrimeros carbosilanos, su preparacion y sus usos.
ATE494292T1 (de) 2006-04-03 2011-01-15 L Air Liquide Soc Anon A Directoire Et Conseil De Surveillance Pour L Etude Et L Expl Des Procedes G Eine pentakis(dimethylamino)disilanvorstufe enthaltende verbindung, und verfahren zu deren herstellung
JP5149273B2 (ja) 2006-04-03 2013-02-20 レール・リキード−ソシエテ・アノニム・プール・レテュード・エ・レクスプロワタシオン・デ・プロセデ・ジョルジュ・クロード 化学気相堆積による窒化珪素膜及び/又はシリコンオキシナイトライド膜の堆積方法
US7875312B2 (en) * 2006-05-23 2011-01-25 Air Products And Chemicals, Inc. Process for producing silicon oxide films for organoaminosilane precursors
US7442822B2 (en) * 2006-09-01 2008-10-28 Air Products And Chemicals, Inc. Stabilization of nitrogen-containing and oxygen-containing organosilanes using weakly basic ion-exchange resins
US20080124815A1 (en) 2006-11-03 2008-05-29 International Business Machines Corporation Method for post cap ild/imd repair with uv irradiation
US7500397B2 (en) 2007-02-15 2009-03-10 Air Products And Chemicals, Inc. Activated chemical process for enhancing material properties of dielectric films
WO2009008041A1 (ja) 2007-07-06 2009-01-15 Fujitsu Limited 絶縁膜材料、多層配線基板及びその製造方法、並びに、半導体装置及びその製造方法
US20090096106A1 (en) 2007-10-12 2009-04-16 Air Products And Chemicals, Inc. Antireflective coatings
US8071160B2 (en) 2007-10-29 2011-12-06 Integrated Surface Technologies Surface coating process
TWI452069B (zh) 2007-11-06 2014-09-11 Braggone Oy 用於抗反射性塗層之碳矽烷聚合物組成物
US9034105B2 (en) 2008-01-10 2015-05-19 American Air Liquide, Inc. Solid precursor sublimator
JP5317089B2 (ja) 2008-01-23 2013-10-16 独立行政法人物質・材料研究機構 成膜方法および絶縁膜
KR20130043084A (ko) 2010-02-17 2013-04-29 레르 리키드 쏘시에떼 아노님 뿌르 레?드 에렉스뿔라따시옹 데 프로세데 조르즈 클로드 SiCOH 로우-K 필름의 증착 방법
KR101226876B1 (ko) 2010-04-01 2013-01-28 레르 리키드 쏘시에떼 아노님 뿌르 레?드 에렉스뿔라따시옹 데 프로세데 조르즈 클로드 아미노-금속 및 할로겐화 금속 전구체의 조합을 사용한 금속 질화물 함유 필름 퇴적
US8196945B2 (en) 2010-06-22 2012-06-12 Pedal Lock Partnership Bicycle pedal with integrated cable lock
US8853856B2 (en) 2010-06-22 2014-10-07 International Business Machines Corporation Methodology for evaluation of electrical characteristics of carbon nanotubes
US8993072B2 (en) 2011-09-27 2015-03-31 Air Products And Chemicals, Inc. Halogenated organoaminosilane precursors and methods for depositing films comprising same
JP5969253B2 (ja) 2012-02-10 2016-08-17 東京応化工業株式会社 表面処理剤及び表面処理方法
US20130224964A1 (en) 2012-02-28 2013-08-29 Asm Ip Holding B.V. Method for Forming Dielectric Film Containing Si-C bonds by Atomic Layer Deposition Using Precursor Containing Si-C-Si bond
US8871656B2 (en) 2012-03-05 2014-10-28 Applied Materials, Inc. Flowable films using alternative silicon precursors
US9978585B2 (en) 2012-06-01 2018-05-22 Versum Materials Us, Llc Organoaminodisilane precursors and methods for depositing films comprising same
US9337018B2 (en) * 2012-06-01 2016-05-10 Air Products And Chemicals, Inc. Methods for depositing films with organoaminodisilane precursors
US9243324B2 (en) 2012-07-30 2016-01-26 Air Products And Chemicals, Inc. Methods of forming non-oxygen containing silicon-based films
US10279959B2 (en) 2012-12-11 2019-05-07 Versum Materials Us, Llc Alkoxysilylamine compounds and applications thereof
KR101583232B1 (ko) 2012-12-31 2016-01-07 제일모직 주식회사 중합체 제조 방법 및 실리카계 절연막 형성용 조성물
US9360729B2 (en) 2013-03-15 2016-06-07 Kinestral Technologies, Inc. Electrochromic lithium nickel group 6 mixed metal oxides
JP6155063B2 (ja) 2013-03-19 2017-06-28 株式会社日立国際電気 半導体装置の製造方法、基板処理装置及びプログラム
US9796739B2 (en) 2013-06-26 2017-10-24 Versum Materials Us, Llc AZA-polysilane precursors and methods for depositing films comprising same
US10023958B2 (en) 2013-11-22 2018-07-17 Applied Materials, Inc. Atomic layer deposition of films comprising silicon, carbon and nitrogen using halogenated silicon precursors
US9233990B2 (en) 2014-02-28 2016-01-12 Air Products And Chemicals, Inc. Organoaminosilanes and methods for making same
CN103881101A (zh) 2014-03-18 2014-06-25 天津大学 一种碳氮化硅陶瓷用聚碳硅氮烷前驱体及其制备方法

Patent Citations (10)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2002167438A (ja) * 2000-11-29 2002-06-11 Jsr Corp ケイ素ポリマー、膜形成用組成物および絶縁膜形成用材料
WO2005045899A2 (en) * 2003-10-31 2005-05-19 Aviza Technology, Inc. Low temperature deposition of silicone nitride
CN101055250A (zh) * 2007-04-23 2007-10-17 陕西师范大学 对硝基芳烃敏感的单分子层聚硅烷荧光传感薄膜的制备方法
CN104672265A (zh) * 2013-09-20 2015-06-03 气体产品与化学公司 有机氨基硅烷前体和包含该前体的沉积膜的方法
CN105793270A (zh) * 2013-09-27 2016-07-20 乔治洛德方法研究和开发液化空气有限公司 通过催化脱氢偶联以无卤素方式合成氨基硅烷的方法
CN106414575A (zh) * 2014-06-25 2017-02-15 旭化成株式会社 具有孔隙的聚酰亚胺薄膜及其制造方法
WO2016007708A1 (en) * 2014-07-10 2016-01-14 L'air Liquide, Societe Anonyme Pour L'etude Et L'exploitation Des Procedes Georges Claude Alkylamino-substituted carbosilane precursors
WO2016049154A1 (en) * 2014-09-23 2016-03-31 L'air Liquide, Societe Anonyme Pour L'etude Et L'exploitation Des Procedes Georges Claude Carbosilane substituted amine precursors for deposition of si-containing films and methods thereof
CN105568249A (zh) * 2014-11-03 2016-05-11 气体产品与化学公司 硅基薄膜和形成该薄膜的方法
WO2016160991A1 (en) * 2015-03-30 2016-10-06 L'air Liquide, Societe Anonyme Pour L'etude Et L'exploitation Des Procedes Georges Claude Catalyst dehydrogenative coupling of carbosilanes with ammonia, amnines and amidines

Non-Patent Citations (2)

* Cited by examiner, † Cited by third party
Title
等离子体及其应用;蒋莉等;《惠州大学学报(自然科学版)》;20001231;第20卷(第4期);第42-45页 *
面向芯片制造过程的含Si-N键化合物;刘清月等;《浙江化工》;20200915(第9期);第16-22、26页 *

Also Published As

Publication number Publication date
TWI724141B (zh) 2021-04-11
US20190040279A1 (en) 2019-02-07
JP6868640B2 (ja) 2021-05-12
EP3433302A4 (en) 2019-10-30
KR102492744B1 (ko) 2023-01-26
KR20180136446A (ko) 2018-12-24
EP3433302B1 (en) 2021-04-28
TW202124540A (zh) 2021-07-01
JP2019513174A (ja) 2019-05-23
TWI753794B (zh) 2022-01-21
US11407922B2 (en) 2022-08-09
TW201805343A (zh) 2018-02-16
KR20220069123A (ko) 2022-05-26
CN109476848A (zh) 2019-03-15
WO2017165626A1 (en) 2017-09-28
KR102403096B1 (ko) 2022-05-26
EP3433302A1 (en) 2019-01-30

Similar Documents

Publication Publication Date Title
CN109476848B (zh) 形成含si膜的组合物及其制造与使用方法
JP7390421B2 (ja) コーティング組成物、および基板上へのSi含有膜の形成方法
JP6578353B2 (ja) Si含有膜堆積用カルボシラン置換アミン前駆体及びその方法
US11377359B2 (en) Process for producing liquid polysilanes and isomer enriched higher silanes
JP7113136B2 (ja) 液体ポリシラン及び異性体エンリッチド高級シランを製造するためのプロセス

Legal Events

Date Code Title Description
PB01 Publication
PB01 Publication
SE01 Entry into force of request for substantive examination
SE01 Entry into force of request for substantive examination
GR01 Patent grant
GR01 Patent grant