KR20180136446A - Si-함유 막 형성 조성물 및 이를 제조하고 사용하는 방법 - Google Patents

Si-함유 막 형성 조성물 및 이를 제조하고 사용하는 방법 Download PDF

Info

Publication number
KR20180136446A
KR20180136446A KR1020187028798A KR20187028798A KR20180136446A KR 20180136446 A KR20180136446 A KR 20180136446A KR 1020187028798 A KR1020187028798 A KR 1020187028798A KR 20187028798 A KR20187028798 A KR 20187028798A KR 20180136446 A KR20180136446 A KR 20180136446A
Authority
KR
South Korea
Prior art keywords
sih
precursor
group
containing film
hexyl
Prior art date
Application number
KR1020187028798A
Other languages
English (en)
Other versions
KR102403096B1 (ko
Inventor
매니쉬 칸델왈
신 케리간
진-마크 지랄드
안토니오 산체즈
펭 장
양 왕
Original Assignee
레르 리키드 쏘시에떼 아노님 뿌르 레?드 에렉스뿔라따시옹 데 프로세데 조르즈 클로드
레르 리키드 쏘시에떼 아노님 뿌르 레?드 에렉스뿔라따시옹 데 프로세데 조르즈 클로드
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 레르 리키드 쏘시에떼 아노님 뿌르 레?드 에렉스뿔라따시옹 데 프로세데 조르즈 클로드, 레르 리키드 쏘시에떼 아노님 뿌르 레?드 에렉스뿔라따시옹 데 프로세데 조르즈 클로드 filed Critical 레르 리키드 쏘시에떼 아노님 뿌르 레?드 에렉스뿔라따시옹 데 프로세데 조르즈 클로드
Priority to KR1020227016620A priority Critical patent/KR102492744B1/ko
Publication of KR20180136446A publication Critical patent/KR20180136446A/ko
Application granted granted Critical
Publication of KR102403096B1 publication Critical patent/KR102403096B1/ko

Links

Images

Classifications

    • CCHEMISTRY; METALLURGY
    • C09DYES; PAINTS; POLISHES; NATURAL RESINS; ADHESIVES; COMPOSITIONS NOT OTHERWISE PROVIDED FOR; APPLICATIONS OF MATERIALS NOT OTHERWISE PROVIDED FOR
    • C09DCOATING COMPOSITIONS, e.g. PAINTS, VARNISHES OR LACQUERS; FILLING PASTES; CHEMICAL PAINT OR INK REMOVERS; INKS; CORRECTING FLUIDS; WOODSTAINS; PASTES OR SOLIDS FOR COLOURING OR PRINTING; USE OF MATERIALS THEREFOR
    • C09D183/00Coating compositions based on macromolecular compounds obtained by reactions forming in the main chain of the macromolecule a linkage containing silicon, with or without sulfur, nitrogen, oxygen, or carbon only; Coating compositions based on derivatives of such polymers
    • C09D183/16Coating compositions based on macromolecular compounds obtained by reactions forming in the main chain of the macromolecule a linkage containing silicon, with or without sulfur, nitrogen, oxygen, or carbon only; Coating compositions based on derivatives of such polymers in which all the silicon atoms are connected by linkages other than oxygen atoms
    • CCHEMISTRY; METALLURGY
    • C07ORGANIC CHEMISTRY
    • C07FACYCLIC, CARBOCYCLIC OR HETEROCYCLIC COMPOUNDS CONTAINING ELEMENTS OTHER THAN CARBON, HYDROGEN, HALOGEN, OXYGEN, NITROGEN, SULFUR, SELENIUM OR TELLURIUM
    • C07F7/00Compounds containing elements of Groups 4 or 14 of the Periodic Table
    • C07F7/02Silicon compounds
    • C07F7/08Compounds having one or more C—Si linkages
    • C07F7/10Compounds having one or more C—Si linkages containing nitrogen having a Si-N linkage
    • CCHEMISTRY; METALLURGY
    • C08ORGANIC MACROMOLECULAR COMPOUNDS; THEIR PREPARATION OR CHEMICAL WORKING-UP; COMPOSITIONS BASED THEREON
    • C08GMACROMOLECULAR COMPOUNDS OBTAINED OTHERWISE THAN BY REACTIONS ONLY INVOLVING UNSATURATED CARBON-TO-CARBON BONDS
    • C08G77/00Macromolecular compounds obtained by reactions forming a linkage containing silicon with or without sulfur, nitrogen, oxygen or carbon in the main chain of the macromolecule
    • C08G77/60Macromolecular compounds obtained by reactions forming a linkage containing silicon with or without sulfur, nitrogen, oxygen or carbon in the main chain of the macromolecule in which all the silicon atoms are connected by linkages other than oxygen atoms
    • CCHEMISTRY; METALLURGY
    • C08ORGANIC MACROMOLECULAR COMPOUNDS; THEIR PREPARATION OR CHEMICAL WORKING-UP; COMPOSITIONS BASED THEREON
    • C08GMACROMOLECULAR COMPOUNDS OBTAINED OTHERWISE THAN BY REACTIONS ONLY INVOLVING UNSATURATED CARBON-TO-CARBON BONDS
    • C08G77/00Macromolecular compounds obtained by reactions forming a linkage containing silicon with or without sulfur, nitrogen, oxygen or carbon in the main chain of the macromolecule
    • C08G77/60Macromolecular compounds obtained by reactions forming a linkage containing silicon with or without sulfur, nitrogen, oxygen or carbon in the main chain of the macromolecule in which all the silicon atoms are connected by linkages other than oxygen atoms
    • C08G77/62Nitrogen atoms
    • CCHEMISTRY; METALLURGY
    • C08ORGANIC MACROMOLECULAR COMPOUNDS; THEIR PREPARATION OR CHEMICAL WORKING-UP; COMPOSITIONS BASED THEREON
    • C08LCOMPOSITIONS OF MACROMOLECULAR COMPOUNDS
    • C08L83/00Compositions of macromolecular compounds obtained by reactions forming in the main chain of the macromolecule a linkage containing silicon with or without sulfur, nitrogen, oxygen or carbon only; Compositions of derivatives of such polymers
    • C08L83/16Compositions of macromolecular compounds obtained by reactions forming in the main chain of the macromolecule a linkage containing silicon with or without sulfur, nitrogen, oxygen or carbon only; Compositions of derivatives of such polymers in which all the silicon atoms are connected by linkages other than oxygen atoms
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02205Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition
    • H01L21/02208Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si
    • H01L21/02219Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si the compound comprising silicon and nitrogen
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • CCHEMISTRY; METALLURGY
    • C08ORGANIC MACROMOLECULAR COMPOUNDS; THEIR PREPARATION OR CHEMICAL WORKING-UP; COMPOSITIONS BASED THEREON
    • C08GMACROMOLECULAR COMPOUNDS OBTAINED OTHERWISE THAN BY REACTIONS ONLY INVOLVING UNSATURATED CARBON-TO-CARBON BONDS
    • C08G77/00Macromolecular compounds obtained by reactions forming a linkage containing silicon with or without sulfur, nitrogen, oxygen or carbon in the main chain of the macromolecule
    • C08G77/70Siloxanes defined by use of the MDTQ nomenclature

Landscapes

  • Chemical & Material Sciences (AREA)
  • Organic Chemistry (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Engineering & Computer Science (AREA)
  • Health & Medical Sciences (AREA)
  • Medicinal Chemistry (AREA)
  • Polymers & Plastics (AREA)
  • Life Sciences & Earth Sciences (AREA)
  • Materials Engineering (AREA)
  • Wood Science & Technology (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Chemical Vapour Deposition (AREA)
  • Formation Of Insulating Films (AREA)
  • Silicon Polymers (AREA)

Abstract

하기 화학식을 갖는 전구체를 포함하는 Si-함유 막 형성 조성물이 개시된다:
[화학식 II]
[-NR-R4R5Si-(CH2)t-SiR2R3-]n
상기 식에서, n은 2 내지 400이고; R, R2, R3, R4 및 R5는 독립적으로 H, 탄화수소기 또는 알킬아미노기이며, 단 R2, R3, R4 및 R5 중 적어도 하나는 H이고; R은 독립적으로 H, 탄화수소기 또는 실릴기이다. 예시적인 전구체로는 [-NH-SiH2-CH2-SiH2-]n 및 [-N(SiH2-CH2-SiH3)-SiH2-CH2-SiH2-]n을 들 수 있지만, 이에 제한되지 않는다.

Description

SI-함유 막 형성 조성물 및 이를 제조하고 사용하는 방법
관련 출원에 대한 상호 참조
본 출원은 2016년 03월 23일자로 출원된 미국 특허 가출원 제62/312,352호의 이익을 주장하며, 이의 전문은 모든 용도에서 본원에서 참고로 인용된다.
기술 분야
본 발명은 하기 화학식을 갖는 유닛을 함유하는 전구체를 포함하는 Si-함유 막 형성 조성물에 관한 것이다:
[화학식 II]
[-NR-R4R5Si-(CH2)t-SiR2R3-]n
상기 식에서, m은 1 내지 4이고; t는 1 내지 4이고; n은 2 내지 400이고; R2, R3, R4 및 R5는 독립적으로 H, C1 내지 C6 탄화수소, 또는 화학식 NR"2를 갖는 알킬아미노기이고, R" 각각은 독립적으로 H, C1~C6 탄화수소, C6~C12 아릴이거나, NR"2는 환형 아민기를 형성하며, 단 R2, R3, R4 및 R5 중 적어도 하나는 H이고; R은 H; C1~C6 탄화수소; 화학식 SixR'2x+1(여기서, x는 1 내지 4이고, R' 각각은 독립적으로 H, C1~C6 탄화수소기, 또는 화학식 NR"2를 갖는 알킬아미노기이고, R" 각각은 독립적으로 H, C1~C6 기, C6~C12 아릴이거나, NR"2는 환형 아민기를 형성함)을 갖는 실릴기; 또는 R1'R2'R3'Si(CH2)bSiR4'R5' 기(여기서, b는 1 내지 2이고, R1', R2', R3', R4' 및 R5'는 독립적으로 H, C1~C6 탄화수소, C6~C12 아릴, 또는 화학식 NR"2를 갖는 알킬아미노기이고, R" 각각은 독립적으로 H, C1~C6 기, C6~C12 아릴이거나, NR"2는 환형 아민기를 형성함)이고; 단 R1', R2', R3', R4' 및 R5' 중 적어도 하나는 H이다.
Si-함유 막은 반도체, 광전지, LCD-TFT, 평판형 장치, 내화성 물질 또는 항공 산업에서 광범위하게 사용된다. Si-함유 막은, 예를 들어 절연성일 수 있는 전기 물성을 갖는 유전체 물질(dielectric material; SiO2, SiN, SiC, SiCN, SiCOH, MSiOx(여기서 M은 Hf, Zr, Ti, Nb, Ta 또는 Ge이고, x는 0~4임))로서 사용될 수 있다. 또한 Si-함유 막은 금속 규화물 또는 금속 질화규소과 같은 전도성 막으로서 사용될 수 있다. 전자 장치의 구조의 나노 크기(특히 28 ㎚ 미만의 노드)로의 규모 축소에 대한 엄격한 요건으로 인해, 점점 미세하게 조정된 분자 전구체가 요구되고 있으며, 이때 상기 전구체는 생산된 막의 높은 증착 속도, 등각성(conformality) 및 밀도(consistency) 이외에도 휘발성(기상 증착 공정의 경우), 보다 낮은 공정 온도, 다양한 산화제와의 반응성 및 낮은 막 오염도를 충족시킨다.
히자와(Hizawa) 및 노지모토(Nojimoto)(문헌{Kogyo Kagaku Zasshi, 1956, 59, 1359~63})는 Me3SiCH2SiMe2Cl과 NH3의 반응으로부터 (Me3SiCH2SiMe2)2NH의 합성을 개시한다.
오닐(O'Neill) 등(미국 특허 공개공보 제2015/0087139호)은 H3Si-R3-SiH2-NR1-SiH2-R3-SiH3을 포함한 5개 부류의 유기아미노실란 전구체를 개시하며, 여기서 R1은 선형 또는 분지형 C1 내지 C12 탄화수소기, 선형 또는 분지형 C3 내지 C12 알케닐기, 선형 또는 분지형 C3 내지 C12 알키닐기, C3 내지 C12 환형 알킬기 또는 C5 내지 C12 아릴기이고, R3은 선형 또는 분지형 C1 내지 C12 알킬렌기, 선형 또는 분지형 C3 내지 C6 알키닐렌기, C3 내지 C12 환형 알킬렌기, C3 내지 C12 헤테로환형 알킬렌기, C5 내지 C12 아릴렌기 또는 C5 내지 C12 헤테로아릴렌기이다.
패파드(Fafard) 등에게 허여된 국제 공개공보 제WO2016/049154호에는 Si-함유 막의 증착을 위한 카보실란 치환된 아민 전구체가 개시되어 있다. 카보실란 치환된 아민 전구체는 화학식 (R1)aN(-SiHR2-CH2-SiH2R3)3-a를 가지며, 여기서 a는 0 또는 1이고; R1은 H, C1 내지 C6 알킬기 또는 할로겐이고; R2 및 R3는 각각 독립적으로 H, 할로겐, 알콕시 또는 알킬아미노기이다.
케리건(Kerrigan) 등에게 허여된 국제 공개공보 제WO2016/160991호에는 암모니아, 아민 및 아미딘과 카보실란의 촉매적 탈수소화 커플링(catalytic dehydrogenative coupling)이 개시되어 있다.
제조 공정 요건을 조정하는 능력을 장지 공학자에게 제공하고 바람직한 전기적 및 물리적 물성을 갖는 막을 구현하기 위해 Si 증착용 전구체를 설계 및 생산하기 위한 요구, 특히 무할로겐 경로 및/또는 보다 선택적인 경로를 갖는 전구체를 설계 및 생산하기 위한 요구가 존재한다.
하기 화학식 I을 갖는 전구체를 포함하거나 하기 화학식 II를 갖는 유닛을 함유하는 Si-함유 막 형성 조성물이 개시된다:
[화학식 I]
RaN(R4R5Si(CH2)mSiR1R2R3)3-a
[화학식 II]
[-NR-R4R5Si-(CH2)t-SiR2R3-]n
상기 식에서, a는 0 내지 1이고;
m은 1 내지 4이고;
t는 1 내지 4이고;
n은 2 내지 400이고;
R1, R2, R3, R4 및 R5는 독립적으로 H, 탄화수소기(C1 내지 C6), 또는 화학식 NR"2를 갖는 알킬아미노기이고, R" 각각은 독립적으로 H, C1~C6 탄화수소기, C6~C12 아릴이거나, NR"2는 환형 아민기를 형성하며, 단 R1, R2, R3, R4 및 R5 중 적어도 하나는 H이고;
R은 H; C1~C6 탄화수소기; 화학식 SixR'2x+1(여기서, x는 1 내지 4이고, R' 각각은 독립적으로 H, C1~C6 탄화수소기, 또는 화학식 NR"2를 갖는 알킬아미노기이고, R" 각각은 독립적으로 H, C1~C6 기, C6~C12 아릴이거나, NR"2는 환형 아민기를 형성함)을 갖는 실릴기; 또는 R1'R2'R3'Si(CH2)bSiR4'R5' 기(여기서, b는 1 내지 2이고, R1', R2', R3', R4' 및 R5'는 독립적으로 H, C1~C6 탄화수소기, C6~C12 아릴, 또는 화학식 NR"2를 갖는 알킬아미노기이고, R" 각각은 독립적으로 H, C1~C6 기, C6~C12 아릴이거나, NR"2는 환형 아민기를 형성함)이며; 단 R1', R2', R3', R4' 및 R5' 중 적어도 하나는 H이다. 개시된 Si-함유 막 형성 조성물은 하나 이상의 하기 양태를 포함할 수 있다:
· m은 1 내지 2이거나;
· t는 1 내지 2이거나;
· a는 0이고, m은 1이거나;
· 전구체는 N(SiR4R5(CH2)SiR1R2R3)3이거나;
· R1, R2, R3, R4 및 R5는 모두 H이거나;
· 전구체는 N(-SiH2-CH2-SiH3)3이거나;
· R1, R2 또는 R3 중 적어도 하나는 H이거나;
· R4 또는 R5 중 적어도 하나는 H이거나;
· R1, R2 또는 R3 중 적어도 하나 및 R4 또는 R5 중 적어도 하나는 H이거나;
· R1, R2, R3 및 R4는 H이거나;
· R1, R2, R3, R4 또는 R5 중 적어도 하나는 비닐이거나;
· R1, R2, R3, R4 또는 R5 중 적어도 하나는 알릴이거나;
· R1, R2, R3, R4 또는 R5 중 적어도 하나는 페닐이거나;
· R2, R3, R4 및 R5는 H이거나;
· 전구체는 N(SiH2-CH2-SiH2(CH2=CH))3이거나;
· 전구체는 N(SiH2-CH2-SiH2(CH2=CH-CH2))3이거나;
· 전구체는 N(SiH2-CH2-SiH2(NH2))3이거나;
· 전구체는 N(SiH2-CH2-SiH2(NMe2))3이거나;
· 전구체는 N(SiH2-CH2-SiH2(NMeEt))3이거나;
· 전구체는 N(SiH2-CH2-SiH2(NEt2))3이거나;
· 전구체는 N(SiH2-CH2-SiH2(NnPr2))3이거나;
· 전구체는 N(SiH2-CH2-SiH2(NiPr2))3이거나;
· 전구체는 N(SiH2-CH2-SiH2(NBu2))3이거나;
· 전구체는 N(SiH2-CH2-SiH2(NiBu2))3이거나;
· 전구체는 N(SiH2-CH2-SiH2(NtBu2))3이거나;
· 전구체는 N(SiH2-CH2-SiH2(NAm2))3이거나;
· 전구체는 N(SiH2-CH2-SiH2(NCy펜틸2))3이거나;
· 전구체는 N(SiH2-CH2-SiH2(N헥실2))3이거나;
· 전구체는 N(SiH2-CH2-SiH2(NCyHex2))3이거나;
· 전구체는 N(SiH2-CH2-SiH2(NMeH))3이거나;
· 전구체는 N(SiH2-CH2-SiH2(NEtH))3이거나;
· 전구체는 N(SiH2-CH2-SiH2(NnPrH))3이거나;
· 전구체는 N(SiH2-CH2-SiH2(NiPrH))3이거나;
· 전구체는 N(SiH2-CH2-SiH2(NBuH))3이거나;
· 전구체는 N(SiH2-CH2-SiH2(NiBuH))3이거나;
· 전구체는 N(SiH2-CH2-SiH2(NtBuH))3이거나;
· 전구체는 N(SiH2-CH2-SiH2(NAmH))3이거나;
· 전구체는 N(SiH2-CH2-SiH2(피리딘))3이거나;
· 전구체는 N(SiH2-CH2-SiH2(피롤))3이거나;
· 전구체는 N(SiH2-CH2-SiH2(피롤리딘))3이거나;
· 전구체는 N(SiH2-CH2-SiH2(이미다졸))3이거나;
· 전구체는 N(SiH2-CH2-SiH2(피리미딘))3이거나;
· 전구체는 N(SiH2-CH2-SiH2(피페리딘))3이거나;
· R1, R2 및 R3은 H이거나;
· R2, R3 및 R4는 H이거나;
· 전구체는 N(SiH(CH2=CH)-CH2-SiH2(CH2=CH))3이거나;
· 전구체는 N(SiH(CH2=CH-CH2)-CH2-SiH2(CH2=CH-CH2))3이거나;
· 전구체는 N(SiH(NH2)-CH2-SiH2(NH2))3이거나;
· 전구체는 N(SiH(NMe2)-CH2-SiH2(NMe2))3이거나;
· 전구체는 N(SiH(NMeEt)-CH2-SiH2(NMeEt))3이거나;
· 전구체는 N(SiH(NEt2)-CH2-SiH2(NEt2))3이거나;
· 전구체는 N(SiH(NnPr2)-CH2-SiH2(NnPr2))3이거나;
· 전구체는 N(SiH(NiPr2)-CH2-SiH2(NiPr2))3이거나;
· 전구체는 N(SiH(NBu2)-CH2-SiH2(NBu2))3이거나;
· 전구체는 N(SiH(NiBu2)-CH2-SiH2(NiBu2))3이거나;
· 전구체는 N(SiH(NtBu2)-CH2-SiH2(NtBu2))3이거나;
· 전구체는 N(SiH(NAm2)-CH2-SiH2(NAm2))3이거나;
· 전구체는 N(SiH(NCy펜틸2)-CH2-SiH2(NCy펜틸2))3이거나;
· 전구체는 N(SiH(N헥실2)-CH2-SiH2(N헥실2))3이거나;
· 전구체는 N(SiH(NCyHex2)-CH2-SiH2(NCyHex2))3이거나;
· 전구체는 N(SiH(NMeH)-CH2-SiH2(NMeH))3이거나;
· 전구체는 N(SiH(NEtH)-CH2-SiH2(NEtH))3이거나;
· 전구체는 N(SiH(NnPrH)-CH2-SiH2(NnPrH))3이거나;
· 전구체는 N(SiH(NiPrH)-CH2-SiH2(NiPrH))3이거나;
· 전구체는 N(SiH(NBuH)-CH2-SiH2(NBuH))3이거나;
· 전구체는 N(SiH(NiBuH)-CH2-SiH2(NiBuH))3이거나;
· 전구체는 N(SiH(NtBuH)-CH2-SiH2(NtBuH))3이거나;
· 전구체는 N(SiH(NAmH)-CH2-SiH2(NAmH))3이거나;
· 전구체는 N(SiH(피리딘)-CH2-SiH2(피리딘))3이거나;
· 전구체는 N(SiH(피롤)-CH2-SiH2(피롤))3이거나;
· 전구체는 N(SiH(피롤리딘)-CH2-SiH2(피롤리딘))3이거나;
· 전구체는 N(SiH(이미다졸)-CH2-SiH2(이미다졸))3이거나;
· 전구체는 N(SiH(피페리딘)-CH2-SiH2(이미다졸))3이거나;
· 전구체는 N(SiH(피리미딘)-CH2-SiH2(이미다졸))3이거나;
· R3, R4 및 R5는 H이거나;
· 전구체는 N(SiH2-CH2-SiH(CH2=CH)2)3이거나;
· 전구체는 N(SiH2-CH2-SiH(CH2=CH-CH2)2)3이거나;
· 전구체는 N(SiH2-CH2-SiH(NH2)2)3이거나;
· 전구체는 N(SiH2-CH2-SiH(NMe2)2)3이거나;
· 전구체는 N(SiH2-CH2-SiH(NMeEt)2)3이거나;
· 전구체는 N(SiH2-CH2-SiH(NEt2)2)3이거나;
· 전구체는 N(SiH2-CH2-SiH(NnPr2)2)3이거나;
· 전구체는 N(SiH2-CH2-SiH(NiPr2)2)3이거나;
· 전구체는 N(SiH2-CH2-SiH(NBu2)2)3이거나;
· 전구체는 N(SiH2-CH2-SiH(NiBu2)2)3이거나;
· 전구체는 N(SiH2-CH2-SiH(NtBu2)2)3이거나;
· 전구체는 N(SiH2-CH2-SiH(NAm2)2)3이거나;
· 전구체는 N(SiH2-CH2-SiH(NCy펜틸2)2)3이거나;
· 전구체는 N(SiH2-CH2-SiH(N헥실2)2)3이거나;
· 전구체는 N(SiH2-CH2-SiH(NCyHex2)2)3이거나;
· 전구체는 N(SiH2-CH2-SiH(NMeH)2)3이거나;
· 전구체는 N(SiH2-CH2-SiH(NEtH)2)3이거나;
· 전구체는 N(SiH2-CH2-SiH(NnPrH)2)3이거나;
· 전구체는 N(SiH2-CH2-SiH(NiPrH)2)3이거나;
· 전구체는 N(SiH2-CH2-SiH(NBuH)2)3이거나;
· 전구체는 N(SiH2-CH2-SiH(NiBuH)2)3이거나;
· 전구체는 N(SiH2-CH2-SiH(NtBuH)2)3이거나;
· 전구체는 N(SiH2-CH2-SiH(NAmH)2)3이거나;
· 전구체는 N(SiH2-CH2-SiH(피리딘)2)3이거나;
· 전구체는 N(SiH2-CH2-SiH(피롤)2)3이거나;
· 전구체는 N(SiH2-CH2-SiH(피롤리딘)2)3이거나;
· 전구체는 N(SiH2-CH2-SiH(이미다졸)2)3이거나;
· 전구체는 N(SiH2-CH2-SiH(피페리딘)2)3이거나;
· 전구체는 N(SiH2-CH2-SiH(피리미딘)2)3이거나;
· R4 및 R5는 H이거나;
· 전구체는 N(SiH2-CH2-Si(CH2=CH)3)3이거나;
· 전구체는 N(SiH2-CH2-Si(CH2=CH-CH2)3)3이거나;
· 전구체는 N(SiH2-CH2-Si(NH2)3)3이거나;
· 전구체는 N(SiH2-CH2-Si(NMe2)3)3이거나;
· 전구체는 N(SiH2-CH2-Si(NMeEt)3)3이거나;
· 전구체는 N(SiH2-CH2-Si(NEt2)3)3이거나;
· 전구체는 N(SiH2-CH2-Si(NnPr2)3)3이거나;
· 전구체는 N(SiH2-CH2-Si(NiPr2)3)3이거나;
· 전구체는 N(SiH2-CH2-Si(NBu2)3)3이거나;
· 전구체는 N(SiH2-CH2-Si(NiBu2)3)3이거나;
· 전구체는 N(SiH2-CH2-Si(NtBu2)3)3이거나;
· 전구체는 N(SiH2-CH2-Si(NAm2)3)3이거나;
· 전구체는 N(SiH2-CH2-Si(NCy펜틸2)3)3이거나;
· 전구체는 N(SiH2-CH2-Si(N헥실2)3)3이거나;
· 전구체는 N(SiH2-CH2-Si(NCyHex2)3)3이거나;
· 전구체는 N(SiH2-CH2-Si(NMeH)3)3이거나;
· 전구체는 N(SiH2-CH2-Si(NEtH)3)3이거나;
· 전구체는 N(SiH2-CH2-Si(NnPrH)3)3이거나;
· 전구체는 N(SiH2-CH2-Si(NiPrH)3)3이거나;
· 전구체는 N(SiH2-CH2-Si(NBuH)3)3이거나;
· 전구체는 N(SiH2-CH2-Si(NiBuH)3)3이거나;
· 전구체는 N(SiH2-CH2-Si(NtBuH)3)3이거나;
· 전구체는 N(SiH2-CH2-Si(NAmH)3)3이거나;
· 전구체는 N(SiH2-CH2-Si(피리딘)3)3이거나;
· 전구체는 N(SiH2-CH2-Si(피롤)3)3이거나;
· 전구체는 N(SiH2-CH2-Si(피롤리딘)3)3이거나;
· 전구체는 N(SiH2-CH2-Si(이미다졸)3)3이거나;
· 전구체는 N(SiH2-CH2-Si(피페리딘)3)3이거나;
· 전구체는 N(SiH2-CH2-Si(피리미딘)3)3이거나;
· a는 0이고, m은 2이거나;
· 전구체는 N(SiR4R5(CH2CH2)SiR1R2R3)3이거나;
· R1, R2, R3, R4 및 R5는 H이거나;
· 전구체는 N(SiH2-CH2-CH2-SiH3)3이거나;
· R1, R2, R3 및 R4는 H이거나;
· R2, R3, R4 및 R5는 H이거나;
· 전구체는 N(SiH2-CH2-CH2-SiH2(CH2=CH))3이거나;
· 전구체는 N(SiH2-CH2-CH2-SiH2(CH2=CH-CH2))3이거나;
· 전구체는 N(SiH2-CH2-CH2-SiH2(NH2))3이거나;
· 전구체는 N(SiH2-CH2-CH2-SiH2(NMe2))3이거나;
· 전구체는 N(SiH2-CH2-CH2-SiH2(NMeEt))3이거나;
· 전구체는 N(SiH2-CH2-CH2-SiH2(NEt2))3이거나;
· 전구체는 N(SiH2-CH2-CH2-SiH2(NnPr2))3이거나;
· 전구체는 N(SiH2-CH2-CH2-SiH2(NiPr2))3이거나;
· 전구체는 N(SiH2-CH2-CH2-SiH2(NBu2))3이거나;
· 전구체는 N(SiH2-CH2-CH2-SiH2(NiBu2))3이거나;
· 전구체는 N(SiH2-CH2-CH2-SiH2(NtBu2))3이거나;
· 전구체는 N(SiH2-CH2-CH2-SiH2(NAm2))3이거나;
· 전구체는 N(SiH2-CH2-CH2-SiH2(NCy펜틸2))3이거나;
· 전구체는 N(SiH2-CH2-CH2-SiH2(N헥실2))3이거나;
· 전구체는 N(SiH2-CH2-CH2-SiH2(NCyHex2))3이거나;
· 전구체는 N(SiH2-CH2-CH2-SiH2(NMeH))3이거나;
· 전구체는 N(SiH2-CH2-CH2-SiH2(NEtH))3이거나;
· 전구체는 N(SiH2-CH2-CH2-SiH2(NnPrH))3이거나;
· 전구체는 N(SiH2-CH2-CH2-SiH2(NiPrH))3이거나;
· 전구체는 N(SiH2-CH2-CH2-SiH2(NBuH))3이거나;
· 전구체는 N(SiH2-CH2-CH2-SiH2(NiBuH))3이거나;
· 전구체는 N(SiH2-CH2-CH2-SiH2(NtBuH))3이거나;
· 전구체는 N(SiH2-CH2-CH2-SiH2(NAmH))3이거나;
· 전구체는 N(SiH2-CH2-CH2-SiH2(피리딘))3이거나;
· 전구체는 N(SiH2-CH2-CH2-SiH2(피롤))3이거나;
· 전구체는 N(SiH2-CH2-CH2-SiH2(피롤리딘))3이거나;
· 전구체는 N(SiH2-CH2-CH2-SiH2(이미다졸))3이거나;
· 전구체는 N(SiH2-CH2-CH2-SiH2(피페리딘))3이거나;
· 전구체는 N(SiH2-CH2-CH2-SiH2(피리미딘))3이거나;
· R1, R2 및 R3은 H이거나;
· R2, R3 및 R4는 H이거나;
· 전구체는 N(SiH(CH2=CH)-CH2-CH2-SiH2(CH2=CH))3이거나;
· 전구체는 N(SiH(CH2=CH-CH2)-CH2-CH2-SiH2(CH2=CH-CH2))3이거나;
· 전구체는 N(SiH(NH2)-CH2-CH2-SiH2(NH2))3이거나;
· 전구체는 N(SiH(NMe2)-CH2-CH2-SiH2(NMe2))3이거나;
· 전구체는 N(SiH(NMeEt)-CH2-CH2-SiH2(NMeEt))3이거나;
· 전구체는 N(SiH(NEt2)-CH2-CH2-SiH2(NEt2))3이거나;
· 전구체는 N(SiH(NnPr2)-CH2-CH2-SiH2(NnPr2))3이거나;
· 전구체는 N(SiH(NiPr2)-CH2-CH2-SiH2(NiPr2))3이거나;
· 전구체는 N(SiH(NBu2)-CH2-CH2-SiH2(NBu2))3이거나;
· 전구체는 N(SiH(NiBu2)-CH2-CH2-SiH2(NiBu2))3이거나;
· 전구체는 N(SiH(NtBu2)-CH2-CH2-SiH2(NtBu2))3이거나;
· 전구체는 N(SiH(NAm2)-CH2-CH2-SiH2(NAm2))3이거나;
· 전구체는 N(SiH(NCy펜틸2)-CH2-CH2-SiH2(NCy펜틸2))3이거나;
· 전구체는 N(SiH(N헥실2)-CH2-CH2-SiH2(N헥실2))3이거나;
· 전구체는 N(SiH(NCyHex2)-CH2-CH2-SiH2(NCyHex2))3이거나;
· 전구체는 N(SiH(NMeH)-CH2-CH2-SiH2(NMeH))3이거나;
· 전구체는 N(SiH(NEtH)-CH2-CH2-SiH2(NEtH))3이거나;
· 전구체는 N(SiH(NnPrH)-CH2-CH2-SiH2(NnPrH))3이거나;
· 전구체는 N(SiH(NiPrH)-CH2-CH2-SiH2(NiPrH))3이거나;
· 전구체는 N(SiH(NBuH)-CH2-CH2-SiH2(NBuH))3이거나;
· 전구체는 N(SiH(NiBuH)-CH2-CH2-SiH2(NiBuH))3이거나;
· 전구체는 N(SiH(NtBuH)-CH2-CH2-SiH2(NtBuH))3이거나;
· 전구체는 N(SiH(NAmH)-CH2-CH2-SiH2(NAmH))3이거나;
· 전구체는 N(SiH(피리딘)-CH2-CH2-SiH2(피리딘))3이거나;
· 전구체는 N(SiH(피롤)-CH2-CH2-SiH2(피롤))3이거나;
· 전구체는 N(SiH(피롤리딘)-CH2-CH2-SiH2(피롤리딘))3이거나;
· 전구체는 N(SiH(이미다졸)-CH2-CH2-SiH2(이미다졸))3이거나;
· 전구체는 N(SiH(피페리딘)-CH2-CH2-SiH2(피페리딘))3이거나;
· 전구체는 N(SiH(피리미딘)-CH2-CH2-SiH2(피리미딘))3이거나;
· R3, R4 및 R5는 H이거나;
· 전구체는 N(SiH2-CH2-CH2-SiH(CH2=CH)2)3이거나;
· 전구체는 N(SiH2-CH2-CH2-SiH(CH2=CH-CH2)2)3이거나;
· 전구체는 N(SiH2-CH2-CH2-SiH(NH2)2)3이거나;
· 전구체는 N(SiH2-CH2-CH2-SiH(NMe2)2)3이거나;
· 전구체는 N(SiH2-CH2-CH2-SiH(NMeEt)2)3이거나;
· 전구체는 N(SiH2-CH2-CH2-SiH(NEt2)2)3이거나;
· 전구체는 N(SiH2-CH2-CH2-SiH(NnPr2)2)3이거나;
· 전구체는 N(SiH2-CH2-CH2-SiH(NiPr2)2)3이거나;
· 전구체는 N(SiH2-CH2-CH2-SiH(NBu2)2)3이거나;
· 전구체는 N(SiH2-CH2-CH2-SiH(NiBu2)2)3이거나;
· 전구체는 N(SiH2-CH2-CH2-SiH(NtBu2)2)3이거나;
· 전구체는 N(SiH2-CH2-CH2-SiH(NAm2)2)3이거나;
· 전구체는 N(SiH2-CH2-CH2-SiH(NCy펜틸2)2)3이거나;
· 전구체는 N(SiH2-CH2-CH2-SiH(N헥실2)2)3이거나;
· 전구체는 N(SiH2-CH2-CH2-SiH(NCyHex2)2)3이거나;
· 전구체는 N(SiH2-CH2-CH2-SiH(NMeH)2)3이거나;
· 전구체는 N(SiH2-CH2-CH2-SiH(NEtH)2)3이거나;
· 전구체는 N(SiH2-CH2-CH2-SiH(NnPrH)2)3이거나;
· 전구체는 N(SiH2-CH2-CH2-SiH(NiPrH)2)3이거나;
· 전구체는 N(SiH2-CH2-CH2-SiH(NBuH)2)3이거나;
· 전구체는 N(SiH2-CH2-CH2-SiH(NiBuH)2)3이거나;
· 전구체는 N(SiH2-CH2-CH2-SiH(NtBuH)2)3이거나;
· 전구체는 N(SiH2-CH2-CH2-SiH(NAmH)2)3이거나;
· 전구체는 N(SiH2-CH2-CH2-SiH(피리딘)2)3이거나;
· 전구체는 N(SiH2-CH2-CH2-SiH(피롤)2)3이거나;
· 전구체는 N(SiH2-CH2-CH2-SiH(피롤리딘)2)3이거나;
· 전구체는 N(SiH2-CH2-CH2-SiH(이미다졸)2)3이거나;
· 전구체는 N(SiH2-CH2-CH2-SiH(피페리딘)2)3이거나;
· 전구체는 N(SiH2-CH2-CH2-SiH(피리미딘)2)3이거나;
· R4 및 R5는 H이거나;
· 전구체는 N(SiH2-CH2-CH2-Si(CH2=CH)3)3이거나;
· 전구체는 N(SiH2-CH2-CH2-Si(CH2=CH-CH2)3)3이거나;
· 전구체는 N(SiH2-CH2-CH2-Si(NH2)3)3이거나;
· 전구체는 N(SiH2-CH2-CH2-Si(NMe2)3)3이거나;
· 전구체는 N(SiH2-CH2-CH2-Si(NMeEt)3)3이거나;
· 전구체는 N(SiH2-CH2-CH2-Si(NEt2)3)3이거나;
· 전구체는 N(SiH2-CH2-CH2-Si(NnPr2)3)3이거나;
· 전구체는 N(SiH2-CH2-CH2-Si(NiPr2)3)3이거나;
· 전구체는 N(SiH2-CH2-CH2-Si(NBu2)3)3이거나;
· 전구체는 N(SiH2-CH2-CH2-Si(NiBu2)3)3이거나;
· 전구체는 N(SiH2-CH2-CH2-Si(NtBu2)3)3이거나;
· 전구체는 N(SiH2-CH2-CH2-Si(NAm2)3)3이거나;
· 전구체는 N(SiH2-CH2-CH2-Si(NCy펜틸2)3)3이거나;
· 전구체는 N(SiH2-CH2-CH2-Si(N헥실2)3)3이거나;
· 전구체는 N(SiH2-CH2-CH2-Si(NCyHex2)3)3이거나;
· 전구체는 N(SiH2-CH2-CH2-Si(NMeH)3)3이거나;
· 전구체는 N(SiH2-CH2-CH2-Si(NEtH)3)3이거나;
· 전구체는 N(SiH2-CH2-CH2-Si(NnPrH)3)3이거나;
· 전구체는 N(SiH2-CH2-CH2-Si(NiPrH)3)3이거나;
· 전구체는 N(SiH2-CH2-CH2-Si(NBuH)3)3이거나;
· 전구체는 N(SiH2-CH2-CH2-Si(NiBuH)3)3이거나;
· 전구체는 N(SiH2-CH2-CH2-Si(NtBuH)3)3이거나;
· 전구체는 N(SiH2-CH2-CH2-Si(NAmH)3)3이거나;
· 전구체는 N(SiH2-CH2-CH2-Si(피리딘)3)3이거나;
· 전구체는 N(SiH2-CH2-CH2-Si(피롤)3)3이거나;
· 전구체는 N(SiH2-CH2-CH2-Si(피롤리딘)3)3이거나;
· 전구체는 N(SiH2-CH2-CH2-Si(이미다졸)3)3이거나;
· 전구체는 N(SiH2-CH2-CH2-Si(피페리딘)3)3이거나;
· 전구체는 N(SiH2-CH2-CH2-Si(피리미딘)3)3이거나;
· a는 1이고, m은 1이거나;
· 전구체는 RN(SiR4R5(CH2)SiR1R2R3)2이거나;
· R, R1, R2, R3, R4 및 R5는 H이거나;
· 전구체는 HN(SiH2-CH2-SiH3)2이거나;
· R, R1, R2, R3, R4 또는 R5 중 적어도 하나는 비닐이거나;
· R, R1, R2, R3, R4 또는 R5 중 적어도 하나는 알릴이거나;
· R, R1, R2, R3, R4 또는 R5 중 적어도 하나는 페닐이거나;
· R1, R2, R3, R4 및 R5는 H이고, R은 SixH2x+1(x는 1 내지 4임)이거나;
· 전구체는 SiH3N(SiH2-CH2-SiH3)2이거나;
· 전구체는 Si2H5N(SiH2-CH2-SiH3)2이거나;
· 전구체는 Si3H7N(SiH2-CH2-SiH3)2이거나;
· 전구체는 Si4H9N(SiH2-CH2-SiH3)2이거나;
· R1, R2, R3, R4 및 R5는 H이고, R은 CyH2y+1(y는 1 내지 6임)이거나;
· 전구체는 (Me)N(SiH2-CH2-SiH3)2이거나;
· 전구체는 (Et)N(SiH2-CH2-SiH3)2이거나;
· 전구체는 (nPr)N(SiH2-CH2-SiH3)2이거나;
· 전구체는 (iPr)N(SiH2-CH2-SiH3)2이거나;
· 전구체는 (Bu)N(SiH2-CH2-SiH3)2이거나;
· 전구체는 (iBu)N(SiH2-CH2-SiH3)2이거나;
· 전구체는 (tBu)N(SiH2-CH2-SiH3)2이거나;
· 전구체는 (아밀)N(SiH2-CH2-SiH3)2이거나;
· 전구체는 (헥실)N(SiH2-CH2-SiH3)2이거나;
· 전구체는 (SiMe3)N(SiH2-CH2-SiH3)2이거나;
· 전구체는 (SiEt3)N(SiH2-CH2-SiH3)2이거나;
· 전구체는 Si(iPr)3N(SiH2-CH2-SiH3)2이거나;
· 전구체는 Si(nPr)3N(SiH2-CH2-SiH3)2이거나;
· 전구체는 Si(Bu)3N(SiH2-CH2-SiH3)2이거나;
· 전구체는 Si(iBu)3N(SiH2-CH2-SiH3)2이거나;
· 전구체는 Si(tBu)3N(SiH2-CH2-SiH3)2이거나;
· 전구체는 Si(아밀)3N(SiH2-CH2-SiH3)2이거나;
· 전구체는 Si(헥실)3N(SiH2-CH2-SiH3)2이거나;
· 전구체는 SiHMe2N(SiH2-CH2-SiH3)2이거나;
· 전구체는 SiHEt2N(SiH2-CH2-SiH3)2이거나;
· 전구체는 SiH(iPr)2N(SiH2-CH2-SiH3)2이거나;
· 전구체는 SiH(nPr)2N(SiH2-CH2-SiH3)2이거나;
· 전구체는 SiH(Bu)2N(SiH2-CH2-SiH3)2이거나;
· 전구체는 SiH(iBu)2N(SiH2-CH2-SiH3)2이거나;
· 전구체는 SiH(tBu)2N(SiH2-CH2-SiH3)2이거나;
· 전구체는 SiH(아밀)2N(SiH2-CH2-SiH3)2이거나;
· 전구체는 SiH(헥실)2N(SiH2-CH2-SiH3)2이거나;
· 전구체는 SiH2MeN(SiH2-CH2-SiH3)2이거나;
· 전구체는 SiH2EtN(SiH2-CH2-SiH3)2이거나;
· 전구체는 SiH2(iPr)N(SiH2-CH2-SiH3)2이거나;
· 전구체는 SiH2(nPr)N(SiH2-CH2-SiH3)2이거나;
· 전구체는 SiH2(Bu)N(SiH2-CH2-SiH3)2이거나;
· 전구체는 SiH2(iBu)N(SiH2-CH2-SiH3)2이거나;
· 전구체는 SiH2(tBu)N(SiH2-CH2-SiH3)2이거나;
· 전구체는 SiH2(아밀)N(SiH2-CH2-SiH3)2이거나;
· 전구체는 SiH2(헥실)N(SiH2-CH2-SiH3)2이거나;
· 전구체는 (SiH3-CH2-CH2-SiH2)N(SiH2-CH2-SiH3)2이거나;
· 전구체는 (SiMe3-CH2-SiMe2)N(SiH2-CH2-SiH3)2이거나;
· 전구체는 (SiMe3-CH2-CH2-SiMe2)N(SiH2-CH2-SiH3)2이거나;
· 전구체는 (SiEt3-CH2-SiEt2)N(SiH2-CH2-SiH3)2이거나;
· 전구체는 (SiEt3-CH2-CH2-SiEt2)N(SiH2-CH2-SiH3)2이거나;
· 전구체는 (SiH2NMe2)N(SiH2-CH2-SiH3)2이거나;
· 전구체는 (SiH2NEt2)N(SiH2-CH2-SiH3)2이거나;
· 전구체는 (SiH2NMeEt)N(SiH2-CH2-SiH3)2이거나;
· 전구체는 (SiH(NMe2)2)N(SiH2-CH2-SiH3)2이거나;
· 전구체는 (SiH(NEt2)2)N(SiH2-CH2-SiH3)2이거나;
· R1, R2, R3 및 R4는 H이고, R은 H, CuH2u+1 또는 SivH2v-1이며, 여기서 u는 1~6이고, v는 1~4이거나;
· R2, R3, R4 및 R5는 H이고, R은 H, CuH2u+1 또는 SivH2v-1이며, 여기서 u는 1~6이고, v는 1~4이거나;
· 전구체는 RN(SiH2-CH2-SiH2(CH2=CH))2이거나;
· 전구체는 RN(SiH2-CH2-SiH2(CH2=CH-CH2))2이거나;
· 전구체는 RN(SiH2-CH2-SiH2(NH2))2이거나;
· 전구체는 RN(SiH2-CH2-SiH2(NMe2))2이거나;
· 전구체는 RN(SiH2-CH2-SiH2(NMeEt))2이거나;
· 전구체는 RN(SiH2-CH2-SiH2(NEt2))2이거나;
· 전구체는 RN(SiH2-CH2-SiH2(NnPr2))2이거나;
· 전구체는 RN(SiH2-CH2-SiH2(NiPr2))2이거나;
· 전구체는 RN(SiH2-CH2-SiH2(NBu2))2이거나;
· 전구체는 RN(SiH2-CH2-SiH2(NiBu2))2이거나;
· 전구체는 RN(SiH2-CH2-SiH2(NtBu2))2이거나;
· 전구체는 RN(SiH2-CH2-SiH2(NAm2))2이거나;
· 전구체는 RN(SiH2-CH2-SiH2(NCy펜틸2))2이거나;
· 전구체는 RN(SiH2-CH2-SiH2(N헥실2))2이거나;
· 전구체는 RN(SiH2-CH2-SiH2(NCyHex2))2이거나;
· 전구체는 RN(SiH2-CH2-SiH2(NMeH))2이거나;
· 전구체는 RN(SiH2-CH2-SiH2(NEtH))2이거나;
· 전구체는 RN(SiH2-CH2-SiH2(NnPrH))2이거나;
· 전구체는 RN(SiH2-CH2-SiH2(NiPrH))2이거나;
· 전구체는 RN(SiH2-CH2-SiH2(NBuH))2이거나;
· 전구체는 RN(SiH2-CH2-SiH2(NiBuH))2이거나;
· 전구체는 RN(SiH2-CH2-SiH2(NtBuH))2이거나;
· 전구체는 RN(SiH2-CH2-SiH2(NAmH))2이거나;
· 전구체는 RN(SiH2-CH2-SiH2(피리딘))2이거나;
· 전구체는 RN(SiH2-CH2-SiH2(피롤))2이거나;
· 전구체는 RN(SiH2-CH2-SiH2(피롤리딘))2이거나;
· 전구체는 RN(SiH2-CH2-SiH2(이미다졸))2이거나;
· 전구체는 RN(SiH2-CH2-SiH2(피페리딘))2이거나;
· 전구체는 RN(SiH2-CH2-SiH2(피리미딘))2이거나;
· R1, R2 및 R3은 H이고, R은 H, CuH2u+1 또는 SivH2v-1이며, 여기서 u는 1~6이고, v는 1~4이거나;
· R2, R3 및 R4는 H이고, R은 H, CuH2u+1 또는 SivH2v-1이며, 여기서 u는 1~6이고, v는 1~4이거나;
· 전구체는 RN(SiH(CH2=CH)-CH2-SiH2(CH2=CH))2이거나;
· 전구체는 RN(SiH(CH2=CH-CH2)-CH2-SiH2(CH2=CH-CH2))2이거나;
· 전구체는 RN(SiH(NH2)-CH2-SiH2(NH2))2이거나;
· 전구체는 RN(SiH(NMe2)-CH2-SiH2(NMe2))2이거나;
· 전구체는 RN(SiH(NMeEt)-CH2-SiH2(NMeEt))2이거나;
· 전구체는 RN(SiH(NEt2)-CH2-SiH2(NEt2))2이거나;
· 전구체는 RN(SiH(NnPr2)-CH2-SiH2(NnPr2))2이거나;
· 전구체는 RN(SiH(NiPr2)-CH2-SiH2(NiPr2))2이거나;
· 전구체는 RN(SiH(NBu2)-CH2-SiH2(NBu2))2이거나;
· 전구체는 RN(SiH(NiBu2)-CH2-SiH2(NiBu2))2이거나;
· 전구체는 RN(SiH(NtBu2)-CH2-SiH2(NtBu2))2이거나;
· 전구체는 RN(SiH(NAm2)-CH2-SiH2(NAm2))2이거나;
· 전구체는 RN(SiH(NCy펜틸2)-CH2-SiH2(NCy펜틸2))2이거나;
· 전구체는 RN(SiH(N헥실2)-CH2-SiH2(N헥실2))2이거나;
· 전구체는 RN(SiH(NCyHex2)-CH2-SiH2(NCyHex2))2이거나;
· 전구체는 RN(SiH(NMeH)-CH2-SiH2(NMeH))2이거나;
· 전구체는 RN(SiH(NEtH)-CH2-SiH2(NEtH))2이거나;
· 전구체는 RN(SiH(NnPrH)-CH2-SiH2(NnPrH))2이거나;
· 전구체는 RN(SiH(NiPrH)-CH2-SiH2(NiPrH))2이거나;
· 전구체는 RN(SiH(NBuH)-CH2-SiH2(NBuH))2이거나;
· 전구체는 RN(SiH(NiBuH)-CH2-SiH2(NiBuH))2이거나;
· 전구체는 RN(SiH(NtBuH)-CH2-SiH2(NtBuH))2이거나;
· 전구체는 RN(SiH(NAmH)-CH2-SiH2(NAmH))2이거나;
· 전구체는 RN(SiH(피리딘)-CH2-SiH2(피리딘))2이거나;
· 전구체는 RN(SiH(피롤)-CH2-SiH2(피롤))2이거나;
· 전구체는 RN(SiH(피롤리딘)-CH2-SiH2(피롤리딘))2이거나;
· 전구체는 RN(SiH(이미다졸)-CH2-SiH2(이미다졸))2이거나;
· 전구체는 RN(SiH(피페리딘)-CH2-SiH2(피페리딘))2이거나;
· 전구체는 RN(SiH(피리미딘)-CH2-SiH2(피리미딘))2이거나;
· R3, R4 및 R5는 H이고, R은 H, CuH2u+1 또는 SivH2v-1이며, 여기서 u는 1~6이고, v는 1~4이거나;
· 전구체는 RN(SiH2-CH2-SiH(CH2=CH)2)2이거나;
· 전구체는 RN(SiH2-CH2-SiH(CH2=CH-CH2)2)2이거나;
· 전구체는 RN(SiH2-CH2-SiH(NH2)2)2이거나;
· 전구체는 RN(SiH2-CH2-SiH(NMe2)2)2이거나;
· 전구체는 RN(SiH2-CH2-SiH(NMeEt)2)2이거나;
· 전구체는 RN(SiH2-CH2-SiH(NEt2)2)2이거나;
· 전구체는 RN(SiH2-CH2-SiH(NnPr2)2)2이거나;
· 전구체는 RN(SiH2-CH2-SiH(NiPr2)2)2이거나;
· 전구체는 RN(SiH2-CH2-SiH(NBu2)2)2이거나;
· 전구체는 RN(SiH2-CH2-SiH(NiBu2)2)2이거나;
· 전구체는 RN(SiH2-CH2-SiH(NtBu2)2)2이거나;
· 전구체는 RN(SiH2-CH2-SiH(NAm2)2)2이거나;
· 전구체는 RN(SiH2-CH2-SiH(NCy펜틸2)2)2이거나;
· 전구체는 RN(SiH2-CH2-SiH(N헥실2)2)2이거나;
· 전구체는 RN(SiH2-CH2-SiH(NCyHex2)2)2이거나;
· 전구체는 RN(SiH2-CH2-SiH(NMeH)2)2이거나;
· 전구체는 RN(SiH2-CH2-SiH(NEtH)2)2이거나;
· 전구체는 RN(SiH2-CH2-SiH(NnPrH)2)2이거나;
· 전구체는 RN(SiH2-CH2-SiH(NiPrH)2)2이거나;
· 전구체는 RN(SiH2-CH2-SiH(NBuH)2)2이거나;
· 전구체는 RN(SiH2-CH2-SiH(NiBuH)2)2이거나;
· 전구체는 RN(SiH2-CH2-SiH(NtBuH)2)2이거나;
· 전구체는 RN(SiH2-CH2-SiH(NAmH)2)2이거나;
· 전구체는 RN(SiH2-CH2-SiH(피리딘)2)2이거나;
· 전구체는 RN(SiH2-CH2-SiH(피롤)2)2이거나;
· 전구체는 RN(SiH2-CH2-SiH(피롤리딘)2)2이거나;
· 전구체는 RN(SiH2-CH2-SiH(이미다졸)2)2이거나;
· 전구체는 RN(SiH2-CH2-SiH(피페리딘)2)2이거나;
· 전구체는 RN(SiH2-CH2-SiH(피리미딘)2)2이거나;
· R4 및 R5는 H이고, R은 H, CuH2u+1 또는 SivH2v-1이며, 여기서 u는 1~6이고, v는 1~4이거나;
· 전구체는 RN(SiH2-CH2-Si(CH2=CH)3)2이거나;
· 전구체는 RN(SiH2-CH2-Si(CH2=CH-CH2)3)2이거나;
· 전구체는 RN(SiH2-CH2-Si(NH2)3)2이거나;
· 전구체는 RN(SiH2-CH2-Si(NMe2)3)2이거나;
· 전구체는 RN(SiH2-CH2-Si(NMeEt)3)2이거나;
· 전구체는 RN(SiH2-CH2-Si(NEt2)3)2이거나;
· 전구체는 RN(SiH2-CH2-Si(NnPr2)3)2이거나;
· 전구체는 RN(SiH2-CH2-Si(NiPr2)3)2이거나;
· 전구체는 RN(SiH2-CH2-Si(NBu2)3)2이거나;
· 전구체는 RN(SiH2-CH2-Si(NiBu2)3)2이거나;
· 전구체는 RN(SiH2-CH2-Si(NtBu2)3)2이거나;
· 전구체는 RN(SiH2-CH2-Si(NAm2)3)2이거나;
· 전구체는 RN(SiH2-CH2-Si(NCy펜틸2)3)2이거나;
· 전구체는 RN(SiH2-CH2-Si(N헥실2)3)2이거나;
· 전구체는 RN(SiH2-CH2-Si(NCyHex2)3)2이거나;
· 전구체는 RN(SiH2-CH2-Si(NMeH)3)2이거나;
· 전구체는 RN(SiH2-CH2-Si(NEtH)3)2이거나;
· 전구체는 RN(SiH2-CH2-Si(NnPrH)3)2이거나;
· 전구체는 RN(SiH2-CH2-Si(NiPrH)3)2이거나;
· 전구체는 RN(SiH2-CH2-Si(NBuH)3)2이거나;
· 전구체는 RN(SiH2-CH2-Si(NiBuH)3)2이거나;
· 전구체는 RN(SiH2-CH2-Si(NtBuH)3)2이거나;
· 전구체는 RN(SiH2-CH2-Si(NAmH)3)2이거나;
· 전구체는 RN(SiH2-CH2-Si(피리딘)3)2이거나;
· 전구체는 RN(SiH2-CH2-Si(피롤)3)2이거나;
· 전구체는 RN(SiH2-CH2-Si(피롤리딘)3)2이거나;
· 전구체는 RN(SiH2-CH2-Si(이미다졸)3)2이거나;
· 전구체는 RN(SiH2-CH2-Si(피페리딘)3)2이거나;
· 전구체는 RN(SiH2-CH2-Si(피리미딘)3)2이거나;
· a는 1이고, m은 2이거나;
· 화학식 I은 RN(SiR4R5(CH2)2SiR1R2R3)2이거나;
· R, R1, R2, R3, R4 및 R5는 H이거나;
· 전구체는 HN(SiH2-CH2-CH2-SiH3)2이거나;
· R1, R2, R3, R4 및 R5는 H이고, R은 SixH2x+1(x는 1 내지 4임)이거나;
· 전구체는 SiH3N(SiH2-CH2-CH2-SiH3)2이거나;
· 전구체는 Si2H5N(SiH2-CH2-CH2-SiH3)2이거나;
· 전구체는 Si3H7N(SiH2-CH2-CH2-SiH3)2이거나;
· 전구체는 Si4H9N(SiH2-CH2-CH2-SiH3)2이거나;
· R1, R2, R3, R4 및 R5는 H이고, R은 CyH2y+1(y는 1 내지 6임)이거나;
· 전구체는 (Me)N(SiH2-CH2-CH2-SiH3)2이거나;
· 전구체는 (Et)N(SiH2-CH2-CH2-SiH3)2이거나;
· 전구체는 (nPr)N(SiH2-CH2-CH2-SiH3)2이거나;
· 전구체는 (iPr)N(SiH2-CH2-CH2-SiH3)2이거나;
· 전구체는 (Bu)N(SiH2-CH2-CH2-SiH3)2이거나;
· 전구체는 (iBu)N(SiH2-CH2-CH2-SiH3)2이거나;
· 전구체는 (tBu)N(SiH2-CH2-CH2-SiH3)2이거나;
· 전구체는 (아밀)N(SiH2-CH2-CH2-SiH3)2이거나;
· 전구체는 (헥실)N(SiH2-CH2-CH2-SiH3)2이거나;
· 전구체는 (SiMe3)N(SiH2-CH2-CH2-SiH3)2이거나;
· 전구체는 (SiEt3)N(SiH2-CH2-CH2-SiH3)2이거나;
· 전구체는 Si(iPr)3N(SiH2-CH2-CH2-SiH3)2이거나;
· 전구체는 Si(nPr)3N(SiH2-CH2-CH2-SiH3)2이거나;
· 전구체는 Si(Bu)3N(SiH2-CH2-CH2-SiH3)2이거나;
· 전구체는 Si(iBu)3N(SiH2-CH2-CH2-SiH3)2이거나;
· 전구체는 Si(tBu)3N(SiH2-CH2-CH2-SiH3)2이거나;
· 전구체는 Si(아밀)3N(SiH2-CH2-CH2-SiH3)2이거나;
· 전구체는 Si(헥실)3N(SiH2-CH2-CH2-SiH3)2이거나;
· 전구체는 (SiHMe2)N(SiH2-CH2-CH2-SiH3)2이거나;
· 전구체는 (SiHEt2)N(SiH2-CH2-CH2-SiH3)2이거나;
· 전구체는 SiH(iPr)2N(SiH2-CH2-CH2-SiH3)2이거나;
· 전구체는 SiH(nPr)2N(SiH2-CH2-CH2-SiH3)2이거나;
· 전구체는 SiH(Bu)2N(SiH2-CH2-CH2-SiH3)2이거나;
· 전구체는 SiH(iBu)2N(SiH2-CH2-CH2-SiH3)2이거나;
· 전구체는 SiH(tBu)2N(SiH2-CH2-CH2-SiH3)2이거나;
· 전구체는 SiH(아밀)2N(SiH2-CH2-CH2-SiH3)2이거나;
· 전구체는 SiH(헥실)2N(SiH2-CH2-CH2-SiH3)2이거나;
· 전구체는 (SiH2Me2)N(SiH2-CH2-CH2-SiH3)2이거나;
· 전구체는 (SiH2Et2)N(SiH2-CH2-CH2-SiH3)2이거나;
· 전구체는 SiH2(iPr)N(SiH2-CH2-CH2-SiH3)2이거나;
· 전구체는 SiH2(nPr)N(SiH2-CH2-CH2-SiH3)2이거나;
· 전구체는 SiH2(Bu)N(SiH2-CH2-CH2-SiH3)2이거나;
· 전구체는 SiH2(iBu)N(SiH2-CH2-CH2-SiH3)2이거나;
· 전구체는 SiH2(tBu)N(SiH2-CH2-CH2-SiH3)2이거나;
· 전구체는 SiH2(아밀)N(SiH2-CH2-CH2-SiH3)2이거나;
· 전구체는 SiH2(헥실)N(SiH2-CH2-CH2-SiH3)2이거나;
· 전구체는 (SiH3-CH2-SiH2)N(SiH2-CH2-CH2-SiH3)2이거나;
· 전구체는 (SiMe3-CH2-SiMe2)N(SiH2-CH2-CH2-SiH3)2이거나;
· 전구체는 (SiMe3-CH2-CH2-SiMe2)N(SiH2-CH2-CH2-SiH3)2이거나;
· 전구체는 (SiEt3-CH2-SiEt2)N(SiH2-CH2-CH2-SiH3)2이거나;
· 전구체는 (SiEt3-CH2-CH2-SiEt2)N(SiH2-CH2-CH2-SiH3)2이거나;
· 전구체는 (SiH2NMe2)N(SiH2-CH2-CH2-SiH3))2이거나;
· 전구체는 (SiH2NEt2)N(SiH2-CH2-CH2-SiH3)2이거나;
· 전구체는 (SiH2NiPr2)N(SiH2-CH2-CH2-SiH3)2이거나;
· 전구체는 (SiH2NnPr2)N(SiH2-CH2-CH2-SiH3)2이거나;
· 전구체는 (SiH2NMeEt)N(SiH2-CH2-CH2-SiH3)2이거나;
· 전구체는 (SiH2피페리딘)N(SiH2-CH2-CH2-SiH3)2이거나;
· 전구체는 (SiH2피롤리딘)N(SiH2-CH2-CH2-SiH3)2이거나;
· 전구체는 (SiH2피롤)N(SiH2-CH2-CH2-SiH3)2이거나;
· 전구체는 (SiH2이미다졸)N(SiH2-CH2-CH2-SiH3)2이거나;
· 전구체는 (SiH2피리미딘)N(SiH2-CH2-CH2-SiH3)2이거나;
· 전구체는 (SiH(NMe2)2)N(SiH2-CH2-CH2-SiH3)2이거나;
· 전구체는 SiH(NEt2)2)N(SiH2-CH2-CH2-SiH3)2이거나;
· R1, R2, R3 및 R4는 H이고, R은 H, CuH2u+1 또는 SivH2v-1이며, 여기서 u는 1~6이고, v는 1~4이거나;
· R2, R3, R4 및 R5는 H이고 R은 H, CuH2u+1 또는 SivH2v-1이며, 여기서 u는 1~6이고, v는 1~4이거나;
· 전구체는 RN(SiH2-CH2-CH2-SiH2(CH2=CH))2이거나;
· 전구체는 RN(SiH2-CH2-CH2-SiH2(CH2=CH-CH2))2이거나;
· 전구체는 RN(SiH2-CH2-CH2-SiH2(NH2))2이거나;
· 전구체는 RN(SiH2-CH2-CH2-SiH2(NMe2))2이거나;
· 전구체는 RN(SiH2-CH2-CH2-SiH2(NMeEt))2이거나;
· 전구체는 RN(SiH2-CH2-CH2-SiH2(NEt2))2이거나;
· 전구체는 RN(SiH2-CH2-CH2-SiH2(NnPr2))2이거나;
· 전구체는 RN(SiH2-CH2-CH2-SiH2(NiPr2))2이거나;
· 전구체는 RN(SiH2-CH2-CH2-SiH2(NBu2))2이거나;
· 전구체는 RN(SiH2-CH2-CH2-SiH2(NiBu2))2이거나;
· 전구체는 RN(SiH2-CH2-CH2-SiH2(NtBu2))2이거나;
· 전구체는 RN(SiH2-CH2-CH2-SiH2(NAm2))2이거나;
· 전구체는 RN(SiH2-CH2-CH2-SiH2(NCy펜틸2))2이거나;
· 전구체는 RN(SiH2-CH2-CH2-SiH2(N헥실2))2이거나;
· 전구체는 RN(SiH2-CH2-CH2-SiH2(NCyHex2))2이거나;
· 전구체는 RN(SiH2-CH2-CH2-SiH2(NMeH))2이거나;
· 전구체는 RN(SiH2-CH2-CH2-SiH2(NEtH))2이거나;
· 전구체는 RN(SiH2-CH2-CH2-SiH2(NnPrH))2이거나;
· 전구체는 RN(SiH2-CH2-CH2-SiH2(NiPrH))2이거나;
· 전구체는 RN(SiH2-CH2-CH2-SiH2(NBuH))2이거나;
· 전구체는 RN(SiH2-CH2-CH2-SiH2(NiBuH))2이거나;
· 전구체는 RN(SiH2-CH2-CH2-SiH2(NtBuH))2이거나;
· 전구체는 RN(SiH2-CH2-CH2-SiH2(NAmH))2이거나;
· 전구체는 RN(SiH2-CH2-CH2-SiH2(피리딘))2이거나;
· 전구체는 RN(SiH2-CH2-CH2-SiH2(피롤))2이거나;
· 전구체는 RN(SiH2-CH2-CH2-SiH2(피롤리딘))2이거나;
· 전구체는 RN(SiH2-CH2-CH2-SiH2(이미다졸))2이거나;
· 전구체는 RN(SiH2-CH2-CH2-SiH2(피페리딘))2이거나;
· 전구체는 RN(SiH2-CH2-CH2-SiH2(피리미딘))2이거나;
· R1, R2 및 R3은 H이고, R은 H, CuH2u+1 또는 SivH2v-1이며, 여기서 u는 1~6이고, v는 1~4이거나;
· R2, R3 및 R4는 H이고, R은 H, CuH2u+1 또는 SivH2v-1이며, 여기서 u는 1~6이고, v는 1~4이거나;
· 전구체는 RN(SiH(CH2=CH)-CH2-CH2-SiH2(CH2=CH))2이거나;
· 전구체는 RN(SiH(CH2=CH-CH2)-CH2-CH2-SiH2(CH2=CH-CH2))2이거나;
· 전구체는 RN(SiH(NH2)-CH2-CH2-SiH2(NH2))2이거나;
· 전구체는 RN(SiH(NMe2)-CH2-CH2-SiH2(NMe2))2이거나;
· 전구체는 RN(SiH(NMeEt)-CH2-CH2-SiH2(NMeEt))2이거나;
· 전구체는 RN(SiH(NEt2)-CH2-CH2-SiH2(NEt2))2이거나;
· 전구체는 RN(SiH(NnPr2)-CH2-CH2-SiH2(NnPr2))2이거나;
· 전구체는 RN(SiH(NiPr2)-CH2-CH2-SiH2(NiPr2))2이거나;
· 전구체는 RN(SiH(NBu2)-CH2-CH2-SiH2(NBu2))2이거나;
· 전구체는 RN(SiH(NiBu2)-CH2-CH2-SiH2(NiBu2))2이거나;
· 전구체는 RN(SiH(NtBu2)-CH2-CH2-SiH2(NtBu2))2이거나;
· 전구체는 RN(SiH(NAm2)-CH2-CH2-SiH2(NAm2))2이거나;
· 전구체는 RN(SiH(NCy펜틸2)-CH2-CH2-SiH2(NCy펜틸2))2이거나;
· 전구체는 RN(SiH(N헥실2)-CH2-CH2-SiH2(N헥실2))2이거나;
· 전구체는 RN(SiH(NCyHex2)-CH2-CH2-SiH2(NCyHex2))2이거나;
· 전구체는 RN(SiH(NMeH)-CH2-CH2-SiH2(NMeH))2이거나;
· 전구체는 RN(SiH(NEtH)-CH2-CH2-SiH2(NEtH))2이거나;
· 전구체는 RN(SiH(NnPrH)-CH2-CH2-SiH2(NnPrH))2이거나;
· 전구체는 RN(SiH(NiPrH)-CH2-CH2-SiH2(NiPrH))2이거나;
· 전구체는 RN(SiH(NBuH)-CH2-CH2-SiH2(NBuH))2이거나;
· 전구체는 RN(SiH(NiBuH)-CH2-CH2-SiH2(NiBuH))2이거나;
· 전구체는 RN(SiH(NtBuH)-CH2-CH2-SiH2(NtBuH))2이거나;
· 전구체는 RN(SiH(NAmH)-CH2-CH2-SiH2(NAmH))2이거나;
· 전구체는 RN(SiH(피리딘)-CH2-CH2-SiH2(피리딘))2이거나;
· 전구체는 RN(SiH(피롤)-CH2-CH2-SiH2(피롤))2이거나;
· 전구체는 RN(SiH(피롤리딘)-CH2-CH2-SiH2(피롤리딘))2이거나;
· 전구체는 RN(SiH(이미다졸)-CH2-CH2-SiH2(이미다졸))2이거나;
· 전구체는 RN(SiH(피페리딘)-CH2-CH2-SiH2(피페리딘))2이거나;
· 전구체는 RN(SiH(피리미딘)-CH2-CH2-SiH2(피리미딘))2이거나;
· R3, R4 및 R5는 H이고, R은 H, CuH2u+1 또는 SivH2v-1이며, 여기서 u는 1~6이고, v는 1~4이거나;
· 전구체는 RN(SiH2-CH2-CH2-SiH(CH2=CH)2)2이거나;
· 전구체는 RN(SiH2-CH2-CH2-SiH(CH2=CH-CH2)2)2이거나;
· 전구체는 RN(SiH2-CH2-CH2-SiH(NH2)2)2이거나;
· 전구체는 RN(SiH2-CH2-CH2-SiH(NMe2)2)2이거나;
· 전구체는 RN(SiH2-CH2-CH2-SiH(NMeEt)2)2이거나;
· 전구체는 RN(SiH2-CH2-CH2-SiH(NEt2)2)2이거나;
· 전구체는 RN(SiH2-CH2-CH2-SiH(NnPr2)2)2이거나;
· 전구체는 RN(SiH2-CH2-CH2-SiH(NiPr2)2)2이거나;
· 전구체는 RN(SiH2-CH2-CH2-SiH(NBu2)2)2이거나;
· 전구체는 RN(SiH2-CH2-CH2-SiH(NiBu2)2)2이거나;
· 전구체는 RN(SiH2-CH2-CH2-SiH(NtBu2)2)2이거나;
· 전구체는 RN(SiH2-CH2-CH2-SiH(NAm2)2)2이거나;
· 전구체는 RN(SiH2-CH2-CH2-SiH(NCy펜틸2)2)2이거나;
· 전구체는 RN(SiH2-CH2-CH2-SiH(N헥실2)2)2이거나;
· 전구체는 RN(SiH2-CH2-CH2-SiH(NCyHex2)2)2이거나;
· 전구체는 RN(SiH2-CH2-CH2-SiH(NMeH)2)2이거나;
· 전구체는 RN(SiH2-CH2-CH2-SiH(NEtH)2)2이거나;
· 전구체는 RN(SiH2-CH2-CH2-SiH(NnPrH)2)2이거나;
· 전구체는 RN(SiH2-CH2-CH2-SiH(NiPrH)2)2이거나;
· 전구체는 RN(SiH2-CH2-CH2-SiH(NBuH)2)2이거나;
· 전구체는 RN(SiH2-CH2-CH2-SiH(NiBuH)2)2이거나;
· 전구체는 RN(SiH2-CH2-CH2-SiH(NtBuH)2)2이거나;
· 전구체는 RN(SiH2-CH2-CH2-SiH(NAmH)2)2이거나;
· 전구체는 RN(SiH2-CH2-CH2-SiH(피리딘)2)2이거나;
· 전구체는 RN(SiH2-CH2-CH2-SiH(피롤)2)2이거나;
· 전구체는 RN(SiH2-CH2-CH2-SiH(피롤리딘)2)2이거나;
· 전구체는 RN(SiH2-CH2-CH2-SiH(이미다졸)2)2이거나;
· 전구체는 RN(SiH2-CH2-CH2-SiH(피페리딘)2)2이거나;
· 전구체는 RN(SiH2-CH2-CH2-SiH(피리미딘)2)2이거나;
· R4 및 R5는 H이고, R은 H, CuH2u+1 또는 SivH2v-1이며, 여기서 u는 1~6이고, v는 1~4이거나;
· 전구체는 RN(SiH2-CH2-CH2-Si(CH2=CH)3)2이거나;
· 전구체는 RN(SiH2-CH2-CH2-Si(CH2=CH-CH2)3)2이거나;
· 전구체는 RN(SiH2-CH2-CH2-Si(NH2)3)2이거나;
· 전구체는 RN(SiH2-CH2-CH2-Si(NMe2)3)2이거나;
· 전구체는 RN(SiH2-CH2-CH2-Si(NMeEt)3)2이거나;
· 전구체는 RN(SiH2-CH2-CH2-Si(NEt2)3)2이거나;
· 전구체는 RN(SiH2-CH2-CH2-Si(NnPr2)3)2이거나;
· 전구체는 RN(SiH2-CH2-CH2-Si(NiPr2)3)2이거나;
· 전구체는 RN(SiH2-CH2-CH2-Si(NBu2)3)2이거나;
· 전구체는 RN(SiH2-CH2-CH2-Si(NiBu2)3)2이거나;
· 전구체는 RN(SiH2-CH2-CH2-Si(NtBu2)3)2이거나;
· 전구체는 RN(SiH2-CH2-CH2-Si(NAm2)3)2이거나;
· 전구체는 RN(SiH2-CH2-CH2-Si(NCy펜틸2)3)2이거나;
· 전구체는 RN(SiH2-CH2-CH2-Si(N헥실2)3)2이거나;
· 전구체는 RN(SiH2-CH2-CH2-Si(NCyHex2)3)2이거나;
· 전구체는 RN(SiH2-CH2-CH2-Si(NMeH)3)2이거나;
· 전구체는 RN(SiH2-CH2-CH2-Si(NEtH)3)2이거나;
· 전구체는 RN(SiH2-CH2-CH2-Si(NnPrH)3)2이거나;
· 전구체는 RN(SiH2-CH2-CH2-Si(NiPrH)3)2이거나;
· 전구체는 RN(SiH2-CH2-CH2-Si(NBuH)3)2이거나;
· 전구체는 RN(SiH2-CH2-CH2-Si(NiBuH)3)2이거나;
· 전구체는 RN(SiH2-CH2-CH2-Si(NtBuH)3)2이거나;
· 전구체는 RN(SiH2-CH2-CH2-Si(NAmH)3)2이거나;
· 전구체는 RN(SiH2-CH2-CH2-Si(피리딘)3)2이거나;
· 전구체는 RN(SiH2-CH2-CH2-Si(피롤)3)2이거나;
· 전구체는 RN(SiH2-CH2-CH2-Si(피롤리딘)3)2이거나;
· 전구체는 RN(SiH2-CH2-CH2-Si(이미다졸)3)2이거나;
· 전구체는 RN(SiH2-CH2-CH2-Si(피페리딘)3)2이거나;
· 전구체는 RN(SiH2-CH2-CH2-Si(피리미딘)3)2이거나;
· R2, R3, R4 및 R5 중 적어도 하나는 H이거나;
· R2, R3, R4 및 R5 모두는 H이거나;
· R2, R3, R4 및 R5 중 적어도 하나는 비닐기이거나;
· R은 H이거나;
· t는 1이거나;
· 전구체는 [-NR-R4R5Si-CH2-SiR2R3-]n이거나;
· R, R2, R3, R4 또는 R5 중 적어도 하나는 비닐이거나;
· R, R2, R3, R4 또는 R5 중 적어도 하나는 알릴이거나;
· R, R2, R3, R4 또는 R5 중 적어도 하나는 페닐이거나;
· 전구체는 [-NR-R4R5Si-(CH2)-SiR2R3-]n 유닛 및 [-NR-R4R5Si-(CH2)-SiR1R2R3] 말단 캡(end-cap)을 포함하거나;
· 전구체는 [-NR-R4R5Si-(CH2)-SiR2R3-]n 유닛 및[-NR-R4R5Si-(CH2)-SiR2R3-NR1R2] 말단 캡을 포함하거나;
· R, R2, R3, R4 및 R5는 H이거나;
· 전구체는 [-NH-SiH2-CH2-SiH2-]n이거나;
· R은 SixH2x+1(x는 1 내지 4임)이고, R2, R3, R4 및 R5는 H이거나;
· 전구체는 [-N(SiH3)-SiH2-CH2-SiH2-]n이거나;
· 전구체는 [-N(Si2H5)-SiH2-CH2-SiH2-]n이거나;
· 전구체는 [-N(Si3H7)-SiH2-CH2-SiH2-]n이거나;
· 전구체는 [-N(Si4H9)-SiH2-CH2-SiH2-]n이거나;
· R은 CyH2y+1(y는 1 내지 6임)이고, R2, R3, R4 및 R5는 H이거나;
· 전구체는 [-N(CH3)-SiH2-CH2-SiH2-]n이거나;
· 전구체는 [-N(C2H5)-SiH2-CH2-SiH2-]n이거나;
· 전구체는 [-N(C3H7)-SiH2-CH2-SiH2-]n이거나;
· 전구체는 [-N(C4H9)-SiH2-CH2-SiH2-]n이거나;
· 전구체는 [-N(C5H11)-SiH2-CH2-SiH2-]n이거나;
· 전구체는 [-N(C6H13)-SiH2-CH2-SiH2-]n이거나;
· R2, R3, R4 및 R5는 H이고, R은 R1'R2'R3'Si(CH2)bSiR4'R5'이며, 여기서 b는 1 내지 2이고, R1', R2', R3', R4' 및 R5'는 H 또는 C1~C6 탄화수소이거나;
· 전구체는 [-N(SiH3-CH2-SiH2)-SiH2-CH2-SiH2-]n이거나;
· 전구체는 [-N(SiH3-CH2-CH2-SiH2)-SiH2-CH2-SiH2-]n이거나;
· 전구체는 [-N(SiMe3-CH2-SiMe2)-SiH2-CH2-SiH2-]n이거나;
· 전구체는 [-N(SiMe3-CH2-CH2-SiMe2)-SiH2-CH2-SiH2-]n이거나;
· 전구체는 [-N(SiEt3-CH2-SiEt2)-SiH2-CH2-SiH2-]n이거나;
· 전구체는 [-N(SiEt3-CH2-CH2-SiEt2)-SiH2-CH2-SiH2-]n이거나;
· R2, R3, R4 및 R5는 H이거나;
· 전구체는 [-N(SiMe3)-H2Si-CH2-SiH2-]n이거나;
· 전구체는 [-N(SiEt3)-H2Si-CH2-SiH2-]n이거나;
· 전구체는 [-N(Si(iPr)3-SiH2-CH2-SiH2-]n이거나;
· 전구체는 [-N(Si(nPr)3-SiH2-CH2-SiH2-]n이거나;
· 전구체는 [-N(Si(Bu)3-SiH2-CH2-SiH2-]n이거나;
· 전구체는 [-N(Si(iBu)3-SiH2-CH2-SiH2-]n이거나;
· 전구체는 [-N(Si(tBu)3-SiH2-CH2-SiH2-]n이거나;
· 전구체는 [-N(Si(아밀)3-SiH2-CH2-SiH2-]n이거나;
· 전구체는 [-N(Si(헥실)3-SiH2-CH2-SiH2-]n이거나;
· 전구체는 [-Nx(SiH(Me)2-SiH2-CH2-SiH2-]n이거나;
· 전구체는 [-N(SiH(Et)2-SiH2-CH2-SiH2-]n이거나;
· 전구체는 [-N(SiH(iPr)2-SiH2-CH2-SiH2-]n이거나;
· 전구체는 [-N(SiH(nPr)2-SiH2-CH2-SiH2-]n이거나;
· 전구체는 [-N(SiH(Bu)2-SiH2-CH2-SiH2-]n이거나;
· 전구체는 [-N(SiH(iBu)2-SiH2-CH2-SiH2-]n이거나;
· 전구체는 [-N(SiH(tBu)2-SiH2-CH2-SiH2-]n이거나;
· 전구체는 [-N(SiH(아밀)2-SiH2-CH2-SiH2-]n이거나;
· 전구체는 [-N(SiH(헥실)2-SiH2-CH2-SiH2-]n이거나;
· 전구체는 [-N(SiH2(Me)-SiH2-CH2-SiH2-]n이거나;
· 전구체는 [-N(SiH2(Et)-SiH2-CH2-SiH2-]n이거나;
· 전구체는 [-N(SiH2(iPr)-SiH2-CH2-SiH2-]n이거나;
· 전구체는 [-N(SiH2(nPr)-SiH2-CH2-SiH2-]n이거나;
· 전구체는 [-N(SiH2(Bu)-SiH2-CH2-SiH2-]n이거나;
· 전구체는 [-N(SiH2(iBu)-SiH2-CH2-SiH2-]n이거나;
· 전구체는 [-N(SiH2(tBu)-SiH2-CH2-SiH2-]n이거나;
· 전구체는 [-N(SiH2(아밀)-SiH2-CH2-SiH2-]n이거나;
· 전구체는 [-N(SiH2(헥실)-SiH2-CH2-SiH2-]n이거나;
· 전구체는 [-N(SiH2NMe2)-H2Si-CH2-SiH2-]n이거나;
· 전구체는 [-N(SiH2NEt2)-H2Si-CH2-SiH2-]n이거나;
· 전구체는 [-N(SiH2NiPr2)-SiH2-CH2-SiH2-]n이거나;
· 전구체는 [-N(SiH2NnPr2)-SiH2-CH2-SiH2-]n이거나;
· 전구체는 [-N(SiH2NMeEt)-H2Si-CH2-SiH2-]n이거나;
· 전구체는 [-N(SiH(NMe2)2)-H2Si-CH2-SiH2-]n이거나;
· 전구체는 [-N(SiH(NEt2)2)-H2Si-CH2-SiH2-]n이거나;
· R, R3, R4 및 R5는 H이거나;
· 전구체는 [-NH-H2Si-CH2-SiH(CH2=CH2)-]n이거나;
· 전구체는 [-NH-H2Si-CH2-SiH(CH2-CH2=CH2)-]n이거나;
· 전구체는 [-NH-H2Si-CH2-SiH(NH2)-]n이거나;
· 전구체는 [-NH-H2Si-CH2-SiH(NMe2)-]n이거나;
· 전구체는 [-NH-H2Si-CH2-SiH(NMeEt)-]n이거나;
· 전구체는 [-NH-H2Si-CH2-SiH(NEt2)-]n이거나;
· 전구체는 [-NH-H2Si-CH2-SiH(NnPr2)-]n이거나;
· 전구체는 [-NH-H2Si-CH2-SiH(NiPr2)-]n이거나;
· 전구체는 [-NH-H2Si-CH2-SiH(NBu2)-]n이거나;
· 전구체는 [-NH-H2Si-CH2-SiH(NiBu2)-]n이거나;
· 전구체는 [-NH-H2Si-CH2-SiH(NtBu2)-]n이거나;
· 전구체는 [-NH-H2Si-CH2-SiH(NAm2)-]n이거나;
· 전구체는 [-NH-H2Si-CH2-SiH(NCy펜틸2)-]n이거나;
· 전구체는 [-NH-H2Si-CH2-SiH(N헥실2)-]n이거나;
· 전구체는 [-NH-H2Si-CH2-SiH(NCyHex2)-]n이거나;
· 전구체는 [-NH-H2Si-CH2-SiH(NMeH)-]n이거나;
· 전구체는 [-NH-H2Si-CH2-SiH(NEtH)-]n이거나;
· 전구체는 [-NH-H2Si-CH2-SiH(NnPrH)-]n이거나;
· 전구체는 [-NH-H2Si-CH2-SiH(NiPrH)-]n이거나;
· 전구체는 [-NH-H2Si-CH2-SiH(NBuH)-]n이거나;
· 전구체는 [-NH-H2Si-CH2-SiH(NiBuH)-]n이거나;
· 전구체는 [-NH-H2Si-CH2-SiH(NtBuH)-]n이거나;
· 전구체는 [-NH-H2Si-CH2-SiH(NAmH)-]n이거나;
· 전구체는 [-NH-H2Si-CH2-SiH(피리딘)-]n이거나;
· 전구체는 [-NH-H2Si-CH2-SiH(피롤)-]n이거나;
· 전구체는 [-NH-H2Si-CH2-SiH(피롤리딘)-]n이거나;
· 전구체는 [-NH-H2Si-CH2-SiH(이미다졸)-]n이거나;
· 전구체는 [-NH-H2Si-CH2-SiH(피페리딘)-]n이거나;
· 전구체는 [-NH-H2Si-CH2-SiH(피리미딘)-]n이거나;
· R, R4 및 R5는 H이거나;
· 전구체는 [-NH-H2Si-CH2-Si(CH2=CH2)2-]n이거나;
· 전구체는 [-NH-H2Si-CH2-Si(CH2-CH2=CH2)2-]n이거나;
· 전구체는 [-NH-H2Si-CH2-Si(NH2)2-]n이거나;
· 전구체는 [-NH-H2Si-CH2-Si(NMe2)2-]n이거나;
· 전구체는 [-NH-H2Si-CH2-Si(NMeEt)2-]n이거나;
· 전구체는 [-NH-H2Si-CH2-Si(NEt2)2-]n이거나;
· 전구체는 [-NH-H2Si-CH2-Si(NnPr2)2-]n이거나;
· 전구체는 [-NH-H2Si-CH2-Si(NiPr2)2-]n이거나;
· 전구체는 [-NH-H2Si-CH2-Si(NBu2)2-]n이거나;
· 전구체는 [-NH-H2Si-CH2-Si(NiBu2)2-]n이거나;
· 전구체는 [-NH-H2Si-CH2-Si(NtBu2)2-]n이거나;
· 전구체는 [-NH-H2Si-CH2-Si(NAm2)2-]n이거나;
· 전구체는 [-NH-H2Si-CH2-Si(NCy펜틸2)2-]n이거나;
· 전구체는 [-NH-H2Si-CH2-Si(Si(N헥실2)2-]n이거나;
· 전구체는 [-NH-H2Si-CH2-Si(NCyHex2)2-]n이거나;
· 전구체는 [-NH-H2Si-CH2-Si(NMeH)2-]n이거나;
· 전구체는 [-NH-H2Si-CH2-Si(NEtH)2-]n이거나;
· 전구체는 [-NH-H2Si-CH2-Si(NnPrH)2-]n이거나;
· 전구체는 [-NH-H2Si-CH2-Si(NiPrH)2-]n이거나;
· 전구체는 [-NH-H2Si-CH2-Si(NBuH)2-]n이거나;
· 전구체는 [-NH-H2Si-CH2-Si(NiBuH)2-]n이거나;
· 전구체는 [-NH-H2Si-CH2-Si(NtBuH)2-]n이거나;
· 전구체는 [-NH-H2Si-CH2-Si(NAmH)2-]n이거나;
· 전구체는 [-NH-H2Si-CH2-Si(피리딘)2-]n이거나;
· 전구체는 [-NH-H2Si-CH2-Si(피롤)2-]n이거나;
· 전구체는 [-NH-H2Si-CH2-Si(피롤리딘)2-]n이거나;
· 전구체는 [-NH-H2Si-CH2-Si(이미다졸)2-]n이거나;
· 전구체는 [-NH-H2Si-CH2-Si(피페리딘)2-]n이거나;
· 전구체는 [-NH-H2Si-CH2-Si(피리미딘)2-]n이거나;
· R, R3 및 R5는 H이거나;
· 전구체는 [-NH-SiH(CH2=CH2)-CH2-SiH(CH2=CH2)-]n이거나;
· 전구체는 [-NH-SiH(CH2-CH2=CH2)-CH2-SiH(CH2-CH2=CH2)-]n이거나;
· 전구체는 [-NH-SiH(NH2)-CH2-SiH(NH2)-]n이거나;
· 전구체는 [-NH-SiH(NMe2)-CH2-SiH(NMe2)-]n이거나;
· 전구체는 [-NH-SiH(NMeEt)-CH2-SiH(NMeEt)-]n이거나;
· 전구체는 [-NH-SiH(NEt2)-CH2-SiH(NEt2)-]n이거나;
· 전구체는 [-NH-SiH(NnPr2)-CH2-SiH(NnPr2)-]n이거나;
· 전구체는 [-NH-SiH(NiPr2)-CH2-SiH(NiPr2)-]n이거나;
· 전구체는 [-NH-SiH(NBu2)-CH2-SiH(NBu2)-]n이거나;
· 전구체는 [-NH-SiH(NiBu2)-CH2-SiH(NiBu2)-]n이거나;
· 전구체는 [-NH-SiH(NtBu2)-CH2-SiH(NtBu2)-]n이거나;
· 전구체는 [-NH-SiH(NAm2)-CH2-SiH(NAm2)-]n이거나;
· 전구체는 [-NH-SiH(NCy펜틸2)-CH2-SiH(NCy펜틸2)-]n이거나;
· 전구체는 [-NH-SiH(N헥실2)-CH2-SiH(N헥실2)-]n이거나;
· 전구체는 [-NH-SiH(NCyHex2)-CH2-SiH(NCyHex2)-]n이거나;
· 전구체는 [-NH-SiH(NMeH)-CH2-SiH(NMeH)-]n이거나;
· 전구체는 [-NH-SiH(NEtH)-CH2-SiH(NEtH)-]n이거나;
· 전구체는 [-NH-SiH(NnPrH)-CH2-SiH(NnPrH)-]n이거나;
· 전구체는 [-NH-SiH(NiPrH)-CH2-SiH(NiPrH)-]n이거나;
· 전구체는 [-NH-SiH(NBuH)-CH2-SiH(NBuH)-]n이거나;
· 전구체는 [-NH-SiH(NiBuH)-CH2-SiH(NiBuH)-]n이거나;
· 전구체는 [-NH-SiH(NtBuH)-CH2-SiH(NtBuH)-]n이거나;
· 전구체는 [-NH-SiH(NAmH)-CH2-SiH(NAmH)-]n이거나;
· 전구체는 [-NH-SiH(피리딘)-CH2-SiH(피리딘)-]n이거나;
· 전구체는 [-NH-SiH(피롤)-CH2-SiH(피롤)-]n이거나;
· 전구체는 [-NH-SiH(피롤리딘)-CH2-SiH(피롤리딘)-]n이거나;
· 전구체는 [-NH-SiH(이미다졸)-CH2-SiH(이미다졸)-]n이거나;
· 전구체는 [-NH-SiH(피페리딘)-CH2-SiH(피페리딘)-]n이거나;
· 전구체는 [-NH-SiH(피리미딘)-CH2-SiH(피리미딘)-]n이거나;
· t는 2이거나;
· 전구체는 [-NR-R4R5Si-(CH2)2-SiR2R3-]n이거나;
· 전구체는 [-NR-R4R5Si-(CH2)2-SiR2R3-]n 유닛 및 [-NR-R4R5Si-(CH2)2-SiR1R2R3-] 말단 캡을 포함하거나;
· 전구체는 [-NR-R4R5Si-(CH2)2-SiR2R3-]n 유닛 및 [-NR-R4R5Si-(CH2)2-SiR2R3-NR1R2] 말단 캡을 포함하거나;
· R, R2, R3, R4 및 R5는 H이거나;
· 전구체는 [-NH-SiH2-CH2-CH2-SiH2-]n이거나;
· R은 SixH2x+1(x는 1 내지 4임)이고, R2, R3, R4 및 R5은 H이거나;
· 전구체는 [-N(SiH3)-SiH2-CH2-CH2-SiH2-]n이거나;
· 전구체는 [-N(Si2H5)-SiH2-CH2-CH2-SiH2-]n이거나;
· 전구체는 [-N(Si3H7)-SiH2-CH2-CH2-SiH2-]n이거나;
· 전구체는 [-N(Si4H9)-SiH2-CH2-CH2-SiH2-]n이거나;
· R = CyH2y+1(y는 1 내지 6임)이고, R2, R3, R4 및 R5는 H이거나;
· 전구체는 [-N(CH3)-SiH2-CH2-CH2-SiH2-]n이거나;
· 전구체는 [-N(C2H5)-SiH2-CH2-CH2-SiH2-]n이거나;
· 전구체는 [-N(C3H7)-SiH2-CH2-CH2-SiH2-]n이거나;
· 전구체는 [-N(C4H9)-SiH2-CH2-CH2-SiH2-]n이거나;
· 전구체는 [-N(C5H11)-SiH2-CH2-CH2-SiH2-]n이거나;
· 전구체는 [-N(C6H13)-SiH2-CH2-CH2-SiH2-]n이거나;
· R2, R3, R4 및 R5는 H이거나;
· 전구체는 [-N(SiMe3)-H2Si-CH2-CH2-SiH2-]n이거나;
· 전구체는 [-N(SiEt3)-H2Si-CH2-CH2-SiH2-]n이거나;
· 전구체는 [-N(Si(iPr)3-SiH2-CH2-CH2-SiH2-]n이거나;
· 전구체는 [-N(Si(nPr)3-SiH2-CH2-CH2-SiH2-]n이거나;
· 전구체는 [-N(Si(Bu)3-SiH2-CH2-CH2-SiH2-]n이거나;
· 전구체는 [-N(Si(iBu)3-SiH2-CH2-CH2-SiH2-]n이거나;
· 전구체는 [-N(Si(tBu)3-SiH2-CH2-CH2-SiH2-]n이거나;
· 전구체는 [-N(Si(아밀)3-SiH2-CH2-CH2-SiH2-]n이거나;
· 전구체는 [-N(Si(헥실)3-SiH2-CH2-CH2-SiH2-]n이거나;
· 전구체는 [-Nx(SiH(Me)2-SiH2-CH2-CH2-SiH2-]n이거나;
· 전구체는 [-N(SiH(Et)2-SiH2-CH2-CH2-SiH2-]n이거나;
· 전구체는 [-N(SiH(iPr)2-SiH2-CH2-CH2-SiH2-]n이거나;
· 전구체는 [-N(SiH(nPr)2-SiH2-CH2-CH2-SiH2-]n이거나;
· 전구체는 [-N(SiH(Bu)2-SiH2-CH2-CH2-SiH2-]n이거나;
· 전구체는 [-N(SiH(iBu)2-SiH2-CH2-CH2-SiH2-]n이거나;
· 전구체는 [-N(SiH(tBu)2-SiH2-CH2-CH2-SiH2-]n이거나;
· 전구체는 [-N(SiH(아밀)2-SiH2-CH2-CH2-SiH2-]n이거나;
· 전구체는 [-N(SiH(헥실)2-SiH2-CH2-CH2-SiH2-]n이거나;
· 전구체는 [-N(SiH2(Me)-SiH2-CH2-CH2-SiH2-]n이거나;
· 전구체는 [-N(SiH2(Et)-SiH2-CH2-CH2-SiH2-]n이거나;
· 전구체는 [-N(SiH2(iPr)-SiH2-CH2-CH2-SiH2-]n이거나;
· 전구체는 [-N(SiH2(nPr)-SiH2-CH2-CH2-SiH2-]n이거나;
· 전구체는 [-N(SiH2(Bu)-SiH2-CH2-CH2-SiH2-]n이거나;
· 전구체는 [-N(SiH2(iBu)-SiH2-CH2-CH2-SiH2-]n이거나;
· 전구체는 [-N(SiH2(tBu)-SiH2-CH2-CH2-SiH2-]n이거나;
· 전구체는 [-N(SiH2(아밀)-SiH2-CH2-CH2-SiH2-]n이거나;
· 전구체는 [-N(SiH2(헥실)-SiH2-CH2-CH2-SiH2-]n이거나;
· 전구체는 [-N(SiH2NMe2)-SiH2-CH2-CH2-SiH2-]n이거나;
· 전구체는 [-N(SiH2NEt2)-SiH2-CH2-CH2-SiH2-]n이거나;
· 전구체는 [-N(SiH2NiPr2)-SiH2-CH2-CH2-SiH2-]n이거나;
· 전구체는 [-N(SiH2NnPr2)-SiH2-CH2-CH2-SiH2-]n이거나;
· 전구체는 [-N(SiH2NMeEt)-SiH2-CH2-CH2-SiH2-]n이거나;
· 전구체는 [-N(SiH(NMe2)2)-SiH2-CH2-CH2-SiH2-]n이거나;
· 전구체는 [-N(SiH(NEt2)2)-SiH2-CH2-CH2-SiH2-]n이거나;
· R2, R3, R4 및 R5는 H이고, R은 R1'R2'R3'Si(CH2)bSiR4'R5'이며, 여기서 b는 1 내지 2이고, R1', R2', R3', R4' 및 R5'는 H 또는 C1~C6 탄화수소이거나;
· 전구체는 [-N(SiH3-CH2-SiH2)-SiH2-CH2-CH2-SiH2-]n이거나;
· 전구체는 [-N(SiH3-CH2-CH2-SiH2)-SiH2-CH2-CH2-SiH2-]n이거나;
· 전구체는 [-N(SiMe3-CH2-SiMe2)-SiH2-CH2-CH2-SiH2-]n이거나;
· 전구체는 [-N(SiMe3-CH2-CH2-SiMe2)-SiH2-CH2-CH2-SiH2-]n이거나;
· 전구체는 [-N(SiEt3-CH2-SiEt2)-SiH2-CH2-CH2-SiH2-]n이거나;
· 전구체는 [-N(SiEt3-CH2-CH2-SiEt2)-SiH2-CH2-CH2-SiH2-]n이거나;
· R, R3, R4 및 R5는 H이거나;
· 전구체는 [-NH-H2Si-CH2-CH2-SiH(CH2=CH2)-]n이거나;
· 전구체는 [-NH-H2Si-CH2-CH2-SiH(CH2-CH2=CH2)-]n이거나;
· 전구체는 [-NH-H2Si-CH2-CH2-SiH(NH2)-]n이거나;
· 전구체는 [-NH-H2Si-CH2-CH2-SiH(NMe2)-]n이거나;
· 전구체는 [-NH-H2Si-CH2-CH2-SiH(NMeEt)-]n이거나;
· 전구체는 [-NH-H2Si-CH2-CH2-SiH(NEt2)-]n이거나;
· 전구체는 [-NH-H2Si-CH2-CH2-SiH(NnPr2)-]n이거나;
· 전구체는 [-NH-H2Si-CH2-CH2-SiH(NiPr2)-]n이거나;
· 전구체는 [-NH-H2Si-CH2-CH2-SiH(NBu2)-]n이거나;
· 전구체는 [-NH-H2Si-CH2-CH2-SiH(NiBu2)-]n이거나;
· 전구체는 [-NH-H2Si-CH2-CH2-SiH(NtBu2)-]n이거나;
· 전구체는 [-NH-H2Si-CH2-CH2-SiH(NAm2)-]n이거나;
· 전구체는 [-NH-H2Si-CH2-CH2-SiH(NCy펜틸2)-]n이거나;
· 전구체는 [-NH-H2Si-CH2-CH2-SiH(N헥실2)-]n이거나;
· 전구체는 [-NH-H2Si-CH2-CH2-SiH(NCyHex2)-]n이거나;
· 전구체는 [-NH-H2Si-CH2-CH2-SiH(NMeH)-]n이거나;
· 전구체는 [-NH-H2Si-CH2-CH2-SiH(NEtH)-]n이거나;
· 전구체는 [-NH-H2Si-CH2-CH2-SiH(NnPrH)-]n이거나;
· 전구체는 [-NH-H2Si-CH2-CH2-SiH(NiPrH)-]n이거나;
· 전구체는 [-NH-H2Si-CH2-CH2-SiH(NBuH)-]n이거나;
· 전구체는 [-NH-H2Si-CH2-CH2-SiH(NiBuH)-]n이거나;
· 전구체는 [-NH-H2Si-CH2-CH2-SiH(NtBuH)-]n이거나;
· 전구체는 [-NH-H2Si-CH2-CH2-SiH(NAmH)-]n이거나;
· 전구체는 [-NH-H2Si-CH2-CH2-SiH(피리딘)-]n이거나;
· 전구체는 [-NH-H2Si-CH2-CH2-SiH(피롤)-]n이거나;
· 전구체는 [-NH-H2Si-CH2-CH2-SiH(피롤리딘)-]n이거나;
· 전구체는 [-NH-H2Si-CH2-CH2-SiH(이미다졸)-]n이거나;
· 전구체는 [-NH-H2Si-CH2-CH2-SiH(피페리딘)-]n이거나;
· 전구체는 [-NH-H2Si-CH2-CH2-SiH(피리미딘)-]n이거나;
· R, R4 및 R5는 H이거나;
· 전구체는 [-NH-H2Si-CH2-CH2-Si(CH2=CH2)2-]n이거나;
· 전구체는 [-NH-H2Si-CH2-CH2-Si(CH2-CH2=CH2)2-]n이거나;
· 전구체는 [-NH-H2Si-CH2-CH2-Si(NH2)2-]n이거나;
· 전구체는 [-NH-H2Si-CH2-CH2-Si(NMe2)2-]n이거나;
· 전구체는 [-NH-H2Si-CH2-CH2-Si(NMeEt)2-]n이거나;
· 전구체는 [-NH-H2Si-CH2-CH2-Si(NEt2)2-]n이거나;
· 전구체는 [-NH-H2Si-CH2-CH2-Si(NnPr2)2-]n이거나;
· 전구체는 [-NH-H2Si-CH2-CH2-Si(NiPr2)2-]n이거나;
· 전구체는 [-NH-H2Si-CH2-CH2-Si(NBu2)2-]n이거나;
· 전구체는 [-NH-H2Si-CH2-CH2-Si(NiBu2)2-]n이거나;
· 전구체는 [-NH-H2Si-CH2-CH2-Si(NtBu2)2-]n이거나;
· 전구체는 [-NH-H2Si-CH2-CH2-Si(NAm2)2-]n이거나;
· 전구체는 [-NH-H2Si-CH2-CH2-Si(NCy펜틸2)2-]n이거나;
· 전구체는 [-NH-H2Si-CH2-CH2-Si(Si(N헥실2)2-]n이거나;
· 전구체는 [-NH-H2Si-CH2-CH2-Si(NCyHex2)2-]n이거나;
· 전구체는 [-NH-H2Si-CH2-CH2-Si(NMeH)2-]n이거나;
· 전구체는 [-NH-H2Si-CH2-CH2-Si(NEtH)2-]n이거나;
· 전구체는 [-NH-H2Si-CH2-CH2-Si(NnPrH)2-]n이거나;
· 전구체는 [-NH-H2Si-CH2-CH2-Si(NiPrH)2-]n이거나;
· 전구체는 [-NH-H2Si-CH2-CH2-Si(NBuH)2-]n이거나;
· 전구체는 [-NH-H2Si-CH2-CH2-Si(NiBuH)2-]n이거나;
· 전구체는 [-NH-H2Si-CH2-CH2-Si(NtBuH)2-]n이거나;
· 전구체는 [-NH-H2Si-CH2-CH2-Si(NAmH)2-]n이거나;
· 전구체는 [-NH-H2Si-CH2-CH2-Si(피리딘)2-]n이거나;
· 전구체는 [-NH-H2Si-CH2-CH2-Si(피롤)2-]n이거나;
· 전구체는 [-NH-H2Si-CH2-CH2-Si(피롤리딘)2-]n이거나;
· 전구체는 [-NH-H2Si-CH2-CH2-Si(이미다졸)2-]n이거나;
· 전구체는 [-NH-H2Si-CH2-CH2-Si(피페리딘)2-]n이거나;
· 전구체는 [-NH-H2Si-CH2-CH2-Si(피리미딘)2-]n이거나;
· R, R3 및 R5는 H이거나;
· 전구체는 [-NH-SiH(CH2=CH2)-CH2-CH2-SiH(CH2=CH2)-]n이거나;
· 전구체는 [-NH-SiH(CH2-CH2=CH2)-CH2-CH2-SiH(CH2-CH2=CH2)-]n이거나;
· 전구체는 [-NH-SiH(NH2)-CH2-CH2-SiH(NH2)-]n이거나;
· 전구체는 [-NH-SiH(NMe2)-CH2-CH2-SiH(NMe2)-]n이거나;
· 전구체는 [-NH-SiH(NMeEt)-CH2-CH2-SiH(NMeEt)-]n이거나;
· 전구체는 [-NH-SiH(NEt2)-CH2-CH2-SiH(NEt2)-]n이거나;
· 전구체는 [-NH-SiH(NnPr2)-CH2-CH2-SiH(NnPr2)-]n이거나;
· 전구체는 [-NH-SiH(NiPr2)-CH2-CH2-SiH(NiPr2)-]n이거나;
· 전구체는 [-NH-SiH(NBu2)-CH2-CH2-SiH(NBu2)-]n이거나;
· 전구체는 [-NH-SiH(NiBu2)-CH2-CH2-SiH(NiBu2)-]n이거나;
· 전구체는 [-NH-SiH(NtBu2)-CH2-CH2-SiH(NtBu2)-]n이거나;
· 전구체는 [-NH-SiH(NAm2)-CH2-CH2-SiH(NAm2)-]n이거나;
· 전구체는 [-NH-SiH(NCy펜틸2)-CH2-CH2-SiH(NCy펜틸2)-]n이거나;
· 전구체는 [-NH-SiH(N헥실2)-CH2-CH2-SiH(N헥실2)-]n이거나;
· 전구체는 [-NH-SiH(NCyHex2)-CH2-CH2-SiH(NCyHex2)-]n이거나;
· 전구체는 [-NH-SiH(NMeH)-CH2-CH2-SiH(NMeH)-]n이거나;
· 전구체는 [-NH-SiH(NEtH)-CH2-CH2-SiH(NEtH)-]n이거나;
· 전구체는 [-NH-SiH(NnPrH)-CH2-CH2-SiH(NnPrH)-]n이거나;
· 전구체는 [-NH-SiH(NiPrH)-CH2-CH2-SiH(NiPrH)-]n이거나;
· 전구체는 [-NH-SiH(NBuH)-CH2-CH2-SiH(NBuH)-]n이거나;
· 전구체는 [-NH-SiH(NiBuH)-CH2-CH2-SiH(NiBuH)-]n이거나;
· 전구체는 [-NH-SiH(NtBuH)-CH2-CH2-SiH(NtBuH)-]n이거나;
· 전구체는 [-NH-SiH(NAmH)-CH2-CH2-SiH(NAmH)-]n이거나;
· 전구체는 [-NH-SiH(피리딘)-CH2-CH2-SiH(피리딘)-]n이거나;
· 전구체는 [-NH-SiH(피롤)-CH2-CH2-SiH(피롤)-]n이거나;
· 전구체는 [-NH-SiH(피롤리딘)-CH2-CH2-SiH(피롤리딘)-]n이거나;
· 전구체는 [-NH-SiH(이미다졸)-CH2-CH2-SiH(이미다졸)-]n이거나;
· 전구체는 [-NH-SiH(피페리딘)-CH2-CH2-SiH(피페리딘)-]n이거나;
· 전구체는 [-NH-SiH(피리미딘)-CH2-CH2-SiH(피리미딘)-]n이거나;
· Si-함유 막 형성 조성물은 대략 0.1몰% 내지 대략 50몰%의 전구체를 포함하거나;
· Si-함유 막 형성 조성물은 대략 93%(w/w) 내지 대략 100%(w/w)의 전구체를 포함하거나;
· Si-함유 막 형성 조성물은 대략 99%(w/w) 내지 대략 100%(w/w)의 전구체를 포함하거나;
· Si-함유 막 형성 조성물은 대략 0 ppmw 내지 200 ppmw의 Cl을 포함하거나;
· Si-함유 막 형성 조성물은 대략 0 ppmw 내지 50 ppmw의 Cl을 포함하거나;
· 용매를 추가로 포함하거나;
· 용매는 C1~C16 탄화수소, THF, DMO, 에테르, 피리딘, 케톤, 에스테르 및 이들의 조합으로 이루어진 군으로부터 선택되거나;
· 용매는 C1~C16 포화 또는 불포화 탄화수소이거나;
· 용매는 테트라하이드로푸란(THF)이거나;
· 용매는 옥살산디메틸(DMO)이거나;
· 용매는 에테르이거나;
· 용매는 피리딘이거나;
· 용매는 메틸이소부틸케톤이거나;
· 용매는 사이클로헥사논이거나;
· 용매는 에탄올이거나;
· 용매는 이소프로판올이거나;
· 촉매 또는 라디칼 발생제를 추가로 포함하거나;
· 라디칼 발생제는 페논, 퀴닌 또는 메탈로센과 같은 광개시제이거나;
· 과산화물 또는 -N=N- 유닛을 포함하는 아조 화합물과 같은 열적 라디칼 개시제를 추가로 포함하거나;
· Si-함유 막 형성 조성물은 대략 0 ppmw 내지 100 ppb의 금속 각각을 포함하며, 이때 상기 각각의 금속은 알칼리 금속, 알칼리 토금속, Al 및 전이 금속으로부터 선택된다(국제 순수/응용 화학 연합(IUPAC)에 의해 정의된 바와 같음).
기판 상에 Si 함유층을 증착하는 방법이 또한 개시되어 있다. 상기에 개시되지만 바람직하게는 화학식 I을 갖는 임의의 Si-함유 막 형성 조성물의 증기가, 내부에 배치된 기판을 구비한 반응기 내에 도입된다. 기상 증착 방법을 이용하여 전구체의 적어도 일부분은 기판 상에 증착되어 Si 함유층을 형성한다. 개시된 방법은 하나 이상의 하기 양태를 포함할 수 있다:
· 제2 전구체를 포함하는 증기를 반응기 내로 도입하거나;
· 제2 전구체는 2족, 13족, 14족, 전이 금속, 란탄족 원소(lanthanide) 및 이들의 조합으로 이루어진 군으로부터 선택된 원소를 포함하거나;
· 제2 전구체의 원소는 B, Zr, Hf, Ti, Nb, V, Ta, Al, Si, Ge로부터 선택되거나;
· 공반응물(co-reactant)을 반응기 내로 도입하거나;
· 공반응물은 O2, O3, H2O, H2O2, NO, NO2, 카복실산, 알코올, 에탄올아민, 이들의 라디칼 및 이들의 조합으로 이루어진 군으로부터 선택되거나;
· 공반응물은 플라즈마 처리 산소이거나;
· 공반응물은 오존이거나;
· Si 함유층은 산화규소 함유층이거나;
· 공반응물은 H2, NH3, (SiH3)3N, 하이드리도실란(예를 들어, SiH4, Si2H6, Si3H8, Si4H10, Si5H10, Si6H12), 클로로실란 및 클로로폴리실란(예를 들어, SiHCl3, SiH2Cl2, SiH3Cl, Si2Cl6, Si2HCl5, Si3Cl8), 아킬실란(예를 들어, Me2SiH2, Et2SiH2, MeSiH3, EtSiH3), 히드라진(예를 들어, N2H4, MeHNNH2, MeHNNHMe), 유기 아민(예를 들어, NMeH2, NEtH2, NMe2H, NEt2H, NMe3, NEt3, (SiMe3)2NH), 디아민(예를 들어, 에틸렌디아민, 디메틸에틸렌디아민, 테트라메틸에틸렌디아민), 피라졸린, 피리딘, B-함유 분자(예를 들어, B2H6, 트리메틸보론, 트리에틸보론, 보라진(borazine), 치환된 보라진, 디알킬아미노보란), 알킬 금속(예를 들어, 트리메틸알루미늄, 트리에틸알루미늄, 디메틸아연, 디에틸아연), 이들의 라디칼 종 및 이들의 혼합물로 이루어진 군으로부터 선택되거나;
· 공반응물은 H2, NH3, SiH4, Si2H6, Si3H8, SiH2Me2, SiH2Et2, N(SiH3)3, 이들의 수소 라디칼 및 이들의 혼합물로 이루어진 군으로부터 선택되거나;
· 공반응물은 HCDS 또는 PCDS이거나;
· 공반응물은 포화 또는 불포화의 선형, 분지형 또는 환형 탄화수소이거나;
· 공반응물은 에틸렌이거나;
· 공반응물은 아세틸렌이거나;
· 공반응물은 프로필렌이거나;
· 공반응물은 이소프렌이거나;
· 공반응물은 사이클로헥산이거나;
· 공반응물은 사이클로헥센이거나;
· 공반응물은 사이클로헥사디엔이거나;
· 공반응물은 펜텐이거나;
· 공반응물은 펜틴이거나;
· 공반응물은 사이클로펜탄이거나;
· 공반응물은 부타디엔이거나;
· 공반응물은 사이클로부탄이거나;
· 공반응물은 테르피넨이거나;
· 공반응물은 옥탄이거나;
· 공반응물은 옥텐이거나;
· 기상 증착 공정은 화학적 기상 증착 공정이거나;
· 기상 증착 공정은 원자층 증착(ALD) 공정이거나;
· 기상 증착 공정은 공간적 ALD 공정이거나;
· 기상 증착 공정은 유동성 화학적 기상 증착 공정(F-CVD)이거나;
· 실리콘 함유층은 SiO2이거나;
· 실리콘 함유층은 SiC이거나;
· 실리콘 함유층은 SiN이거나;
· 실리콘 함유층은 SiON이거나;
· 실리콘 함유층은 SiOC이거나;
· 실리콘 함유층은 SiONC이거나;
· 실리콘 함유층은 SiBN이거나;
· 실리콘 함유층은 SiBCN이거나;
· 실리콘 함유층은 SiCN이거나;
· 실리콘 함유층은 SiMCO이며, 여기서 M은 Zr, Hf, Ti, Nb, V, Ta, Al, Ge로부터 선택되거나;
· Si 함유층을 어닐링(annealing)하는 단계를 추가로 포함한다.
개시된 전구체를 사용하여 기판 상에 Si-함유 막을 형성하는 방법이 또한 개시되어 있다. 상기에 개시되지만 바람직하게는 화학식 II를 갖는 임의의 Si-함유 막 형성 조성물은 스핀 코팅, 분무 코팅, 침지 코팅 또는 슬릿 코팅 기법을 통해 기판과 접촉하여 Si-함유 막을 형성한다. 개시된 방법은 하기 양태를 포함할 수 있다:
· Si-함유 막 형성 조성물은 C5~C16 분지형, 선형 포화 또는 불포화 탄화수소; THF; DMO; 에테르; 피리딘; 케톤; 에스테르; 및 이들의 조합으로 이루어진 군으로부터 선택된 용매를 추가로 포함하거나;
· 용매는 C5-C16 포화 또는 불포화 탄화수소이거나;
· 용매는 에테르이거나;
· 용매는 메틸이소부틸케톤이거나;
· 용매는 사이클로헥사논이거나;
· Si-함유 막 형성 조성물은 촉매 또는 라디칼 발생제를 추가로 포함하거나;
· 라디칼 발생제는 광개시제이거나;
· 라디칼 발생제는 페논이거나;
· 라디칼 발생제는 퀴닌이거나;
· 라디칼 발생제는 메탈로센이거나;
· 라디칼 발생제는 열적 라디칼 개시제이거나;
· 라디칼 발생제는 과산화물이거나;
· 라디칼 발생제는 -N=N- 유닛을 포함하는 아조 화합물이거나;
· 촉매는 루이스산이거나;
· 촉매는 광산 발생제(photo-acid generator)이거나;
· 촉매는 하이드로실릴화 촉매(hydrosilylation catalyst)이거나;
· 루이스산은 트리스(펜타플루오로페닐)보란 B(C6F5)3이거나;
· 루이스산은 유도체이거나;
· 루이스산은 메탈로센 화합물과 B(C6F5)3의 복합체 형성에 의해 형성된 비배위결합 음이온(non-coordinating anion)이거나;
· 스핀 코팅 기법을 통해 Si-함유 막을 형성하거나;
· 분무 코팅 기법을 통해 Si-함유 막을 형성하거나;
· 침지 코팅 기법을 통해 Si-함유 막을 형성하거나;
· 슬릿 코팅 기법을 통해 Si-함유 막을 형성하거나;
· Si-함유 막을 어닐링하거나;
· Si-함유 막을 UV-경화하거나;
· 올리고머의 가교를 향상시키기 위해 반응성 분위기 하에 Si-함유 막을 UV-경화하거나;
· Si-함유 막을 레이저 처리한다.
표기 및 명명법
하기 상세한 설명 및 특허청구범위에서는 일반적으로 당해 기술분야에 널리 알려진 다수의 약어, 기호 및 용어가 사용된다. 전형적으로 편의를 위해 각각의 두문자어가 처음 나올 때 그에 대한 정의가 제공되지만 표 1에 이들 개개의 정의와 함께 사용된 약어, 기호 및 용어의 목록을 제공하였다.
단수 하나 또는 하나 초과
대략 또는 약 소정의 값의 ±10%
LCD-TFT 액정 표시장치-박막 트랜지스터
TFT 박막 트랜지스터
MIM 금속-절연체-금속
DRAM 동적 랜덤 접근 메모리
CVD 화학적 기상 증착
LPCVD 저압 화학적 기상 증착
PCVD 펄스 화학적 기상 증착
SACVD 대기압아래 화학적 기상 증착
PECVD 플라즈마 강화 화학적 기상 증착
APCVD 대기압 화학적 기상 증착
HWCVD 열선 화학적 기상 증착
f-CVD 유동성 화학적 기상 증착
f-PECVD 유동성 플라즈마 강화 화학적 기상 증착
MOCVD 금속 유기 화학적 기상 증착
ALD 원자층 증착
spatial ALD 공간적 원자층 증착
HWALD 열선 원자층 증착
PEALD 플라즈마 강화 원자층 증착
GCMS 가스 크로마토그래피-질량 분석법
GPC 겔 투과 크로마토그래피
HCDS 헥사클로로디실란(Si2Cl6)
PCDS 펜타클로로디실란(Si2HCl5)
SRO 스트론튬 루테늄 산화물
LAH 수소화알루미늄리튬(LiAlH4)
THF 테트라하이드로푸란
Me 메틸
Et 에틸
iPr 이소프로필
nPr n-프로필
iBu 이소부틸
tBu tert-부틸
원소의 주기율표에 있는 원소에 대한 표준 약어가 본원에서 사용된다. 원소는 이들 약어로 지칭될 수 있는 것으로 이해되어야 한다(예를 들어, Si는 실리콘을 지칭하고, N은 질소를 지칭하고, O는 산소를 지칭하고, C는 탄소를 지칭하는 등).
본원에서 사용된 바와 같이, R 기를 설명하는 문맥에서 사용되는 경우 "독립적으로"란 용어는 대상인 R 기가 동일하거나 서로 상이한 아래첨자 또는 위첨자를 갖는 기타 R 기와 관련하여 독립적으로 선택될 뿐만 아니라, 이 같은 동일한 R 기의 임의의 부가적인 종과 관련하여 독립적으로 선택된다는 것을 의미하는 것으로 이해될 것이다. 예를 들어, 화학식 MR1 x(NR2R3)(4-x)(여기서, x는 2 또는 3임)에서, 2개 또는 3개의 R1 기는 서로 동일하거나 R2 또는 R3과 동일할 수 있지만, 반드시 동일할 필요는 없다. 게다가, 달리 구체적으로 언급하지 않는 한, R 기의 값은 서로 다른 화학식에 사용되는 경우에 서로 독립적인 것으로 이해되어야 한다.
본원에서 사용된 바와 같이, "탄화수소"란 용어는 오직 탄소 및 수소 원자를 함유하는 포화 또는 불포화 작용기를 지칭한다. 본원에서 사용된 바와 같이, "알킬기"이란 용어는 오직 탄소 및 수소 원자를 함유하는 포화 작용기를 지칭한다. 알킬기는 탄화수소의 하나의 유형이다. 게다가, "알킬기"란 용어는 선형, 분지형 또는 환형 알킬기를 지칭한다. 선형 알킬기의 예로는 메틸기, 에틸기, 프로필기, 부틸기 등을 들 수 있지만, 이에 제한되지 않는다. 분지형 알킬기의 예로는 t-부틸을 들 수 있지만, 이에 제한되지 않는다. 환형 알킬기의 예로는 사이클로프로필기, 사이클로펜틸기, 사이클로헥실기 등을 들 수 있지만, 이에 제한되지 않는다.
본원에서 사용된 바와 같이, "아릴"이란 용어는 1개의 수소 원자가 고리로부터 제거되어 있는 방향족 고리 화합물을 지칭한다.
본원에서 사용된 바와 같이, "헤테로환형 기"란 용어는 이의 고리원으로서 C 및 S 및/또는 N과 같은 적어도 2개의 상이한 원소(H는 포함하지 않음)의 원자를 갖는 환형 화합물을 지칭한다.
본원에서 사용된 바와 같이, "카보실라잔"이란 용어는 Si, C 및 N 원자 및 적어도 하나의 Si-N 결합을 함유하는 선형, 분지형 또는 환형 분자를 지칭한다.
본원에서 사용된 바와 같이, "DSP"란 두문자어는 디실라프로판을 나타내고, 보다 구체적으로는 H3Si-CH2-SiH3 또는 이의 리간드 유사체인 -H2Si-CH2-SiH3 또는 이의 단량체 유사체인 -H2Si-CH2-SiH2-를 나타내고; "DSB"란 두문자어는 디실라부탄(disilabutane)을 나타내고, 보다 구체적으로는 H3Si-CH2-CH2-SiH3 또는 이의 리간드 유사체인 -H2Si-CH2-CH2-SiH3 또는 이의 단량체 유사체인 -H2Si-CH2-CH2-SiH2-를 나타내고; "HNDSP2", "RNDSP2", "NDSP3", "HNDSB2", "RNDSB2" 및 "NDSB3"란 약어는 각각 HN(DSP)2, RN(DSP)2, N(DSP)3, HN(DSB)2, RN(DSB)2 및 N(DSB)3을 나타내며, 여기서 R은 상기에서 정의된 바와 같다.
본원에서 사용된 바와 같이, "Me"란 약어는 메틸기를 지칭하고; "Et"란 약어는 에틸기를 지칭하고; "Pr"이란 약어는 임의의 프로필기(즉, n-프로필 또는 이소프로필)를 지칭하고; "iPr"이란 약어는 이소프로필기를 지칭하고; "Bu"란 약어는 임의의 부틸기(n-부틸, 이소부틸, t-부틸, sec-부틸)를 지칭하고; "tBu"이란 약어는 tert-부틸기를 지칭하고; "sBu"란 약어는 sec-부틸기를 지칭하고; "iBu"란 약어는 이소부틸기를 지칭하고; "Ph"란 약어는 페닐기를 지칭하고; "Am"란 약어는 임의의 아밀기(이소아밀, sec-아밀, tert-아밀)를 지칭하고; "Cy"란 약어는 환형 탄화수소기(사이클로부틸, 사이클로펜틸, 사이클로헥실 등)를 지칭한다.
본원에서 사용된 바와 같이, "무할로겐"이란 용어는 0 ppmw 내지 1000 ppmw, 바람직하게는 0 ppmw 내지 500 ppmw, 보다 바람직하게는 0 ppmw 내지 100 ppmw 범위의 X를 의미하며, 여기서 X는 Cl, Br 또는 I이다.
원소의 주기율표에 있는 원소에 대한 표준 약어가 본원에서 사용된다. 원소는 이들 약어로 지칭될 수 있는 것으로 이해되어야 한다(예를 들어, Si는 실리콘을 지칭하고, N은 질소를 지칭하고, O는 산소를 지칭하고, C는 탄소를 지칭하는 등).
본 명세서 및 특허청구범위 전체에 걸쳐 산화규소와 같이 증착된 막 또는 층이 이들의 적절한 화학량론(즉, SiO2)과는 무관하게 나열되어 있다는 것을 주지한다. 층은 순수한 (Si) 층, 규화물(MoSip) 층, 탄화물(SioCp) 층, 질화물(SikNl) 층, 산화물(SinOm) 층 또는 이들의 혼합물을 포함할 수 있으며; 여기서 M은 원소이고, k, l, m, n, o 및 p는 1 내지 6의 범위(경계값 포함(inclusive))이다. 예를 들어, 규화코발트는 CokSil이며, 여기서 k 및 l은 각각 0.5 내지 5의 범위이다. 유사하게, 임의의 참고용 층은 또한 산화규소 층(SinOm)을 포함할 수 있으며, 여기서 n은 0.5 내지 1.5의 범위이고, m은 1.5 내지 3.5의 범위이다. 보다 바람직하게는, 산화규소 층은 SiO2이다. 산화규소 층은 산화규소계 유전체 물질, 예를 들어 어플라이드 머티리얼스 인코포레이티드(Applied Materials, Inc.)사의 블랙다이아몬드(Black Diamond) II 또는 III 물질과 같은 유기계 또는 산화규소계 저유전체 물질(low-k dielectric material)일 수 있다. 대안적으로, 임의의 참고용 실리콘 함유층은 순수한 실리콘일 수 있다. 임의의 실리콘 함유층은 또한 B, C, P, As 및/또는 Ge와 같은 도판트(dopant)를 포함할 수 있다.
본원에 인용된 모든 범위는 "경계값 포함"이란 용어가 사용되는지의 여부와는 무관하게 이들의 종단점(즉, x가 1 내지 4인 경우 x는 1 및 4를 포함하고 그 사이의 임의의 숫자를 포함함)을 내포한다.
본 발명의 특성 및 목적을 추가로 이해하기 위해 첨부된 도면과 함께 하기 상세한 설명을 참고하도록 하며, 도면에서 동일한 구성요소에는 동일하거나 유사한 도면부호가 제공된다. 도면에서:
도 1은 예시적인 증착 공정에 대한 순서도이고;
도 2는 무할로겐 합성 경로에 의해 제조된 NDSP2 및 NDSP3 혼합물의 최종 생성물에 대한 GCMS 크로마토그램이고;
도 3은 분별 증류의 제1 분획 이후에 무할로겐 합성 경로에 의해 제조된 NDSP2 및 NDSP3 혼합물의 최종 생성물에 대한 GCMS 크로마토그램이고;
도 4는 분별 증류의 제2 분획 이후에 무할로겐 합성 경로에 의해 제조된 NDSP3 및 HNDSP2 혼합물의 GCMS 크로마토그램이고;
도 5는 무할로겐 합성 경로에 의해 제조된 HNDSP2 및 NDSP3의 제거 이후에 무색 점성 오일에 대한 GCMS 크로마토그램이고;
도 6a 및 도 6b는 실온에서 16시간 이후(도 6a) 및 실온에서 8주 이후(도 6b) 최종 생성물과 함께 할로겐 관여 합성 경로에 의해 선택적으로 제조된 NDSP2의 최종 생성물에 대한 GCMS 크로마토그램이고;
도 7은 분자량 대 분자량 분포도의 다분산성을 나타낸 겔 투과 크로마토그래피 그래프이다.
카보실라잔 또는 폴리카보실라잔(또는 폴리유기실라잔) 전구체를 포함하는 Si-함유 막 형성 조성물이 개시되어 있다. 카보실라잔 또는 폴리카보실라잔 전구체를 합성하는 방법 및 반도체를 제조하기 위한 실리콘-함유 막을 증착하기 위해 이를 이용하는 방법이 또한 개시되어 있다.
개시된 전구체는 하기 화학식 I을 갖거나, 하기 화학식 II를 갖는 유닛을 갖는다:
[화학식 I]
RaN(R4R5Si(CH2)mSiR1R2R3)3-a
[화학식 II]
[-NR-R4R5Si-(CH2)t-SiR2R3-]n
상기 식에서, a는 0 내지 1이고; m은 1 내지 4이고; t는 1 내지 4이고; n은 2 내지 400이고;
R1, R2, R3, R4 및 R5는 독립적으로 H, 탄화수소기(C1 내지 C6), 또는 화학식 NR"2를 갖는 알킬아미노기이며, R" 각각은 독립적으로 H, C1~C6 탄화수소기, C6~C12 아릴이거나, NR"2는 환형 아민기를 형성하며, 단 R1, R2, R3, R4 및 R5 중 적어도 하나는 H이고;
R은 H; C1~C6 탄화수소기; 화학식 SixR'2x+1(여기서, x는 1 내지 4이고, R' 각각은 독립적으로 H, C1~C6 탄화수소기, 또는 화학식 NR"2를 갖는 알킬아미노기이고, R" 각각은 독립적으로 H, C1~C6 기, C6~C12 아릴이거나, NR"2는 환형 아민기를 형성함)을 갖는 실릴기; 또는 R1'R2'R3'Si(CH2)bSiR4'R5' 기(여기서, b는 1 내지 2이고, R1', R2', R3', R4' 및 R5'는 독립적으로 H, C1~C6 탄화수소기, C6~C12 아릴, 또는 화학식 NR"2를 갖는 알킬아미노기이고, R" 각각은 독립적으로 H, C1~C6 기, C6~C12 아릴이거나, NR"2는 환형 아민기를 형성함)이며; 단 R1', R2', R3', R4' 및 R5' 중 적어도 하나는 H이다. 바람직하게는, m은 1 내지 2이고, t는 1 내지 2이다. 알킬아미노기의 R"는 연결되어 N 원자 상에 환형 사슬을 형성할 수 있다. 예를 들어, NR"2는 피리딘, 피롤, 피롤리딘 또는 이미다졸 고리 구조를 형성할 수 있다. 전구체가 화학식 I(즉, R, R1, R2, R3, R4 및 R5는 각각 독립적으로 H임)에서 6개의 H를 함유하거나 화학식 II(즉, R, R2, R3, R4 및 R5는 각각 독립적으로 H임)에서 각각의 N-Si-C-Si 또는 N-Si-C-C-Si 골격 유닛(backbone unit) 내에 5개의 H를 함유하는 경우, 전구체는 향상된 휘발성을 가질 수 있다.
화학식 I 및 화학식 II에 나타나 있는 개시된 전구체는 구체적으로는 응용에 따라 하나의 원소를 기타 원소보다 높은 중량으로 갖는 Si-함유 막 형성 조성물을 제조하기 위해 유연성(flexibility)을 제공한다. 예를 들어, 막에서 보다 많은 Si가 바람직한 경우, R은 화학식 SixH2x+1(x는 1 내지 4임) 또는 R1'R2'R3'Si(CH2)bSiR4'R5' 기(여기서 b는 1 내지 2임)을 갖는 실란일 수 있다. 막에서 보다 많은 N이 바람직한 경우, R, R1, R2, R3, R4 및 R5는 각각 독립적으로 화학식 NR"2를 갖는 알킬아미노기이다. 막에서 보다 많은 C가 바람직한 경우, R, R1, R2, R3, R4 및 R5는 탄화수소기(C1 내지 C12)일 수 있거나, N-Si-Cc-Si(c는 1 내지 2임)과 같은 골격 유닛 내에서 긴 탄소 연결 사슬을 형성할 수 있다.
개시된 전구체는 Si-할로겐 결합을 함유하지 않으며, 이는 할로겐이 기판 내의 기타 층(예를 들어, 저유전체 층, 구리 상호 연결층 등)을 손상시킬 수 있기 때문에 중요하다. 개시된 Si-함유 막 형성 조성물은 할로겐을 함유하지 않고, 반도체 장치, 반도체 부품, 전자 부품 및 층상 물질 상에 전반적으로 평탄화되고 열적으로 안정한 부착성 유전체 층 또는 기타 유전체 유사 층 또는 물질을 형성할 수 있다.
개시된 전구체는 Si 원자와 직접 결합된 1개 또는 2개의 수소 원자를 함유할 수 있다. 개시된 전구체의 Si-H 결합은 H 원자가 보다 적은 표면적을 차지하여 기판 표면 상에 분자의 증가를 초래하기 때문에 유사 Si-할로겐 함유 전구체에 비해 ALD 공정에서 사이클 당 보다 높은 성장 속도를 제공하는데 도움이 될 수 있다. SiH 결합(즉, 수소화물 기능성)을 포함하면 보다 작은 입체 부피(steric bulk)가 생성될 수 있으며, 이는 SiH 결합을 함유하지 않는 전구체보다 높은 기판에 대한 반응성을 전구체에 제공할 수 있다. 이들 Si-H 결합은 전구체의 휘발성을 증가시키는데 도움이 될 수 있으며, 이는 기상 증착 공정에서는 중요하다. 그 결과, 기상 증착 공정에 있어서, 화학식 I의 개시된 전구체에서 R1, R2 또는 R3 중 적어도 하나는 바람직하게는 H이고, R4 또는 R5 중 적어도 하나는 바람직하게는 H이다.
개시된 전구체는 Si 원자에 직접 결합된 1개, 2개 또는 3개의 아미노기를 함유할 수 있다. 이들 Si-N 결합은 전구체의 열적 안정성을 증가시키는데 도움이 될 수 있으며, 이는 또한 기상 증착 공정에서는 중요하다. 또한 아미노기는 N 및 C 원자를 얻어진 막 내로 혼입시키는데 도움이 될 수 있으며, 이는 얻어진 층이 임의의 후속적인 에칭 공정에 보다 강한 내성을 나타내도록 할 수 있다.
당업자라면 Si-H 결합에 의해 제공되는 휘발성이 아미노기에 의해 제공되는 열적 안정성과 직접적으로 경쟁한다는 것을 인지할 것이다. 본 출원인은 적어도 HN(SiH(NiPr2)-CH2-SiH3)2 및 HN(SiH2-CH2-SiH2(NiPr2))2가 이들의 경쟁 특성의 균형을 성공적으로 맞춘다는 것을 믿고 있다.
당업자라면 m이 1인 실시형태에서는 보다 높은 휘발성 및 보다 낮은 융점을 갖는 전구체가 제조될 수 있으며, 이는 기상 증착에 더욱 적합하다는 것을 인지할 것이다. 얻어진 실리콘-함유 막이 또한 탄소를 함유하는 경우에 m이 2인 실시형태는 또한 기상 증착에 적합할 수 있다. m이 3이거나 t가 1~3인 실시형태는 스핀온(spin-on) 코팅 또는 침지 코팅과 같은 캐스팅 증착 방법에 적합할 수 있다.
일부 개시된 Si-함유 막 형성 조성물은 높은 증기압, 낮은 융점(바람직하게는 실온에서 액체 형태임), 낮은 승화점(sublimation point) 및 높은 열적 안정성과 같은 기상 증착 방법에 적합한 물성을 갖는다. Si-함유 막 형성 조성물은 바람직하게는 1~5 Torr의 증기압을 야기하는 온도에서 안정하다. 기상 증착에 적합한 개시된 Si-함유 막 형성 조성물 내의 카보실라잔 또는 폴리카보실라잔(또는 폴리유기실라잔) 전구체는 전형적으로 대략 150 내지 대략 600, 바람직하게는 대략 200 내지 대략 400 범위의 분자량을 갖는다.
일부 개시된 Si-함유 막 형성 조성물은 스핀 코팅, 분무 코팅, 침지 코팅 또는 슬릿 코팅 방법에 적합한 물성, 예를 들어 낮은 증기압, 낮은 융점(바람직하게는 실온에서 액체 형태임) 및 통상적인 코팅 공정에서의 양호한 용해성을 갖는다. 이들 증착 기법에 적합한 개시된 Si-함유 막 형성 조성물 내의 카보실라잔 또는 폴리카보실라잔(또는 폴리유기실라잔) 전구체는 전형적으로 대략 500 내지 대략 1,000,000, 바람직하게는 대략 1,000 내지 대략 100,000, 보다 바람직하게는 3,000 내지 50,000 범위의 분자량을 갖는다.
a가 0인 경우, 화학식 I로 나타낸 개시된 카보실라잔 전구체는 하기 화학식을 갖는다:
[화학식 III]
N(SiR4R5(CH2)mSiR1R2R3)3
m이 1이고 R1, R2, R3, R4 및 R5가 H인 경우, 화학식 III으로 나타낸 개시된 전구체는 트리스(1,3-디실라프로판)아민[N(SiH2-CH2-SiH3)3 또는 NDSP3]이다. 하기 실시예에 나타나 있는 바와 같이, 이러한 액체 전구체는 적어도 부분적으로는 SiH 결합 및 낮은 분자량에 대해 상술한 이점으로 인해 기상 증착 응용에 적합하다.
m이 1이고 R1, R2, R3 및 R4가 H인 화학식 III으로 나타낸 예시적인 전구체로는 N(SiH(CH2=CH)-CH2-SiH3)3, N(SiH(CH2=CH-CH2)-CH2-SiH3)3, N(SiH(NH2)-CH2-SiH3)3, N(SiH(NMe2)-CH2-SiH3)3, N(SiH(NMeEt)-CH2-SiH3)3, N(SiH(NEt2)-CH2-SiH3)3, N(SiH(NnPr2)-CH2-SiH3)3, N(SiH(NiPr2)-CH2-SiH3)3, N(SiH(NBu2)-CH2-SiH3)3, N(SiH(NiBu2)-CH2-SiH3)3, N(SiH(NtBu2)-CH2-SiH3)3, N(SiH(NAm2)-CH2-SiH3)3, N(SiH(NCy펜틸2)-CH2-SiH3)3, N(SiH(N헥실2)-CH2-SiH3)3, N(SiH(NCyHex2)-CH2-SiH3)3, N(SiH(NMeH)-CH2-SiH3)3, N(SiH(NEtH)-CH2-SiH3)3, N(SiH(NnPrH)-CH2-SiH3)3, N(SiH(NiPrH)-CH2-SiH3)3, N(SiH(NBuH)-CH2-SiH3)3, N(SiH(NiBuH)-CH2-SiH3)3, N(SiH(NtBuH)-CH2-SiH3)3, N(SiH(NAmH)-CH2-SiH3)3, N(SiH(피리딘)-CH2-SiH3)3, N(SiH(피롤)-CH2-SiH3)3, N(SiH(피롤리딘)-CH2-SiH3)3 및 N(SiH(이미다졸)-CH2-SiH3)3을 들 수 있지만, 이에 제한되지 않는다.
m이 1이고 R2, R3, R4 및 R5가 H인 화학식 III으로 나타낸 예시적인 전구체로는 N(SiH2-CH2-SiH2(CH2=CH))3, N(SiH2-CH2-SiH2(CH2=CH-CH2))3, N(SiH2-CH2-SiH2(NH2))3, N(SiH2-CH2-SiH2(NMe2))3, N(SiH2-CH2-SiH2(NMeEt))3, N(SiH2-CH2-SiH2(NEt2))3, N(SiH2-CH2-SiH2(NnPr2))3, N(SiH2-CH2-SiH2(NiPr2))3, N(SiH2-CH2-SiH2(NBu2))3, N(SiH2-CH2-SiH2(NiBu2))3, N(SiH2-CH2-SiH2(NtBu2))3, N(SiH2-CH2-SiH2(NAm2))3, N(SiH2-CH2-SiH2(NCy펜틸2))3, N(SiH2-CH2-SiH2(N헥실2))3, N(SiH2-CH2-SiH2(NCyHex2))3, N(SiH2-CH2-SiH2(NMeH))3, N(SiH2-CH2-SiH2(NEtH))3, N(SiH2-CH2-SiH2(NnPrH))3, N(SiH2-CH2-SiH2(NiPrH))3, N(SiH2-CH2-SiH2(NBuH))3, N(SiH2-CH2-SiH2(NiBuH))3, N(SiH2-CH2-SiH2(NtBuH))3, N(SiH2-CH2-SiH2(NAmH))3, N(SiH2-CH2-SiH2(피리딘))3, N(SiH2-CH2-SiH2(피롤))3, N(SiH2-CH2-SiH2(피롤리딘))3 및 N(SiH2-CH2-SiH2(이미다졸))3을 들 수 있지만, 이에 제한되지 않는다. 이들 전구체는 적어도 부분적으로는 SiH 결합 및 낮은 분자량에 대해 상술한 이점으로 인해 기상 증착에 적합하다. 또한 말단 아미노 리간드는 얻어진 막을 위한 부가적인 N 및/또는 C 공급원뿐만 아니라 향상된 열적 안정성을 제공할 수 있다.
m이 1이고 R1, R2 및 R3이 H인 화학식 III으로 나타낸 예시적인 전구체로는 N(Si(CH2=CH)2-CH2-SiH3)3, N(Si(CH2=CH-CH2)2-CH2-SiH3)3, N(Si(NH2)2-CH2-SiH3)3, N(Si(NMe2)2-CH2-SiH3)3, N(Si(NMeEt)2-CH2-SiH3)3, N(SiNEt2-CH2-SiH3)3, N(Si(NnPr2)2-CH2-SiH3)3, N(Si(NiPr2)2-CH2-SiH3)3, N(Si(NBu2)2-CH2-SiH3)3, N(Si(NiBu2)2-CH2-SiH3)3, N(Si(NtBu2)2-CH2-SiH3)3, N(Si(NAm2)2-CH2-SiH3)3, N(Si(NCy펜틸2)2-CH2-SiH3)3, N(Si(N헥실2)2-CH2-SiH3)3, N(Si(NCy헥실2)2-CH2-SiH3)3, N(Si(NMeH)2-CH2-SiH3)3, N(Si(NEtH)2-CH2-SiH3)3, N(Si(NnPrH)2-CH2-SiH3)3, N(Si(NiPrH)2-CH2-SiH3)3, N(Si(NBuH)2-CH2-SiH3)3, N(Si(NiBuH)2-CH2-SiH3)3, N(Si(NtBuH)2-CH2-SiH3)3, N(Si(NAmH)2-CH2-SiH3)3, N(Si(피리딘)2-CH2-SiH3)3, N(Si(피롤)2-CH2-SiH3)3, N(Si(피롤리딘)2-CH2-SiH3)3 및 N(Si(이미다졸)2-CH2-SiH3)3을 들 수 있지만, 이에 제한되지 않는다.
m이 1이고 R2, R3 및 R4가 H인 화학식 III으로 나타낸 예시적인 전구체로는 N(SiH(CH2=CH)-CH2-SiH2(CH2=CH))3, N(SiH(CH2=CH-CH2)-CH2-SiH2(CH2=CH-CH2))3, N(SiH(NH2)-CH2-SiH2(NH2))3, N(SiH(NMe2)-CH2-SiH2(NMe2))3, N(SiH(NMeEt)-CH2-SiH2(NMeEt))3, N(SiH(NEt2)-CH2-SiH2(NEt2))3, N(SiH(NnPr2)-CH2-SiH2(NnPr2))3, N(SiH(NiPr2)-CH2-SiH2(NiPr2))3, N(SiH(NBu2)-CH2-SiH2(NBu2))3, N(SiH(NiBu2)-CH2-SiH2(NiBu2))3, N(SiH(NtBu2)-CH2-SiH2(NtBu2))3, N(SiH(NAm2)-CH2-SiH2(NAm2))3, N(SiH(NCy펜틸2)-CH2-SiH2(NCy펜틸2))3, N(SiH(N헥실2)-CH2-SiH2(N헥실2))3, N(SiH(NCy헥실2)-CH2-SiH2(NCy헥실2))3, N(SiH(NMeH)-CH2-SiH2(NMeH))3, N(SiH(NEtH)-CH2-SiH2(NEtH))3, N(SiH(NnPrH)-CH2-SiH2(NnPrH))3, N(SiH(NiPrH)-CH2-SiH2(NiPrH))3, N(SiH(NBuH)-CH2-SiH2(NBuH))3, N(SiH(NiBuH)-CH2-SiH2(NiBuH))3, N(SiH(NtBuH)-CH2-SiH2(NtBuH))3, N(SiH(NAmH)-CH2-SiH2(NAmH))3, N(SiH(피리딘)-CH2-SiH2(피리딘))3, N(SiH(피롤)-CH2-SiH2(피롤))3, N(SiH(피롤리딘)-CH2-SiH2(피롤리딘))3 및 N(SiH(이미다졸)-CH2-SiH2(이미다졸))3을 들 수 있지만, 이에 제한되지 않는다.
m이 1이고 R3, R4 및 R5가 H인 화학식 III으로 나타낸 예시적인 전구체로는 N(SiH2-CH2-SiH(CH2=CH)2)3, N(SiH2-CH2-SiH(CH2=CH-CH2)2)3, N(SiH2-CH2-SiH(NH2)2)3, N(SiH2-CH2-SiH(NMe2)2)3, N(SiH2-CH2-SiH(NMeEt)2)3, N(SiH2-CH2-SiH(NEt2)2)3, N(SiH2-CH2-SiH(NnPr2)2)3, N(SiH2-CH2-SiH(NiPr2)2)3, N(SiH2-CH2-SiH(NBu2)2)3, N(SiH2-CH2-SiH(NiBu2)2)3, N(SiH2-CH2-SiH(NtBu2)2)3, N(SiH2-CH2-SiH(NAm2)2)3, N(SiH2-CH2-SiH(NCy펜틸2)2)3, N(SiH2-CH2-SiH(N헥실2)2)3, N(SiH2-CH2-SiH(NCy헥실2)2)3, N(SiH2-CH2-SiH(NMeH)2)3, N(SiH2-CH2-SiH(NEtH)2)3, N(SiH2-CH2-SiH(NnPrH)2)3, N(SiH2-CH2-SiH(NiPrH)2)3, N(SiH2-CH2-SiH(NBuH)2)3, N(SiH2-CH2-SiH(NiBuH)2)3, N(SiH2-CH2-SiH(NtBuH)2)3, N(SiH2-CH2-SiH(NAmH)2)3, N(SiH2-CH2-SiH(피리딘)2)3, N(SiH2-CH2-SiH(피롤)2)3, N(SiH2-CH2-SiH(피롤리딘)2)3 및 N(SiH2-CH2-SiH(이미다졸)2)3을 들 수 있지만, 이에 제한되지 않는다. 이들 전구체는 적어도 부분적으로는 SiH 결합에 대해 상술한 이점으로 인해 기상 증착 또는 코팅 응용에 적합할 수 있다. 또한 말단 아미노 리간드는 얻어진 막에 대한 부가적인 N 및/또는 C 공급원뿐만 아니라 향상된 열적 안정성을 제공할 수 있다. 최종적으로, 보다 낮은 분자량 및 보다 높은 증기압을 갖는 나열된 전구체는 기상 증착 기법에 보다 더 적합한 반면, 보다 높은 분자량을 갖는 전구체는 코팅 기법에 보다 더 적합하다.
m이 1이고 R4 및 R5가 H인 화학식 III으로 나타낸 예시적인 전구체로는 N(SiH2-CH2-Si(CH2=CH)3)3, N(SiH2-CH2-Si(CH2=CH-CH2)3)3, N(SiH2-CH2-Si(NH2)3)3, N(SiH2-CH2-Si(NMe2)3)3, N(SiH2-CH2-Si(NMeEt)3)3, N(SiH2-CH2-Si(NEt2)3)3, N(SiH2-CH2-Si(NnPr2)3)3, N(SiH2-CH2-Si(NiPr2)3)3, N(SiH2-CH2-Si(NBu2)3)3, N(SiH2-CH2-Si(NiBu2)3)3, N(SiH2-CH2-Si(NtBu2)3)3, N(SiH2-CH2-Si(NAm2)3)3, N(SiH2-CH2-Si(NCy펜틸2)3)3, N(SiH2-CH2-Si(N헥실2)3)3, N(SiH2-CH2-Si(NCy헥실2)3)3, N(SiH2-CH2-Si(NMeH)3)3, N(SiH2-CH2-Si(NEtH)3)3, N(SiH2-CH2-Si(NnPrH)3)3, N(SiH2-CH2-Si(NiPrH)3)3, N(SiH2-CH2-Si(NBuH)3)3, N(SiH2-CH2-Si(NiBuH)3)3, N(SiH2-CH2-Si(NtBuH)3)3, N(SiH2-CH2-Si(NAmH)3)3, N(SiH2-CH2-Si(피리딘)3)3, N(SiH2-CH2-Si(피롤)3)3, N(SiH2-CH2-Si(피롤리딘)3)3 및 N(SiH2-CH2-Si(이미다졸)3)3을 들 수 있지만, 이에 제한되지 않는다. 이들 전구체는 적어도 부분적으로는 SiH 결합에 대해 상술한 이점으로 인해 기상 증착 또는 코팅 응용에 적합할 수 있다. 또한 말단 아미노 리간드는 얻어진 막에 대한 부가적인 N 및/또는 C 공급원뿐만 아니라 향상된 열적 안정성을 제공할 수 있다. 최종적으로, 보다 낮은 분자량 및 보다 높은 증기압을 갖는 나열된 전구체는 기상 증착 기법에 보다 더 적합한 반면, 보다 높은 분자량을 갖는 전구체는 코팅 기법에 보다 더 적합하다.
m이 2이고 R1, R2, R3, R4 및 R5가 H인 경우, 개시된 카보실라잔 전구체는 트리스(1,4-디실라부탄)아민[N(SiH2-CH2-CH2-SiH3)3 또는 NDSB3]이다. 이러한 액체 전구체는 적어도 부분적으로는 SiH 결합 및 낮은 분자량에 대해 상술한 이점으로 인해 기상 증착에 적합하다.
m이 2이고 R1, R2, R3 및 R4가 H인 화학식 III으로 나타낸 예시적인 전구체로는 N(SiH(CH2=CH)-CH2-CH2-SiH3)3, N(SiH(CH2=CH-CH2)-CH2-CH2-SiH3)3, N(SiH(NH2)-CH2-CH2-SiH3)3, N(SiH(NMe2)-CH2-CH2-SiH3)3, N(SiH(NMeEt)-CH2-CH2-SiH3)3, N(SiH(NEt2)-CH2-CH2-SiH3)3, N(SiH(NnPr2)-CH2-CH2-SiH3)3, N(SiH(NiPr2)-CH2-CH2-SiH3)3, N(SiH(NBu2)-CH2-CH2-SiH3)3, N(SiH(NiBu2)-CH2-CH2-SiH3)3, N(SiH(NtBu2)-CH2-CH2-SiH3)3, N(SiH(NAm2)-CH2-CH2-SiH3)3, N(SiH(NCy펜틸2)-CH2-CH2-SiH3)3, N(SiH(N헥실2)-CH2-CH2-SiH3)3, N(SiH(NCy헥실2)-CH2-CH2-SiH3)3, N(SiH(NMeH)-CH2-CH2-SiH3)3, N(SiH(NEtH)-CH2-CH2-SiH3)3, N(SiH(NnPrH)-CH2-CH2-SiH3)3, N(SiH(NiPrH)-CH2-CH2-SiH3)3, N(SiH(NBuH)-CH2-CH2-SiH3)3, N(SiH(NiBuH)-CH2-CH2-SiH3)3, N(SiH(NtBuH)-CH2-CH2-SiH3)3, N(SiH(NAmH)-CH2-CH2-SiH3)3, N(SiH(피리딘)-CH2-CH2-SiH3)3, N(SiH(피롤)-CH2-CH2-SiH3)3, N(SiH(피롤리딘)-CH2-CH2-SiH3)3 및 N(SiH(이미다졸)-CH2-CH2-SiH3)3을 들 수 있지만, 이에 제한되지 않는다.
m이 2이고 R2, R3, R4 및 R5가 H인 화학식 III으로 나타낸 예시적인 전구체로는 N(SiH2-CH2-CH2-SiH2(CH2=CH))3, N(SiH2-CH2-CH2-SiH2(CH2=CH-CH2))3, N(SiH2-CH2-CH2-SiH2(NH2))3, N(SiH2-CH2-CH2-SiH2(NMe2))3, N(SiH2-CH2-CH2-SiH2(NMeEt))3, N(SiH2-CH2-CH2-SiH2(NEt2))3, N(SiH2-CH2-CH2-SiH2(NnPr2))3, N(SiH2-CH2-CH2-SiH2(NiPr2))3, N(SiH2-CH2-CH2-SiH2(NBu2))3, N(SiH2-CH2-CH2-SiH2(NiBu2))3, N(SiH2-CH2-CH2-SiH2(NtBu2))3, N(SiH2-CH2-CH2-SiH2(NAm2))3, N(SiH2-CH2-CH2-SiH2(NCy펜틸2))3, N(SiH2-CH2-CH2-SiH2(N헥실2))3, N(SiH2-CH2-CH2-SiH2(NCy헥실2))3, N(SiH2-CH2-CH2-SiH2(NMeH))3, N(SiH2-CH2-CH2-SiH2(NEtH))3, N(SiH2-CH2-CH2-SiH2(NnPrH))3, N(SiH2-CH2-CH2-SiH2(NiPrH))3, N(SiH2-CH2-CH2-SiH2(NBuH))3, N(SiH2-CH2-CH2-SiH2(NiBuH))3, N(SiH2-CH2-CH2-SiH2(NtBuH))3, N(SiH2-CH2-CH2-SiH2(NAmH))3, N(SiH2-CH2-CH2-SiH2(피리딘))3, N(SiH2-CH2-CH2-SiH2(피롤))3, N(SiH2-CH2-CH2-SiH2(피롤리딘))3 및 N(SiH2-CH2-CH2-SiH2(이미다졸))3을 들 수 있지만, 이에 제한되지 않는다. 이들 전구체는 적어도 부분적으로는 SiH 결합 및 낮은 분자량에 대해 상술한 이점으로 인해 기상 증착 응용에 적합할 수 있다. 또한 말단 아미노 리간드는, 상술한 바와 같이, 얻어진 막에 대한 부가적인 N 및/또는 C 공급원뿐만 아니라 향상된 열적 안정성을 제공할 수 있다.
m이 2이고 R1, R2 및 R3이 H인 화학식 III으로 나타낸 예시적인 전구체로는 N(Si(CH2=CH)2-CH2-CH2-SiH3)3, N(Si(CH2=CH-CH2)2-CH2-CH2-SiH3)3, N(Si(NH2)2-CH2-CH2-SiH3)3, N(Si(NMe2)2-CH2-CH2-SiH3)3, N(Si(NMeEt)2-CH2-CH2-SiH3)3, N(SiNEt2-CH2-CH2-SiH3)3, N(Si(NnPr2)2-CH2-CH2-SiH3)3, N(Si(NiPr2)2-CH2-CH2-SiH3)3, N(Si(NBu2)2-CH2-CH2-SiH3)3, N(Si(NiBu2)2-CH2-CH2-SiH3)3, N(Si(NtBu2)2-CH2-CH2-SiH3)3, N(Si(NAm2)2-CH2-CH2-SiH3)3, N(Si(NCy펜틸2)2-CH2-CH2-SiH3)3, N(Si(N헥실2)2-CH2-CH2-SiH3)3, N(Si(NCy헥실2)2-CH2-CH2-SiH3)3, N(Si(NMeH)2-CH2-CH2-SiH3)3, N(Si(NEtH)2-CH2-CH2-SiH3)3, N(Si(NnPrH)2-CH2-CH2-SiH3)3, N(Si(NiPrH)2-CH2-CH2-SiH3)3, N(Si(NBuH)2-CH2-CH2-SiH3)3, N(Si(NiBuH)2-CH2-CH2-SiH3)3, N(Si(NtBuH)2-CH2-CH2-SiH3)3, N(Si(NAmH)2-CH2-CH2-SiH3)3, N(Si(피리딘)2-CH2-CH2-SiH3)3, N(Si(피롤)2-CH2-CH2-SiH3)3, N(Si(피롤리딘)2-CH2-CH2-SiH3)3 및 N(Si(이미다졸)2-CH2-CH2-SiH3)3을 들 수 있지만, 이에 제한되지 않는다.
m이 2이고 R2, R3 및 R4가 H인 화학식 III으로 나타낸 예시적인 전구체로는 N(SiH(CH2=CH)-CH2-CH2-SiH2(CH2=CH))3, N(SiH(CH2=CH-CH2)-CH2-CH2-SiH2(CH2=CH-CH2))3, N(SiH(NH2)-CH2-CH2-SiH2(NH2))3, N(SiH(NMe2)-CH2-CH2-SiH2(NMe2))3, N(SiH(NMeEt)-CH2-CH2-SiH2(NMeEt))3, N(SiH(NEt2)-CH2-CH2-SiH2(NEt2))3, N(SiH(NnPr2)-CH2-CH2-SiH2(NnPr2))3, N(SiH(NiPr2)-CH2-CH2-SiH2(NiPr2))3, N(SiH(NBu2)-CH2-CH2-SiH2(NBu2))3, N(SiH(NiBu2)-CH2-CH2-SiH2(NiBu2))3, N(SiH(NtBu2)-CH2-CH2-SiH2(NtBu2))3, N(SiH(NAm2)-CH2-CH2-SiH2(NAm2))3, N(SiH(NCy펜틸2)-CH2-CH2-SiH2(NCy펜틸2))3, N(SiH(N헥실2)-CH2-CH2-SiH2(N헥실2))3, N(SiH(NCy헥실2)-CH2-CH2-SiH2(NCy헥실2))3, N(SiH(NMeH)-CH2-CH2-SiH2(NMeH))3, N(SiH(NEtH)-CH2-CH2-SiH2(NEtH))3, N(SiH(NnPrH)-CH2-CH2-SiH2(NnPrH))3, N(SiH(NiPrH)-CH2-CH2-SiH2(NiPrH))3, N(SiH(NBuH)-CH2-CH2-SiH2(NBuH))3, N(SiH(NiBuH)-CH2-CH2-SiH2(NiBuH))3, N(SiH(NtBuH)-CH2-CH2-SiH2(NtBuH))3, N(SiH(NAmH)-CH2-CH2-SiH2(NAmH))3, N(SiH(피리딘)-CH2-CH2-SiH2(피리딘))3, N(SiH(피롤)-CH2-CH2-SiH2(피롤))3, N(SiH(피롤리딘)-CH2-CH2-SiH2(피롤리딘))3 및 N(SiH(이미다졸)-CH2-CH2-SiH2(이미다졸))3을 들 수 있지만, 이에 제한되지 않는다.
m이 2이고 R3, R4 및 R5가 H인 화학식 III으로 나타낸 예시적인 전구체로는 N(SiH2-CH2-CH2-SiH(CH2=CH)2)3, N(SiH2-CH2-CH2-SiH(CH2=CH-CH2)2)3, N(SiH2-CH2-CH2-SiH(NH2)2)3, N(SiH2-CH2-CH2-SiH(NMe2)2)3, N(SiH2-CH2-CH2-SiH(NMeEt)2)3, N(SiH2-CH2-CH2-SiH(NEt2)2)3, N(SiH2-CH2-CH2-SiH(NnPr2)2)3, N(SiH2-CH2-CH2-SiH(NiPr2)2)3, N(SiH2-CH2-CH2-SiH(NBu2)2)3, N(SiH2-CH2-CH2-SiH(NiBu2)2)3, N(SiH2-CH2-CH2-SiH(NtBu2)2)3, N(SiH2-CH2-CH2-SiH(NAm2)2)3, N(SiH2-CH2-CH2-SiH(NCy펜틸2)2)3, N(SiH2-CH2-CH2-SiH(N헥실2)2)3, N(SiH2-CH2-CH2-SiH(NCy헥실2)2)3, N(SiH2-CH2-CH2-SiH(NMeH)2)3, N(SiH2-CH2-CH2-SiH(NEtH)2)3, N(SiH2-CH2-CH2-SiH(NnPrH)2)3, N(SiH2-CH2-CH2-SiH(NiPrH)2)3, N(SiH2-CH2-CH2-SiH(NBuH)2)3, N(SiH2-CH2-CH2-SiH(NiBuH)2)3, N(SiH2-CH2-CH2-SiH(NtBuH)2)3, N(SiH2-CH2-CH2-SiH(NAmH)2)3, N(SiH2-CH2-CH2-SiH(피리딘)2)3, N(SiH2-CH2-CH2-SiH(피롤)2)3, N(SiH2-CH2-CH2-SiH(피롤리딘)2)3 및 N(SiH2-CH2-CH2-SiH(이미다졸)2)3을 들 수 있지만, 이에 제한되지 않는다. 이들 전구체는 적어도 부분적으로는 SiH 결합에 대해 상술한 이점으로 인해 기상 증착 또는 코팅 응용에 적합할 수 있다. 또한 말단 아미노 리간드는, 상술한 바와 같이, 얻어진 막에 대한 부가적인 N 및/또는 C 공급원뿐만 아니라 향상된 열적 안정성을 제공할 수 있다. 최종적으로, 보다 낮은 분자량 및 보다 높은 증기압을 갖는 나열된 전구체는 기상 증착 기법에 보다 더 적합한 반면, 보다 높은 분자량을 갖는 전구체는 코팅 기법에 보다 더 적합하다.
m이 2이고 R4 및 R5가 H인 화학식 III으로 나타낸 예시적인 전구체로는 N(SiH2-CH2-CH2-Si(CH2=CH)3)3, N(SiH2-CH2-CH2-Si(CH2=CH-CH2)3)3, N(SiH2-CH2-CH2-Si(NH2)3)3, N(SiH2-CH2-CH2-Si(NMe2)3)3, N(SiH2-CH2-CH2-Si(NMeEt)3)3, N(SiH2-CH2-CH2-Si(NEt2)3)3, N(SiH2-CH2-CH2-Si(NnPr2)3)3, N(SiH2-CH2-CH2-Si(NiPr2)3)3, N(SiH2-CH2-CH2-Si(NBu2)3)3, N(SiH2-CH2-CH2-Si(NiBu2)3)3, N(SiH2-CH2-CH2-Si(NtBu2)3)3, N(SiH2-CH2-CH2-Si(NAm2)3)3, N(SiH2-CH2-CH2-Si(NCy펜틸2)3)3, N(SiH2-CH2-CH2-Si(N헥실2)3)3, N(SiH2-CH2-CH2-Si(NCy헥실2)3)3, N(SiH2-CH2-CH2-Si(NMeH)3)3, N(SiH2-CH2-CH2-Si(NEtH)3)3, N(SiH2-CH2-CH2-Si(NnPrH)3)3, N(SiH2-CH2-CH2-Si(NiPrH)3)3, N(SiH2-CH2-CH2-Si(NBuH)3)3, N(SiH2-CH2-CH2-Si(NiBuH)3)3, N(SiH2-CH2-CH2-Si(NtBuH)3)3, N(SiH2-CH2-CH2-Si(NAmH)3)3, N(SiH2-CH2-CH2-Si(피리딘)3)3, N(SiH2-CH2-CH2-Si(피롤)3)3, N(SiH2-CH2-CH2-Si(피롤리딘)3)3 및 N(SiH2-CH2-CH2-Si(이미다졸)3)3을 들 수 있지만, 이에 제한되지 않는다. 이들 전구체는 적어도 부분적으로는 SiH 결합에 대해 상술한 이점으로 인해 코팅 응용에 적합하다. 또한 말단 아미노 리간드는 얻어진 막에 대한 부가적인 N 및/또는 C 공급원을 제공할 수 있다.
a가 1인 경우, 화학식 I로 나타낸 개시된 카보실라잔 전구체는 하기 화학식을 갖는다:
[화학식 IV]
RN(SiR4R5(CH2)mSiR1R2R3)2
m이 1이고 R, R1, R2, R3, R4 및 R5가 H인 경우, 화학식 IV로 나타낸 개시된 전구체는 비스(1,3-디실라프로판)아민[HN(SiH2-CH2-SiH3)2 또는 NDSP2]이다. NDSP2는 휘발성이고, 다수의 Si-H 결합을 함유하며, 이는 기판 표면에 대해 보다 강한 반응성을 갖게 된다. 그 결과, 이러한 전구체는 기상 증착 공정에 적합하고, 보다 구체적으로는 ALD 공정에 적합하다. 본 출원인은 이러한 전구체가 심지어는 N2를 사용한 PEALD 공정에서 Si-Cl 말단형 또는 심지어 Si 말단형 기판 표면에 부착하기에 충분한 반응성일 수 있다는 것을 믿고 있다.
m이 1이고; R1, R2, R3, R4 및 R5가 H이고; R이 SixH2x+1(여기서 x는 1 내지 4임)인 경우, 화학식 IV로 나타낸 개시된 카보실라잔 전구체는 SiH3N(SiH2-CH2-SiH3)2, Si2H5N(SiH2-CH2-SiH3)2, Si3H7N(SiH2-CH2-SiH3)2 및 Si4H9N(SiH2-CH2-SiH3)2이다. 이들 전구체는 적어도 부분적으로는 SiH 결합에 대해 상술한 이점으로 인해 기상 증착 응용에 적합할 수 있다. 부가적인 N-Si 결합에 의해 이들 전구체는 N-H 결합을 갖는 전구체보다 더 안정하게 되지만, N-C 결합을 갖는 전구체보다 더 반응성이 된다. 그 결과, 이들 전구체는 중합을 위해 적절한 조건이 요구되는 경우에 바람직할 수 있다. 또한 무탄소 SixH2x+1은 R이 H 또는 알킬기인 상응하는 분자보다 얻어진 막에서 Si의 증가를 초래할 수 있다.
m이 1이고; R1, R2, R3, R4 및 R5가 H이고; R이 SiHz(CyH2y+1)3-z(여기서 y는 1 내지 6이고, z는 0 내지 2임)인 경우, 화학식 IV로 나타낸 개시된 카보실라잔 전구체로는 (SiMe3)N(SiH2-CH2-SiH3)2, (SiEt3)N(SiH2-CH2-SiH3)2, Si(iPr)3N(SiH2-CH2-SiH3)2, Si(nPr)3N(SiH2-CH2-SiH3)2, Si(Bu)3N(SiH2-CH2-SiH3)2, Si(iBu)3N(SiH2-CH2-SiH3)2, Si(tBu)3N(SiH2-CH2-SiH3)2, Si(아밀)3N(SiH2-CH2-SiH3)2, Si(헥실)3N(SiH2-CH2-SiH3)2, (SiHMe2)N(SiH2-CH2-SiH3)2, (SiHEt2)N(SiH2-CH2-SiH3)2, SiH(iPr)2N(SiH2-CH2-SiH3)2, SiH(nPr)2N(SiH2-CH2-SiH3)2, SiH(Bu)2N(SiH2-CH2-SiH3)2, SiH(iBu)2N(SiH2-CH2-SiH3)2, SiH(tBu)2N(SiH2-CH2-SiH3)2, SiH(아밀)2N(SiH2-CH2-SiH3)2, SiH(헥실)2N(SiH2-CH2-SiH3)2, (SiH2Me)N(SiH2-CH2-SiH3)2, (SiH2Et)N(SiH2-CH2-SiH3)2, SiH2(iPr)N(SiH2-CH2-SiH3)2, SiH2(nPr)N(SiH2-CH2-SiH3)2, SiH2(Bu)N(SiH2-CH2-SiH3)2, SiH2(iBu)N(SiH2-CH2-SiH3)2, SiH2(tBu)N(SiH2-CH2-SiH3)2, SiH2(아밀)N(SiH2-CH2-SiH3)2 및 SiH2(헥실)N(SiH2-CH2-SiH3)2를 들 수 있지만, 이에 제한되지 않는다. 부가적인 N-Si 결합에 의해 이들 전구체는 N-H 결합을 갖는 전구체보다 더 안정하게 되지만, N-C 결합을 갖는 전구체보다 더 반응성이 된다. 그 결과, 이들 전구체는 중합을 위해 적절한 조건이 요구되는 경우에 바람직할 수 있다. 탄소 사슬의 길이는 막 내에서 요구되는 탄소의 양을 제공하도록 선택될 수 있다. 최종적으로, 보다 낮은 분자량 및 보다 높은 증기압을 갖는 나열된 전구체는 기상 증착 기법에 보다 더 적합한 반면, 보다 높은 분자량을 갖는 전구체는 코팅 기법에 보다 더 적합하다.
m이 1이고; R1, R2, R3, R4 및 R5가 H이고; R이 R1'R2'R3'Si(CH2)bSiR4'R5' 기(여기서 b는 1 내지 2이고, R1', R2', R3', R4' 및 R5'는 독립적으로 H 또는 C1~C6 탄화수소기임)인 경우, 화학식 IV로 나타낸 개시된 카보실라잔 전구체로는 (SiH3-CH2-CH2-SiH2)N(SiH2-CH2-SiH3)2, (SiMe3-CH2-SiMe2)N(SiH2-CH2-SiH3)2, (SiMe3-CH2-CH2-SiMe2)N(SiH2-CH2-SiH3)2, (SiEt3-CH2-SiEt2)N(SiH2-CH2-SiH3)2 또는 (SiEt3-CH2-CH2-SiEt2)N(SiH2-CH2-SiH3)2를 들 수 있지만, 이에 제한되지 않는다.
m이 1이고; R1, R2, R3, R4 및 R5가 H이고; R이 CyH2y+1(여기서 y는 1 내지 6임)인 경우, 화학식 IV로 나타낸 개시된 카보실라잔 전구체로는 (Me)N(SiH2-CH2-SiH3))2, (Et)N(SiH2-CH2-SiH3)2, (nPr)N(SiH2-CH2-SiH3)2, (iPr)N(SiH2-CH2-SiH3)2, (Bu)N(SiH2-CH2-SiH3)2, (iBu)N(SiH2-CH2-SiH3)2, (tBu)N(SiH2-CH2-SiH3)2, (아밀)N(SiH2-CH2-SiH3)2 및 (헥실)N(SiH2-CH2-SiH3)2를 들 수 있다. 이러한 부류의 화합물은 (Si-R을 위한) Si-C 결합이 높은 반응성이 아니고 증착 공정 도중에 온전하게 유지될 가능성이 있기 때문에 SiOC 또는 SiNC와 같이 탄소 함량을 갖는 막의 기상 증착에 유용할 수 있다. 그 결과, 너무 많은 C의 증착을 방지하기 위해 y는 바람직하게는 1 내지 3이다. 또한 이들 전구체는 RNHR2 반응물이 Et, Pr, Bu, 펜틸 및 헥실의 경우에 액체이기 때문에 DSP3 유사체보다 합성하기 용이하다.
m이 1이고; R1, R2, R3, R4 및 R5가 H이고; R이 CxH2x-y(여기서 x는 2 내지 6이고, y는 x가 2~6인 경우에 0이거나, y는 x가 3~6인 경우에 2이거나, y는 x가 4~6인 경우에 4임)인 경우, 화학식 IV로 나타낸 개시된 카보실라잔 전구체로는 (비닐)N(SiH2-CH2-SiH3)2, (알릴)N(SiH2-CH2-SiH3)2, (프로파디엔)N(SiH2-CH2-SiH3)2, (부텐)N(SiH2-CH2-SiH3)2, (부타디엔)N(SiH2-CH2-SiH3)2, (부타트리엔)N(SiH2-CH2-SiH3)2 또는 (헥사디엔)N(SiH2-CH2-SiH3)2를 들 수 있다. 또한 이러한 부류의 화합물은 탄소 함량을 갖는 막의 기상 증착에 유용할 수 있다. 게다가, 불포화 탄화수소는 화학 흡착 또는 물리 흡착된 전구체들 사이에 가교 가능성을 제공한다.
m이 1이고; R1, R2, R3, R4 및 R5가 H이고; R이 SiHx(NR'R")3-x(여기서 x는 1 또는 2이고, R' 및 R"는 독립적으로 Me, Et, iPr 또는 nPr임)인 경우, 화학식 IV로 나타낸 개시된 카보실라잔 전구체로는 (SiH2NMe2)N(SiH2-CH2-SiH3)2, (SiH2NEt2)N(SiH2-CH2-SiH3)2, (SiH2NiPr2)N(SiH2-CH2-SiH3)2, (SiH2NnPr2)N(SiH2-CH2-SiH3)2, (SiH2NMeEt)N(SiH2-CH2-SiH3)2, (SiH(NMe2)2)N(SiH2-CH2-SiH3)2 및 SiH(NEt2)2)N(SiH2-CH2-SiH3)2를 들 수 있지만, 이에 제한되지 않는다.
m이 1이고; R1, R2, R3 및 R4가 H이고; R이 H, CuH2u+1 또는 SivH2v-1(여기서 u는 1~6이고, v는 1~4임)인 화학식 IV로 나타낸 예시적인 카보실라잔 전구체로는 RN(SiH(CH2=CH)-CH2-SiH3)2, RN(SiH(CH2=CH-CH2)-CH2-SiH3)2, RN(SiH(NH2)-CH2-SiH3)2, RN(SiH(NMe2)-CH2-SiH3)2, RN(SiH(NMeEt)-CH2-SiH3)2, RN(SiH(NEt2)-CH2-SiH3)2, RN(SiH(NnPr2)-CH2-SiH3)2, RN(SiH(NiPr2)-CH2-SiH3)2, RN(SiH(NBu2)-CH2-SiH3)2, RN(SiH(NiBu2)-CH2-SiH3)2, RN(SiH(NtBu2)-CH2-SiH3)2, RN(SiH(NAm2)-CH2-SiH3)2, RN(SiH(NCy펜틸2)-CH2-SiH3)2, RN(SiH(N헥실2)-CH2-SiH3)2, RN(SiH(NCy헥실2)-CH2-SiH3)2, RN(SiH(NMeH)-CH2-SiH3)2, RN(SiH(NEtH)-CH2-SiH3)2, RN(SiH(NnPrH)-CH2-SiH3)2, RN(SiH(NiPrH)-CH2-SiH3)2, RN(SiH(NBuH)-CH2-SiH3)2, RN(SiH(NiBuH)-CH2-SiH3)2, RN(SiH(NtBuH)-CH2-SiH3)2, RN(SiH(NAmH)-CH2-SiH3)2, RN(SiH(피리딘)-CH2-SiH3)2, RN(SiH(피롤)-CH2-SiH3)2, RN(SiH(피롤리딘)-CH2-SiH3)2 및 RN(SiH(이미다졸)-CH2-SiH3)2를 들 수 있지만, 이에 제한되지 않는다.
m이 1이고; R2, R3, R4 및 R5가 H이고; R이 H, CuH2u+1 또는 SivH2v-1(여기서 u는 1~6이고, v는 1~4임)인 화학식 IV로 나타낸 예시적인 카보실라잔 전구체로는 RN(SiH2-CH2-SiH2(CH2=CH))2, RN(SiH2-CH2-SiH2(CH2=CH-CH2))2, RN(SiH2-CH2-SiH2(NH2))2, RN(SiH2-CH2-SiH2(NMe2))2, RN(SiH2-CH2-SiH2(NMeEt))2, RN(SiH2-CH2-SiH2(NEt2))2, RN(SiH2-CH2-SiH2(NnPr2))2, RN(SiH2-CH2-SiH2(NiPr2))2, RN(SiH2-CH2-SiH2(NBu2))2, RN(SiH2-CH2-SiH2(NiBu2))2, RN(SiH2-CH2-SiH2(NtBu2))2, RN(SiH2-CH2-SiH2(NAm2))2, RN(SiH2-CH2-SiH2(NCy펜틸2))2, RN(SiH2-CH2-SiH2(N헥실2))2, RN(SiH2-CH2-SiH2(NCy헥실2))2, RN(SiH2-CH2-SiH2(NMeH))2, RN(SiH2-CH2-SiH2(NEtH))2, RN(SiH2-CH2-SiH2(NnPrH))2, RN(SiH2-CH2-SiH2(NiPrH))2, RN(SiH2-CH2-SiH2(NBuH))2, RN(SiH2-CH2-SiH2(NiBuH))2, RN(SiH2-CH2-SiH2(NtBuH))2, RN(SiH2-CH2-SiH2(NAmH))2, RN(SiH2-CH2-SiH2(피리딘))2, RN(SiH2-CH2-SiH2(피롤))2, RN(SiH2-CH2-SiH2(피롤리딘))2 및 RN(SiH2-CH2-SiH2(이미다졸))2를 들 수 있지만, 이에 제한되지 않는다. 이들 전구체는 적어도 부분적으로는 SiH 결합에 대해 상술한 이점으로 인해 기상 증착 또는 코팅 응용에 적합할 수 있다. 또한 말단 아미노 리간드는, 상술한 바와 같이, 얻어진 막에 대한 부가적인 N 및/또는 C 공급원뿐만 아니라 향상된 열적 안정성을 제공할 수 있다. 최종적으로, 보다 낮은 분자량 및 보다 높은 증기압을 갖는 나열된 전구체는 기상 증착 기법에 보다 더 적합한 반면, 보다 높은 분자량을 갖는 전구체는 코팅 기법에 보다 더 적합하다.
m이 1이고; R1, R2 및 R3이 H이고; R이 H, CuH2u+1 또는 SivH2v-1(여기서 u는 1~6이고, v는 1~4임)인 화학식 IV로 나타낸 예시적인 카보실라잔 전구체로는 RN(Si(CH2=CH)2-CH2-SiH3)2, RN(Si(CH2=CH-CH2)2-CH2-SiH3)2, RN(Si(NH2)2-CH2-SiH3)2, RN(Si(NMe2)2-CH2-SiH3)2, RN(Si(NMeEt)2-CH2-SiH3)2, RN(SiNEt2-CH2-SiH3)2, RN(Si(NnPr2)2-CH2-SiH3)2, RN(Si(NiPr2)2-CH2-SiH3)2, RN(Si(NBu2)2-CH2-SiH3)2, RN(Si(NiBu2)2-CH2-SiH3)2, RN(Si(NtBu2)2-CH2-SiH3)2, RN(Si(NAm2)2-CH2-SiH3)2, RN(Si(NCy펜틸2)2-CH2-SiH3)2, RN(Si(N헥실2)2-CH2-SiH3)2, RN(Si(NCy헥실2)2-CH2-SiH3)2, RN(Si(NMeH)2-CH2-SiH3)2, RN(Si(NEtH)2-CH2-SiH3)2, RN(Si(NnPrH)2-CH2-SiH3)2, RN(Si(NiPrH)2-CH2-SiH3)2, RN(Si(NBuH)2-CH2-SiH3)2, RN(Si(NiBuH)2-CH2-SiH3)2, RN(Si(NtBuH)2-CH2-SiH3)2, RN(Si(NAmH)2-CH2-SiH3)2, RN(Si(피리딘)2-CH2-SiH3)2, RN(Si(피롤)2-CH2-SiH3)2, RN(Si(피롤리딘)2-CH2-SiH3)2 및 RN(Si(이미다졸)2-CH2-SiH3)2를 들 수 있지만, 이에 제한되지 않는다.
m이 1이고; R2, R3 및 R4가 H이고; R이 H, CuH2u+1 또는 SivH2v-1(여기서 u는 1~6이고, v는 1~4임)인 화학식 IV로 나타낸 예시적인 카보실라잔 전구체로는 RN(SiH(CH2=CH)-CH2-SiH2(CH2=CH))2, RN(SiH(CH2=CH-CH2)-CH2-SiH2(CH2=CH-CH2))2, RN(SiH(NH2)-CH2-SiH2(NH2))2, RN(SiH(NMe2)-CH2-SiH2(NMe2))2, RN(SiH(NMeEt)-CH2-SiH2(NMeEt))2, RN(SiH(NEt2)-CH2-SiH2(NEt2))2, RN(SiH(NnPr2)-CH2-SiH2(NnPr2))2, RN(SiH(NiPr2)-CH2-SiH2(NiPr2))2, RN(SiH(NBu2)-CH2-SiH2(NBu2))2, RN(SiH(NiBu2)-CH2-SiH2(NiBu2))2, RN(SiH(NtBu2)-CH2-SiH2(NtBu2))2, RN(SiH(NAm2)-CH2-SiH2(NAm2))2, RN(SiH(NCy펜틸2)-CH2-SiH2(NCy펜틸2))2, RN(SiH(N헥실2)-CH2-SiH2(N헥실2))2, RN(SiH(NCy헥실2)-CH2-SiH2(NCy헥실2))2, RN(SiH(NMeH)-CH2-SiH2(NMeH))2, RN(SiH(NEtH)-CH2-SiH2(NEtH))2, RN(SiH(NnPrH)-CH2-SiH2(NnPrH))2, RN(SiH(NiPrH)-CH2-SiH2(NiPrH))2, RN(SiH(NBuH)-CH2-SiH2(NBuH))2, RN(SiH(NiBuH)-CH2-SiH2(NiBuH))2, RN(SiH(NtBuH)-CH2-SiH2(NtBuH))2, RN(SiH(NAmH)-CH2-SiH2(NAmH))2, RN(SiH(피리딘)-CH2-SiH2(피리딘))2, RN(SiH(피롤)-CH2-SiH2(피롤))2, RN(SiH(피롤리딘)-CH2-SiH2(피롤리딘))2 및 RN(SiH(이미다졸)-CH2-SiH2(이미다졸))2를 들 수 있다.
m이 1이고; R3, R4 및 R5가 H이고; R이 H, CuH2u+1 또는 SivH2v-1(여기서 u는 1~6이고, v는 1~4임)인 화학식 IV로 나타낸 예시적인 카보실라잔 전구체로는 RN(SiH2-CH2-SiH(CH2=CH)2)2, RN(SiH2-CH2-SiH(CH2=CH-CH2)2)2, RN(SiH2-CH2-SiH(NH2)2)2, RN(SiH2-CH2-SiH(NMe2)2)2, RN(SiH2-CH2-SiH(NMeEt)2)2, RN(SiH2-CH2-SiH(NEt2)2)2, RN(SiH2-CH2-SiH(NnPr2)2)2, RN(SiH2-CH2-SiH(NiPr2)2)2, RN(SiH2-CH2-SiH(NBu2)2)2, RN(SiH2-CH2-SiH(NiBu2)2)2, RN(SiH2-CH2-SiH(NtBu2)2)2, RN(SiH2-CH2-SiH(NAm2)2)2, RN(SiH2-CH2-SiH(NCy펜틸2)2)2, RN(SiH2-CH2-SiH(N헥실2)2)2, RN(SiH2-CH2-SiH(NCy헥실2)2)2, RN(SiH2-CH2-SiH(NMeH)2)2, RN(SiH2-CH2-SiH(NEtH)2)2, RN(SiH2-CH2-SiH(NnPrH)2)2, RN(SiH2-CH2-SiH(NiPrH)2)2, RN(SiH2-CH2-SiH(NBuH)2)2, RN(SiH2-CH2-SiH(NiBuH)2)2, RN(SiH2-CH2-SiH(NtBuH)2)2, RN(SiH2-CH2-SiH(NAmH)2)2, RN(SiH2-CH2-SiH(피리딘)2)2, RN(SiH2-CH2-SiH(피롤)2)2, RN(SiH2-CH2-SiH(피롤리딘)2)2 및 RN(SiH2-CH2-SiH(이미다졸)2)2를 들 수 있지만, 이에 제한되지 않는다. 이들 전구체는 적어도 부분적으로는 SiH 결합에 대해 상술한 이점으로 인해 기상 증착 또는 코팅 응용에 적합하다. 또한 말단 아미노 리간드는, 상술한 바와 같이, 얻어진 막에 대한 부가적인 N 및/또는 C 공급원뿐만 아니라 향상된 열적 안정성을 제공할 수 있다. 최종적으로, 보다 낮은 분자량 및 보다 높은 증기압을 갖는 나열된 전구체는 기상 증착 기법에 보다 더 적합한 반면, 보다 높은 분자량을 갖는 전구체는 코팅 기법에 보다 더 적합하다.
m이 1이고; R4 및 R5가 H이고; R이 H, CuH2u+1 또는 SivH2v-1(여기서 u는 1~6이고, v는 1~4임)인 화학식 IV로 나타낸 예시적인 카보실라잔 전구체로는 RN(SiH2-CH2-Si(CH2=CH)3)2, RN(SiH2-CH2-Si(CH2=CH-CH2)3)2, RN(SiH2-CH2-Si(NH2)3)2, RN(SiH2-CH2-Si(NMe2)3)2, RN(SiH2-CH2-Si(NMeEt)3)2, RN(SiH2-CH2-Si(NEt2)3)2, RN(SiH2-CH2-Si(NnPr2)3)2, RN(SiH2-CH2-Si(NiPr2)3)2, RN(SiH2-CH2-Si(NBu2)3)2, RN(SiH2-CH2-Si(NiBu2)3)2, RN(SiH2-CH2-Si(NtBu2)3)2, RN(SiH2-CH2-Si(NAm2)3)2, RN(SiH2-CH2-Si(NCy펜틸2)3)2, RN(SiH2-CH2-Si(N헥실2)3)2, RN(SiH2-CH2-Si(NCy헥실2)3)2, RN(SiH2-CH2-Si(NMeH)3)2, RN(SiH2-CH2-Si(NEtH)3)2, RN(SiH2-CH2-Si(NnPrH)3)2, RN(SiH2-CH2-Si(NiPrH)3)2, RN(SiH2-CH2-Si(NBuH)3)2, RN(SiH2-CH2-Si(NiBuH)3)2, RN(SiH2-CH2-Si(NtBuH)3)2, RN(SiH2-CH2-Si(NAmH)3)2, RN(SiH2-CH2-Si(피리딘)3)2, RN(SiH2-CH2-Si(피롤)3)2, RN(SiH2-CH2-Si(피롤리딘)3)2 및 RN(SiH2-CH2-Si(이미다졸)3)2를 들 수 있지만, 이에 제한되지 않는다. 이들 전구체는 적어도 부분적으로는 SiH 결합에 대해 상술한 이점으로 인해 기상 증착 또는 코팅 응용에 적합할 수 있다. 또한 말단 아미노 리간드는, 상술한 바와 같이, 얻어진 막에 대한 부가적인 N 및/또는 C 공급원뿐만 아니라 향상된 열적 안정성을 제공할 수 있다. 최종적으로, 보다 낮은 분자량 및 보다 높은 증기압을 갖는 나열된 전구체는 기상 증착 기법에 보다 더 적합한 반면, 보다 높은 분자량을 갖는 전구체는 코팅 기법에 보다 더 적합하다.
m이 2이고 R, R1, R2, R3, R4 및 R5가 H인 경우, 개시된 카보실라잔 전구체는 HN(SiH2-CH2-CH2-SiH3)2(HNDSB2)이다. HNDSB2는 휘발성이고, 다수의 Si-H 결합을 함유하며, 이는 기판 표면에 대해 보다 강한 반응성을 갖게 된다. 그 결과, 이러한 전구체는 기상 증착 공정에 적합할 수 있으며, 보다 구체적으로는 ALD 공정에 적합할 수 있다. 본 출원인은 이러한 전구체가 심지어는 N2를 사용한 PEALD 공정에서 Si-Cl 말단형 또는 심지어 Si 말단형 기판 표면에 부착하기에 충분한 반응성일 수 있다는 것을 믿고 있다.
m이 2이고; R1, R2, R3, R4 및 R5가 H이고; R이 SixH2x+1(여기서 x는 1 내지 4임)인 경우, 화학식 IV로 나타낸 개시된 카보실라잔 전구체로는 SiH3N(SiH2-CH2-CH2-SiH3)2, Si2H5N(SiH2-CH2-CH2-SiH3)2, Si3H7N(SiH2-CH2-CH2-SiH3)2 및 Si4H9N(SiH2-CH2-CH2-SiH3)2가 있다. 이들 전구체는 적어도 부분적으로는 SiH 결합에 대해 상술한 이점으로 인해 기상 증착 응용에 적합할 수 있다. 부가적인 N-Si 결합에 의해 이들 전구체는 N-H 결합을 갖는 전구체보다 더 안정하게 되지만, N-C 결합을 갖는 전구체보다 더 반응성이 된다. 그 결과, 이들 전구체는 중합을 위해 적절한 조건이 요구되는 경우에 바람직할 수 있다. 또한 무탄소 SixH2x+1은 R이 H 또는 알킬기인 상응하는 분자보다 얻어진 막에서 Si의 증가를 초래할 수 있다.
m이 2이고; R1, R2, R3, R4 및 R5가 H이고; R이 SiHz(CyH2y+1)3-z(여기서 y는 1 내지 6이고, z는 0 내지 2임)인 경우, 화학식 IV로 나타낸 개시된 카보실라잔 전구체로는 (SiMe3)N(SiH2-CH2-CH2-SiH3)2, (SiEt3)N(SiH2-CH2-CH2-SiH3)2, Si(iPr)3N(SiH2-CH2-CH2-SiH3)2, Si(nPr)3N(SiH2-CH2-CH2-SiH3)2, Si(Bu)3N(SiH2-CH2-CH2-SiH3)2, Si(iBu)3N(SiH2-CH2-CH2-SiH3)2, Si(tBu)3N(SiH2-CH2-CH2-SiH3)2, Si(아밀)3N(SiH2-CH2-CH2-SiH3)2, Si(헥실)3N(SiH2-CH2-CH2-SiH3)2, (SiHMe2)N(SiH2-CH2-CH2-SiH3)2, (SiHEt2)N(SiH2-CH2-CH2-SiH3)2, SiH(iPr)2N(SiH2-CH2-CH2-SiH3)2, SiH(nPr)2N(SiH2-CH2-CH2-SiH3)2, SiH(Bu)2N(SiH2-CH2-CH2-SiH3)2, SiH(iBu)2N(SiH2-CH2-CH2-SiH3)2, SiH(tBu)2N(SiH2-CH2-CH2-SiH3)2, SiH(아밀)2N(SiH2-CH2-CH2-SiH3)2, SiH(헥실)2N(SiH2-CH2-CH2-SiH3)2, (SiH2Me2)N(SiH2-CH2-CH2-SiH3)2, (SiH2Et2)N(SiH2-CH2-CH2-SiH3)2, SiH2(iPr)N(SiH2-CH2-CH2-SiH3)2, SiH2(nPr)N(SiH2-CH2-CH2-SiH3)2, SiH2(Bu)N(SiH2-CH2-CH2-SiH3)2, SiH2(iBu)N(SiH2-CH2-CH2-SiH3)2, SiH2(tBu)N(SiH2-CH2-CH2-SiH3)2, SiH2(아밀)N(SiH2-CH2-CH2-SiH3)2 및 SiH2(헥실)N(SiH2-CH2-CH2-SiH3)2를 들 수 있지만, 이에 제한되지 않는다. 부가적인 N-Si 결합에 의해 이들 전구체는 N-H 결합을 갖는 전구체보다 더 안정하게 되지만, N-C 결합을 갖는 전구체보다 더 반응성이 된다. 그 결과, 이들 전구체는 중합을 위해 적절한 조건이 요구되는 경우에 바람직할 수 있다. 탄소 사슬의 길이는 막 내에서 요구되는 탄소의 양을 제공하도록 선택될 수 있다. 최종적으로, 보다 낮은 분자량 및 보다 높은 증기압을 갖는 나열된 전구체는 기상 증착 기법에 보다 더 적합한 반면, 보다 높은 분자량을 갖는 전구체는 코팅 기법에 보다 더 적합하다.
m이 2이고; R1, R2, R3, R4 및 R5가 H이고; R이 R1'R2'R3'Si(CH2)bSiR4'R5' 기(여기서 b는 1 내지 2이고, R1', R2', R3', R4' 및 R5'는 독립적으로 H 또는 C1~C6 탄화수소기임)인 경우, 화학식 IV로 나타낸 개시된 카보실라잔 전구체로는 (SiH3-CH2-SiH2)N(SiH2-CH2-CH2-SiH3)2, (SiH3-CH2-CH2-SiH2)N(SiH2-CH2-CH2-SiH3)2, (SiMe3-CH2-SiMe2)N(SiH2-CH2-CH2-SiH3)2, (SiMe3-CH2-CH2-SiMe2)N(SiH2-CH2-CH2-SiH3)2, (SiEt3-CH2-SiEt2)N(SiH2-CH2-CH2-SiH3)2 및 (SiEt3-CH2-CH2-SiEt2)N(SiH2-CH2-CH2-SiH3)2를 들 수 있지만, 이에 제한되지 않는다.
m이 2이고; R1, R2, R3, R4 및 R5가 H이고; R이 CyH2y+1(여기서 y는 1 내지 6임)인 경우, 화학식 IV로 나타낸 개시된 카보실라잔 전구체로는 (Me)N(SiH2-CH2-CH2-SiH3)2, (Et)N(SiH2-CH2-CH2-SiH3)2, (nPr)N(SiH2-CH2-CH2-SiH3)2, (iPr)N(SiH2-CH2-CH2-SiH3)2, (Bu)N(SiH2-CH2-CH2-SiH3)2, (iBu)N(SiH2-CH2-CH2-SiH3)2, (tBu)N(SiH2-CH2-CH2-SiH3)2, (아밀)N(SiH2-CH2-CH2-SiH3)2 및 (헥실)N(SiH2-CH2-CH2-SiH3)2를 들 수 있지만, 이에 제한되지 않는다. 이러한 부류의 화합물은 (Si-R을 위한) Si-C 결합이 높은 반응성이 아니고 증착 공정 도중에 온전하게 유지될 가능성이 있기 때문에 SiOC 또는 SiNC와 같이 탄소 함량을 갖는 막의 기상 증착에 유용할 수 있다. 그 결과, 너무 많은 C의 증착을 방지하기 위해 y는 바람직하게는 1 내지 3이다. 또한 이들 전구체는 RNHR2 반응물이 Et, Pr, Bu, 펜틸 및 헥실의 경우에 액체이기 때문에 DSP3 유사체보다 합성하기 용이하다.
m이 2이고; R1, R2, R3, R4 및 R5가 H이고; R이 SiHx(NR'R")3-x(여기서 x는 1 또는 2이고, R' 및 R"는 독립적으로 Me, Et, iPr, nPr임)인 경우, 화학식 IV로 나타낸 개시된 카보실라잔 전구체로는 (SiH2NMe2)N(SiH2-CH2-CH2-SiH3)2, (SiH2NEt2)N(SiH2-CH2-CH2-SiH3)2, (SiH2NiPr2)N(SiH2-CH2-CH2-SiH3)2, (SiH2NnPr2)N(SiH2-CH2-CH2-SiH3)2, (SiH2NMeEt)N(SiH2-CH2-CH2-SiH3)2, (SiH(NMe2)2)N(SiH2-CH2-CH2-SiH3)2 및 SiH(NEt2)2)N(SiH2-CH2-CH2-SiH3)2를 들 수 있지만, 이에 제한되지 않는다.
m이 2이고; R1, R2, R3 및 R4가 H이고; R이 H, CuH2u+1 또는 SivH2v-1(여기서 u는 1~6이고, v는 1~4임)인 화학식 IV로 나타낸 예시적인 카보실라잔 전구체로는 RN(SiH(CH2=CH)-CH2-CH2-SiH3)2, RN(SiH(CH2=CH-CH2)-CH2-CH2-SiH3)2, RN(SiH(NH2)-CH2-CH2-SiH3)2, RN(SiH(NMe2)-CH2-CH2-SiH3)2, RN(SiH(NMeEt)-CH2-CH2-SiH3)2, RN(SiH(NEt2)-CH2-CH2-SiH3)2, RN(SiH(NnPr2)-CH2-CH2-SiH3)2, RN(SiH(NiPr2)-CH2-CH2-SiH3)2, RN(SiH(NBu2)-CH2-CH2-SiH3)2, RN(SiH(NiBu2)-CH2-CH2-SiH3)2, RN(SiH(NtBu2)-CH2-CH2-SiH3)2, RN(SiH(NAm2)-CH2-CH2-SiH3)2, RN(SiH(NCy펜틸2)-CH2-CH2-SiH3)2, RN(SiH(N헥실2)-CH2-CH2-SiH3)2, RN(SiH(NCy헥실2)-CH2-CH2-SiH3)2, RN(SiH(NMeH)-CH2-CH2-SiH3)2, RN(SiH(NEtH)-CH2-CH2-SiH3)2, RN(SiH(NnPrH)-CH2-CH2-SiH3)2, RN(SiH(NiPrH)-CH2-CH2-SiH3)2, RN(SiH(NBuH)-CH2-CH2-SiH3)2, RN(SiH(NiBuH)-CH2-CH2-SiH3)2, RN(SiH(NtBuH)-CH2-CH2-SiH3)2, RN(SiH(NAmH)-CH2-CH2-SiH3)2, RN(SiH(피리딘)-CH2-CH2-SiH3)2, RN(SiH(피롤)-CH2-CH2-SiH3)2, RN(SiH(피롤리딘)-CH2-CH2-SiH3)2 및 RN(SiH(이미다졸)-CH2-CH2-SiH3)2를 들 수 있지만, 이에 제한되지 않는다.
m이 2이고; R2, R3, R4 및 R5가 H이고; R이 H, CuH2u+1 또는 SivH2v-1(여기서 u는 1~6이고, v는 1~4임)인 화학식 IV로 나타낸 예시적인 카보실라잔 전구체로는 RN(SiH2-CH2-CH2-SiH2(CH2=CH))2, RN(SiH2-CH2-CH2-SiH2(CH2=CH-CH2))2, RN(SiH2-CH2-CH2-SiH2(NH2))2, RN(SiH2-CH2-CH2-SiH2(NMe2))2, RN(SiH2-CH2-CH2-SiH2(NMeEt))2, RN(SiH2-CH2-CH2-SiH2(NEt2))2, RN(SiH2-CH2-CH2-SiH2(NnPr2))2, RN(SiH2-CH2-CH2-SiH2(NiPr2))2, RN(SiH2-CH2-CH2-SiH2(NBu2))2, RN(SiH2-CH2-CH2-SiH2(NiBu2))2, RN(SiH2-CH2-CH2-SiH2(NtBu2))2, RN(SiH2-CH2-CH2-SiH2(NAm2))2, RN(SiH2-CH2-CH2-SiH2(NCy펜틸2))2, RN(SiH2-CH2-CH2-SiH2(N헥실2))2, RN(SiH2-CH2-CH2-SiH2(NCy헥실2))2, RN(SiH2-CH2-CH2-SiH2(NMeH))2, RN(SiH2-CH2-CH2-SiH2(NEtH))2, RN(SiH2-CH2-CH2-SiH2(NnPrH))2, RN(SiH2-CH2-CH2-SiH2(NiPrH))2, RN(SiH2-CH2-CH2-SiH2(NBuH))2, RN(SiH2-CH2-CH2-SiH2(NiBuH))2, RN(SiH2-CH2-CH2-SiH2(NtBuH))2, RN(SiH2-CH2-CH2-SiH2(NAmH))2, RN(SiH2-CH2-CH2-SiH2(피리딘))2, RN(SiH2-CH2-CH2-SiH2(피롤))2, RN(SiH2-CH2-CH2-SiH2(피롤리딘))2 및 RN(SiH2-CH2-CH2-SiH2(이미다졸))2를 들 수 있지만, 이에 제한되지 않는다. 이들 전구체는 적어도 부분적으로는 SiH 결합에 대해 상술한 이점으로 인해 기상 증착 또는 코팅 응용에 적합하다. 또한 말단 아미노 리간드는, 상술한 바와 같이, 얻어진 막에 대한 부가적인 N 및/또는 C 공급원뿐만 아니라 향상된 열적 안정성을 제공할 수 있다. 최종적으로, 보다 낮은 분자량 및 보다 높은 증기압을 갖는 나열된 전구체는 기상 증착 기법에 보다 더 적합한 반면, 보다 높은 분자량을 갖는 전구체는 코팅 기법에 보다 더 적합하다.
m이 2이고; R1, R2 및 R3이 H이고; R이 H, CuH2u+1 또는 SivH2v-1(여기서 u는 1~6이고, v는 1~4임)인 화학식 IV로 나타낸 예시적인 카보실라잔 전구체로는 RN(Si(CH2=CH)2-CH2-CH2-SiH3)2, RN(Si(CH2=CH-CH2)2-CH2-CH2-SiH3)2, RN(Si(NH2)2-CH2-CH2-SiH3)2, RN(Si(NMe2)2-CH2-CH2-SiH3)2, RN(Si(NMeEt)2-CH2-CH2-SiH3)2, RN(Si(NEt2)2-CH2-CH2-SiH3)2, RN(Si(NnPr2)2-CH2-CH2-SiH3)2, RN(Si(NiPr2)2-CH2-CH2-SiH3)2, RN(Si(NBu2)2-CH2-CH2-SiH3)2, RN(Si(NiBu2)2-CH2-CH2-SiH3)2, RN(Si(NtBu2)2-CH2-CH2-SiH3)2, RN(Si(NAm2)2-CH2-CH2-SiH3)2, RN(Si(NCy펜틸2)2-CH2-CH2-SiH3)2, RN(Si(N헥실2)2-CH2-CH2-SiH3)2, RN(Si(NCy헥실2)2-CH2-CH2-SiH3)2, RN(Si(NMeH)2-CH2-CH2-SiH3)2, RN(Si(NEtH)2-CH2-CH2-SiH3)2, RN(Si(NnPrH)2-CH2-CH2-SiH3)2, RN(Si(NiPrH)2-CH2-CH2-SiH3)2, RN(Si(NBuH)2-CH2-CH2-SiH3)2, RN(Si(NiBuH)2-CH2-CH2-SiH3)2, RN(Si(NtBuH)2-CH2-CH2-SiH3)2, RN(Si(NAmH)2-CH2-CH2-SiH3)2, RN(Si(피리딘)2-CH2-CH2-SiH3)2, RN(Si(피롤)2-CH2-CH2-SiH3)2, RN(Si(피롤리딘)2-CH2-CH2-SiH3)2 및 RN(Si(이미다졸)2-CH2-CH2-SiH3)2를 들 수 있지만, 이에 제한되지 않는다.
m이 2이고; R2, R3 및 R4가 H이고; R이 H, CuH2u+1 또는 SivH2v-1(여기서 u는 1~6이고, v는 1~4임)인 화학식 IV로 나타낸 예시적인 카보실라잔 전구체로는 RN(SiH(CH2=CH)-CH2-CH2-SiH2(CH2=CH))2, RN(SiH(CH2=CH-CH2)-CH2-CH2-SiH2(CH2=CH-CH2))2, RN(SiH(NH2)-CH2-CH2-SiH2(NH2))2, RN(SiH(NMe2)-CH2-CH2-SiH2(NMe2))2, RN(SiH(NMeEt)-CH2-CH2-SiH2(NMeEt))2, RN(SiH(NEt2)-CH2-CH2-SiH2(NEt2))2, RN(SiH(NnPr2)-CH2-CH2-SiH2(NnPr2))2, RN(SiH(NiPr2)-CH2-CH2-SiH2(NiPr2))2, RN(SiH(NBu2)-CH2-CH2-SiH2(NBu2))2, RN(SiH(NiBu2)-CH2-CH2-SiH2(NiBu2))2, RN(SiH(NtBu2)-CH2-CH2-SiH2(NtBu2))2, RN(SiH(NAm2)-CH2-CH2-SiH2(NAm2))2, RN(SiH(NCy펜틸2)-CH2-CH2-SiH2(NCy펜틸2))2, RN(SiH(N헥실2)-CH2-CH2-SiH2(N헥실2))2, RN(SiH(NCy헥실2)-CH2-CH2-SiH2(NCy헥실2))2, RN(SiH(NMeH)-CH2-CH2-SiH2(NMeH))2, RN(SiH(NEtH)-CH2-CH2-SiH2(NEtH))2, RN(SiH(NnPrH)-CH2-CH2-SiH2(NnPrH))2, RN(SiH(NiPrH)-CH2-CH2-SiH2(NiPrH))2, RN(SiH(NBuH)-CH2-CH2-SiH2(NBuH))2, RN(SiH(NiBuH)-CH2-CH2-SiH2(NiBuH))2, RN(SiH(NtBuH)-CH2-CH2-SiH2(NtBuH))2, RN(SiH(NAmH)-CH2-CH2-SiH2(NAmH))2, RN(SiH(피리딘)-CH2-CH2-SiH2(피리딘))2, RN(SiH(피롤)-CH2-CH2-SiH2(피롤))2, RN(SiH(피롤리딘)-CH2-CH2-SiH2(피롤리딘))2 및 RN(SiH(이미다졸)-CH2-CH2-SiH2(이미다졸))2를 들 수 있지만, 이에 제한되지 않는다.
m이 2이고; R3, R4 및 R5가 H이고; R이 H, CuH2u+1 또는 SivH2v-1(여기서 u는 1~6이고, v는 1~4임)인 화학식 IV로 나타낸 예시적인 카보실라잔 전구체로는 RN(SiH2-CH2-CH2-SiH(CH2=CH)2)2, RN(SiH2-CH2-CH2-SiH(CH2=CH-CH2)2)2, RN(SiH2-CH2-CH2-SiH(NH2)2)2, RN(SiH2-CH2-CH2-SiH(NMe2)2)2, RN(SiH2-CH2-CH2-SiH(NMeEt)2)2, RN(SiH2-CH2-CH2-SiH(NEt2)2)2, RN(SiH2-CH2-CH2-SiH(NnPr2)2)2, RN(SiH2-CH2-CH2-SiH(NiPr2)2)2, RN(SiH2-CH2-CH2-SiH(NBu2)2)2, RN(SiH2-CH2-CH2-SiH(NiBu2)2)2, RN(SiH2-CH2-CH2-SiH(NtBu2)2)2, RN(SiH2-CH2-CH2-SiH(NAm2)2)2, RN(SiH2-CH2-CH2-SiH(NCy펜틸2)2)2, RN(SiH2-CH2-CH2-SiH(N헥실2)2)2, RN(SiH2-CH2-CH2-SiH(NCy헥실2)2)2, RN(SiH2-CH2-CH2-SiH(NMeH)2)2, RN(SiH2-CH2-CH2-SiH(NEtH)2)2, RN(SiH2-CH2-CH2-SiH(NnPrH)2)2, RN(SiH2-CH2-CH2-SiH(NiPrH)2)2, RN(SiH2-CH2-CH2-SiH(NBuH)2)2, RN(SiH2-CH2-CH2-SiH(NiBuH)2)2, RN(SiH2-CH2-CH2-SiH(NtBuH)2)2, RN(SiH2-CH2-CH2-SiH(NAmH)2)2, RN(SiH2-CH2-CH2-SiH(피리딘)2)2, RN(SiH2-CH2-CH2-SiH(피롤)2)2, RN(SiH2-CH2-CH2-SiH(피롤리딘)2)2 및 RN(SiH2-CH2-CH2-SiH(이미다졸)2)2를 들 수 있지만, 이에 제한되지 않는다. 이들 전구체는 적어도 부분적으로는 SiH 결합에 대해 상술한 이점으로 인해 기상 증착 또는 코팅 응용에 적합하다. 또한 말단 아미노 리간드는, 상술한 바와 같이, 얻어진 막에 대한 부가적인 N 및/또는 C 공급원뿐만 아니라 향상된 열적 안정성을 제공할 수 있다. 최종적으로, 보다 낮은 분자량 및 보다 높은 증기압을 갖는 나열된 전구체는 기상 증착 기법에 보다 더 적합한 반면, 보다 높은 분자량을 갖는 전구체는 코팅 기법에 보다 더 적합하다.
m이 2이고; R4 및 R5가 H이고; R이 H, CuH2u+1 또는 SivH2v-1(여기서 u는 1~6이고, v는 1~4임)인 화학식 IV로 나타낸 예시적인 카보실라잔 전구체로는 RN(SiH2-CH2-CH2-Si(CH2=CH)3)2, RN(SiH2-CH2-CH2-Si(CH2=CH-CH2)3)2, RN(SiH2-CH2-CH2-Si(NH2)3)2, RN(SiH2-CH2-CH2-Si(NMe2)3)2, RN(SiH2-CH2-CH2-Si(NMeEt)3)2, RN(SiH2-CH2-CH2-Si(NEt2)3)2, RN(SiH2-CH2-CH2-Si(NnPr2)3)2, RN(SiH2-CH2-CH2-Si(NiPr2)3)2, RN(SiH2-CH2-CH2-Si(NBu2)3)2, RN(SiH2-CH2-CH2-Si(NiBu2)3)2, RN(SiH2-CH2-CH2-Si(NtBu2)3)2, RN(SiH2-CH2-CH2-Si(NAm2)3)2, RN(SiH2-CH2-CH2-Si(NCy펜틸2)3)2, RN(SiH2-CH2-CH2-Si(N헥실2)3)2, RN(SiH2-CH2-CH2-Si(NCy헥실2)3)2, RN(SiH2-CH2-CH2-Si(NMeH)3)2, RN(SiH2-CH2-CH2-Si(NEtH)3)2, RN(SiH2-CH2-CH2-Si(NnPrH)3)2, RN(SiH2-CH2-CH2-Si(NiPrH)3)2, RN(SiH2-CH2-CH2-Si(NBuH)3)2, RN(SiH2-CH2-CH2-Si(NiBuH)3)2, RN(SiH2-CH2-CH2-Si(NtBuH)3)2, RN(SiH2-CH2-CH2-Si(NAmH)3)2, RN(SiH2-CH2-CH2-Si(피리딘)3)2, RN(SiH2-CH2-CH2-Si(피롤)3)2, RN(SiH2-CH2-CH2-Si(피롤리딘)3)2 및 RN(SiH2-CH2-CH2-Si(이미다졸)3)2를 들 수 있지만, 이에 제한되지 않는다. 이들 전구체는 적어도 부분적으로는 SiH 결합에 대해 상술한 이점으로 인해 기상 증착 또는 코팅 응용에 적합하다. 또한 말단 아미노 리간드는, 상술한 바와 같이, 얻어진 막에 대한 부가적인 N 및/또는 C 공급원뿐만 아니라 향상된 열적 안정성을 제공할 수 있다. 최종적으로, 보다 낮은 분자량 및 보다 높은 증기압을 갖는 나열된 전구체는 기상 증착 기법에 보다 더 적합한 반면, 보다 높은 분자량을 갖는 전구체는 코팅 기법에 보다 더 적합하다.
화학식 II를 다시 참고하면, t가 1이고, R, R2, R3, R4 및 R5가 H인 경우, 여기에 나타낸 개시된 폴리카보실라잔 전구체는 화학식 [-NH-SiH2-CH2-SiH2-]n(즉, [-NH-DSP-]n)을 갖는 유닛을 함유한다. [-NH-DSP-]n은 다수의 Si-H 결합을 함유하며, 이는 기판 표면에 대해 보다 강한 반응성을 갖게 된다. 그 결과, 이러한 전구체는 스핀온 증착 공정에 적합할 수 있다. 본 출원인은 이러한 전구체가 심지어는 CVD 또는 ALD 공정에서 Si-Cl 또는 Si-OH 말단형 또는 심지어 Si 말단형 기판 표면에 부착하기에 충분한 반응성일 수 있다는 것을 믿고 있다.
t가 1이고; R2, R3, R4 및 R5가 H이고; R이 SixH2x+1(여기서 x는 1 내지 4임)인 경우, 개시된 전구체는 화학식 [-N(SiH3)-SiH2-CH2-SiH2-]n, [-N(Si2H5)-SiH2-CH2-SiH2-]n, [-N(Si3H7)-SiH2-CH2-SiH2-]n, [-N(Si4H9)-SiH2-CH2-SiH2-]n을 갖는 유닛을 함유한다. 실릴 리간드를 선택하면 목적하는 실리콘 함량을 갖는 막을 제공하는데 도움이 될 수 있다. 다시 말해, Si4H9 리간드는 SiH3 리간드에 의해 생성된 막보다 더 많은 Si를 갖는 막을 생성할 수 있다.
t가 1이고; R2, R3, R4 및 R5가 H이고; R이 SiHz(CyH2y+1)3-z(여기서 y는 1 내지 6이고, z는 0 내지 2임)인 경우, 개시된 전구체는 [-N(Si(Me)3)-SiH2-CH2-SiH2-]n, [-N(Si(Et)3)-SiH2-CH2-SiH2-]n, [-N(Si(iPr)3)-SiH2-CH2-SiH2-]n, [-N(Si(nPr)3)-SiH2-CH2-SiH2-]n, [-N(Si(Bu)3)-SiH2-CH2-SiH2-]n, [-N(Si(iBu)3)-SiH2-CH2-SiH2-]n, [-N(Si(tBu)3)-SiH2-CH2-SiH2-]n, [-N(Si(아밀)3)-SiH2-CH2-SiH2-]n, [-N(Si(헥실)3)-SiH2-CH2-SiH2-]n, [-Nx(SiH(Me)2)-SiH2-CH2-SiH2-]n, [-N(SiH(Et)2)-SiH2-CH2-SiH2-]n, [-N(SiH(iPr)2)-SiH2-CH2-SiH2-]n, [-N(SiH(nPr)2)-SiH2-CH2-SiH2-]n, [-N(SiH(Bu)2)-SiH2-CH2-SiH2-]n, [-N(SiH(iBu)2)-SiH2-CH2-SiH2-]n, [-N(SiH(tBu)2)-SiH2-CH2-SiH2-]n, [-N(SiH(아밀)2)-SiH2-CH2-SiH2-]n, [-N(SiH(헥실)2)-SiH2-CH2-SiH2-]n, [-N(SiH2(Me))-SiH2-CH2-SiH2-]n, [-N(SiH2(Et))-SiH2-CH2-SiH2-]n, [-N(SiH2(iPr))-SiH2-CH2-SiH2-]n, [-N(SiH2(nPr))-SiH2-CH2-SiH2-]n, [-N(SiH2(Bu))-SiH2-CH2-SiH2-]n, [-N(SiH2(iBu))-SiH2-CH2-SiH2-]n, [-N(SiH2(tBu))-SiH2-CH2-SiH2-]n, [-N(SiH2(아밀))-SiH2-CH2-SiH2-]n 및 [-N(SiH2(헥실))-SiH2-CH2-SiH2-]n을 포함하지만 이에 제한되지 않는 화학식을 갖는 유닛을 함유한다.
t가 1이고; R2, R3, R4 및 R5가 H이고; R이 R1'R2'R3'Si(CH2)bSiR4'R5' 기(여기서 b는 1 내지 2이고, R1', R2', R3', R4' 및 R5'는 독립적으로 H 또는 C1~C6 탄화수소기임)인 경우, 개시된 전구체는 [-N(SiH3-CH2-SiH2)-SiH2-CH2-SiH2-]n, [-N(SiH3-CH2-CH2-SiH2)-SiH2-CH2-SiH2-]n, [-N(SiMe3-CH2-SiMe2)-SiH2-CH2-SiH2-]n, [-N(SiMe3-CH2-CH2-SiMe2)-SiH2-CH2-SiH2-]n, [-N(SiEt3-CH2-SiEt2)-SiH2-CH2-SiH2-]n 및 [-N(SiEt3-CH2-CH2-SiEt2)-SiH2-CH2-SiH2-]n을 포함하지만 이에 제한되지 않는 화학식을 갖는 유닛을 함유한다.
t가 1이고; R2, R3, R4 및 R5가 H이고; R이 CyH2y+1(여기서 y는 1 내지 6임)인 경우, 개시된 폴리카보실라잔 전구체는 [-N(Me)-SiH2-CH2-SiH2-]n, [-N(Et)-SiH2-CH2-SiH2-]n, [-N(iPr)-SiH2-CH2-SiH2-]n, [-N(nPr)-SiH2-CH2-SiH2-]n, [-N(Bu)-SiH2-CH2-SiH2-]n, [-N(iBu)-SiH2-CH2-SiH2-]n, [-N(tBu)-SiH2-CH2-SiH2-]n, [-N(아밀)-SiH2-CH2-SiH2-]n 및 [-N(헥실)-SiH2-CH2-SiH2-]n을 포함하지만 이에 제한되지 않는 화학식을 갖는 유닛을 함유한다. 이러한 부류의 화합물은 (Si-R을 위한) Si-C 결합이 높은 반응성이 아니고 증착 공정 도중에 온전하게 유지될 가능성이 있기 때문에 SiOC 또는 SiNC와 같이 탄소 함량을 갖는 막의 기상 증착에 유용할 수 있다. 그 결과, 너무 많은 C의 증착을 방지하기 위해 y는 바람직하게는 1 내지 3이다. 또한 이들 전구체는 RNHR2 반응물이 Et, Pr, Bu, 펜틸 및 헥실의 경우에 액체이기 때문에 [-NH-DSP-]n 유사체보다 합성하기 용이하다.
t가 1이고; R2, R3, R4 및 R5가 H이고; R이 R1'R2'R3'Si(CH2)bSiR4'R5'(여기서 b는 1 내지 2이고, R1', R2', R3', R4' 및 R5'는 H임)인 경우, 개시된 폴리카보실라잔 전구체는 [-N(-SiH2-CH2-SiH3)-SiH2-CH2-SiH2-]n(즉, [-N(DSP)-DSP-]n) 또는 [-N(-SiH2-CH2-CH2-SiH3)-SiH2-CH2-SiH2-]n(즉, [-N(DSB)-DSP-]n)을 포함하지만 이에 제한되지 않는 화학식을 갖는 유닛을 함유한다.
t가 1이고; R2, R3, R4 및 R5가 H이고; R이 SiHx(NR'R")3-x(여기서 x는 1 또는 2이고, R' 및 R"는 독립적으로 Me, Et, iPr, nPr임)인 경우, 개시된 카보실라잔 전구체는 [-N(SiH2NMe2)-SiH2-CH2-SiH2-]n, [-N(SiH2NEt2)-SiH2-CH2-SiH2-]n, [-N(SiH2NiPr2)-SiH2-CH2-SiH2-]n, [-N(SiH2NnPr2)-SiH2-CH2-SiH2-]n, [-N(SiH2NMeEt)-SiH2-CH2-SiH2-]n, [-N(SiH(NMe2)2)-SiH2-CH2-SiH2-]n 및 [-N(SiH(NEt2)2)-SiH2-CH2-SiH2-]n을 포함하지만 이에 제한되지 않는 화학식을 갖는 유닛을 함유한다.
t가 1이고, R, R3, R4 및 R5가 H인 화학식 II로 나타낸 예시적인 폴리카보실라잔 전구체는 [-NH-H2Si-CH2-SiH(CH2=CH2)-]n, [-NH-H2Si-CH2-SiH(CH2-CH2=CH2)-]n, [-NH-H2Si-CH2-SiH(NH2)-]n, [-NH-H2Si-CH2-SiH(NMe2)-]n, [-NH-H2Si-CH2-SiH(NMeEt)-]n, [-NH-H2Si-CH2-SiH(NEt2)-]n, [-NH-H2Si-CH2-SiH(NnPr2)-]n, [-NH-H2Si-CH2-SiH(NiPr2)-]n, [-NH-H2Si-CH2-SiH(NBu2)-]n, [-NH-H2Si-CH2-SiH(NiBu2)-]n, [-NH-H2Si-CH2-SiH(NtBu2)-]n, [-NH-H2Si-CH2-SiH(NAm2)-]n, [-NH-H2Si-CH2-SiH(NCy펜틸2)-]n, [-NH-H2Si-CH2-SiH(N헥실2)-]n, [-NH-H2Si-CH2-SiH(NCy헥실2)-]n, [-NH-H2Si-CH2-SiH(NMeH)-]n, [-NH-H2Si-CH2-SiH(NEtH)-]n, [-NH-H2Si-CH2-SiH(NnPrH)-]n, [-NH-H2Si-CH2-SiH(NiPrH)-]n, [-NH-H2Si-CH2-SiH(NBuH)-]n, [-NH-H2Si-CH2-SiH(NiBuH)-]n, [-NH-H2Si-CH2-SiH(NtBuH)-]n, [-NH-H2Si-CH2-SiH(NAmH)-]n, [-NH-H2Si-CH2-SiH(피리딘)-]n, [-NH-H2Si-CH2-SiH(피롤)-]n, [-NH-H2Si-CH2-SiH(피롤리딘)-]n 및 [-NH-H2Si-CH2-SiH(이미다졸)-]n을 포함하지만 이에 제한되지 않는 화학식을 갖는 유닛을 함유한다. 이들 전구체는 적어도 부분적으로는 SiH 결합에 대해 상술한 이점으로 인해 스핀 코팅 응용에 적합하다. 또한 아미노 리간드는, 상술한 바와 같이, 얻어진 막에 대한 부가적인 N 및/또는 C 공급원뿐만 아니라 향상된 열적 안정성을 제공할 수 있다.
t가 1이고, R, R4 및 R5가 H인 화학식 II로 나타낸 예시적인 폴리카보실라잔 전구체는 [-NH-H2Si-CH2-Si(CH2=CH2)2-]n, [-NH-H2Si-CH2-Si(CH2-CH2=CH2)2-]n, [-NH-H2Si-CH2-Si(NH2)2-]n, [-NH-H2Si-CH2-Si(NMe2)2-]n, [-NH-H2Si-CH2-Si(NMeEt)2-]n, [-NH-H2Si-CH2-Si(NEt2)2-]n, [-NH-H2Si-CH2-Si(NnPr2)2-]n, [-NH-H2Si-CH2-Si(NiPr2)2-]n, [-NH-H2Si-CH2-Si(NBu2)2-]n, [-NH-H2Si-CH2-Si(NiBu2)2-]n, [-NH-H2Si-CH2-Si(NtBu2)2-]n, [-NH-H2Si-CH2-Si(NAm2)2-]n, [-NH-H2Si-CH2-Si(NCy펜틸2)2-]n, [-NH-H2Si-CH2-Si(N헥실2)2-]n, [-NH-H2Si-CH2-Si(NCy헥실2)2-]n, [-NH-H2Si-CH2-Si(NMeH)2-]n, [-NH-H2Si-CH2-Si(NEtH)2-]n, [-NH-H2Si-CH2-Si(NnPrH)2-]n, [-NH-H2Si-CH2-Si(NiPrH)2-]n, [-NH-H2Si-CH2-Si(NBuH)2-]n, [-NH-H2Si-CH2-Si(NiBuH)2-]n, [-NH-H2Si-CH2-Si(NtBuH)2-]n, [-NH-H2Si-CH2-Si(NAmH)2-]n, [-NH-H2Si-CH2-Si(피리딘)2-]n, [-NH-H2Si-CH2-Si(피롤)2-]n, [-NH-H2Si-CH2-Si(피롤리딘)2-]n 및 [-NH-H2Si-CH2-Si(이미다졸)2-]n을 포함하지만 이에 제한되지 않는 화학식을 갖는 유닛을 함유한다. 이들 전구체는 적어도 부분적으로는 SiH 결합에 대해 상술한 이점으로 인해 스핀 코팅 응용에 적합하다. 또한 말단 아미노 리간드는, 상술한 바와 같이, 얻어진 막에 대한 부가적인 N 및/또는 C 공급원뿐만 아니라 향상된 열적 안정성을 제공할 수 있다.
t가 1이고, R, R3 및 R5가 H인 화학식 II로 나타낸 예시적인 폴리카보실라잔 전구체는 [-NH-SiH(CH2=CH2)-CH2-SiH(CH2=CH2)-]n, [-NH-SiH(CH2-CH2=CH2)-CH2-SiH(CH2-CH2=CH2)-]n, [-NH-SiH(NH2)-CH2-SiH(NH2)-]n, [-NH-SiH(NMe2)-CH2-SiH(NMe2)-]n, [-NH-SiH(NMeEt)-CH2-SiH(NMeEt)-]n, [-NH-SiH(NEt2)-CH2-SiH(NEt2)-]n, [-NH-SiH(NnPr2)-CH2-SiH(NnPr2)-]n, [-NH-SiH(NiPr2)-CH2-SiH(NiPr2)-]n, [-NH-SiH(NBu2)-CH2-SiH(NBu2)-]n, [-NH-SiH(NiBu2)-CH2-SiH(NiBu2)-]n, [-NH-SiH(NtBu2)-CH2-SiH(NtBu2)-]n, [-NH-SiH(NAm2)-CH2-SiH(NAm2)-]n, [-NH-SiH(NCy펜틸2)-CH2-SiH(NCy펜틸2)-]n, [-NH-SiH(N헥실2)-CH2-SiH(N헥실2)-]n, [-NH-SiH(NCy헥실2)-CH2-SiH(NCy헥실2)-]n, [-NH-SiH(NMeH)-CH2-SiH(NMeH)-]n, [-NH-SiH(NEtH)-CH2-SiH(NEtH)-]n, [-NH-SiH(NnPrH)-CH2-SiH(NnPrH)-]n, [-NH-SiH(NiPrH)-CH2-SiH(NiPrH)-]n, [-NH-SiH(NBuH)-CH2-SiH(NBuH)-]n, [-NH-SiH(NiBuH)-CH2-SiH(NiBuH)-]n, [-NH-SiH(NtBuH)-CH2-SiH(NtBuH)-]n, [-NH-SiH(NAmH)-CH2-SiH(NAmH)-]n, [-NH-SiH(피리딘)-CH2-SiH(피리딘)-]n, [-NH-SiH(피롤)-CH2-SiH(피롤)-]n, [-NH-SiH(피롤리딘)-CH2-SiH(피롤리딘)-]n 및 [-NH-SiH(이미다졸)-CH2-SiH(이미다졸)-]n을 포함하지만 이에 제한되지 않는 화학식을 갖는 유닛을 함유한다. 이들 전구체는 적어도 부분적으로는 SiH 결합에 대해 상술한 이점으로 인해 스핀 코팅 응용에 적합하다. 또한 말단 아미노 리간드는, 상술한 바와 같이, 얻어진 막에 대한 부가적인 N 및/또는 C 공급원뿐만 아니라 향상된 열적 안정성을 제공할 수 있다.
t가 2이고, R, R2, R3, R4 및 R5가 H인 경우, 개시된 폴리카보실라잔 전구체는 화학식 [-NH-SiH2-CH2-CH2-SiH2-]n(즉, [-NH-DSB-]n)을 갖는 유닛을 함유한다. [-NH-DSB-]n은 다수의 Si-H 결합을 함유하며, 이는 기판 표면에 대해 보다 강한 반응성을 갖게 된다. 그 결과, 이러한 전구체는 스핀온 증착 공정에 적합할 수 있다. 본 출원인은 이러한 전구체가 심지어는 Si-Cl 말단형 또는 심지어 Si 말단형 기판 표면에 부착하기에 충분한 반응성일 수 있다는 것을 믿고 있다.
t가 2이고; R2, R3, R4 및 R5가 H이고; R이 SixH2x+1(여기서 x는 1 내지 4임)인 경우, 개시된 폴리카보실라잔 전구체는 화학식 [-N(SiH3)-SiH2-CH2-CH2-SiH2-]n, [-N(Si2H5)-SiH2-CH2-CH2-SiH2-]n, [-N(Si3H7)-SiH2-CH2-CH2-SiH2-]n 및/또는 [-N(Si4H9)-SiH2-CH2-CH2-SiH2-]n을 갖는 유닛을 함유한다. 실릴 리간드를 선택하면 목적하는 실리콘 함량을 갖는 막을 제공하는데 도움이 될 수 있다. 다시 말해, Si4H9 리간드는 SiH3 리간드에 의해 생성된 막보다 더 많은 Si를 갖는 막을 생성할 수 있다.
t가 2이고; R1, R2, R3, R4 및 R5가 H이고; R이 SiHz(CyH2y+1)3-z(여기서 y는 1 내지 6이고, z는 0 내지 2임)인 경우, 개시된 카보실라잔 전구체는 [-N(Si(Me)3)-SiH2-CH2-CH2-SiH2-]n, [-N(Si(Et)3)-SiH2-CH2-CH2-SiH2-]n, [-N(Si(iPr)3)-SiH2-CH2-CH2-SiH2-]n, [-N(Si(nPr)3)-SiH2-CH2-CH2-SiH2-]n, [-N(Si(Bu)3)-SiH2-CH2-CH2-SiH2-]n, [-N(Si(iBu)3)-SiH2-CH2-CH2-SiH2-]n, [-N(Si(tBu)3)-SiH2-CH2-CH2-SiH2-]n, [-N(Si(아밀)3)-SiH2-CH2-CH2-SiH2-]n, [-N(Si(헥실)3)-SiH2-CH2-CH2-SiH2-]n, [-Nx(SiH(Me)2)-SiH2-CH2-CH2-SiH2-]n, [-N(SiH(Et)2)-SiH2-CH2-CH2-SiH2-]n, [-N(SiH(iPr)2)-SiH2-CH2-CH2-SiH2-]n, [-N(SiH(nPr)2)-SiH2-CH2-CH2-SiH2-]n, [-N(SiH(Bu)2)-SiH2-CH2-CH2-SiH2-]n, [-N(SiH(iBu)2)-SiH2-CH2-CH2-SiH2-]n, [-N(SiH(tBu)2)-SiH2-CH2-CH2-SiH2-]n, [-N(SiH(아밀)2)-SiH2-CH2-CH2-SiH2-]n, [-N(SiH(헥실)2)-SiH2-CH2-CH2-SiH2-]n, [-N(SiH2(Me))-SiH2-CH2-CH2-SiH2-]n, [-N(SiH2(Et))-SiH2-CH2-CH2-SiH2-]n, [-N(SiH2(iPr))-SiH2-CH2-CH2-SiH2-]n, [-N(SiH2(nPr))-SiH2-CH2-CH2-SiH2-]n, [-N(SiH2(Bu))-SiH2-CH2-CH2-SiH2-]n, [-N(SiH2(iBu))-SiH2-CH2-CH2-SiH2-]n, [-N(SiH2(tBu))-SiH2-CH2-CH2-SiH2-]n 및 [-N(SiH2(아밀))-SiH2-CH2-CH2-SiH2-]n 및 [-N(SiH2(헥실))-SiH2-CH2-CH2-SiH2-]n을 포함하지만 이에 제한되지 않는 화학식을 갖는 유닛을 함유한다.
t가 2이고, R이 CyH2y+1(y는 1 내지 6임)이고, R2, R3, R4 및 R5가 H인 경우, 개시된 폴리카보실라잔 전구체는 [-N(Me)-SiH2-CH2-CH2-SiH2-]n, [-N(Et)-SiH2-CH2-CH2-SiH2-]n, [-N(iPr)-SiH2-CH2-CH2-SiH2-]n, [-N(nPr)-SiH2-CH2-CH2-SiH2-]n, [-N(Bu)-SiH2-CH2-CH2-SiH2-]n, [-N(iBu)-SiH2-CH2-CH2-SiH2-]n, [-N(tBu)-SiH2-CH2-CH2-SiH2-]n, [-N(아밀)-SiH2-CH2-CH2-SiH2-]n 및 [-N(헥실)-SiH2-CH2-CH2-SiH2-]n을 포함하지만 이에 제한되지 않는 화학식을 갖는 유닛을 함유한다. 이러한 부류의 화합물은 (Si-R을 위한) Si-C 결합이 높은 반응성이 아니고 증착 공정 도중에 온전하게 유지될 가능성이 있기 때문에 SiOC 또는 SiNC와 같이 탄소 함량을 갖는 막의 증착에 유용할 수 있다. 그 결과, 너무 많은 C의 증착을 방지하기 위해 y는 바람직하게는 1 내지 3이다. 또한 이들 전구체는 RNHR2 반응물이 Et, Pr, Bu, 펜틸 및 헥실의 경우에 액체이기 때문에 [-NH-DSB-]n 유사체보다 합성하기 용이하다.
t가 2이고; R2, R3, R4 및 R5가 H이고; R이 R1'R2'R3'Si(CH2)bSiR4'R5' 기(여기서 b는 1 내지 2이고, R1', R2', R3', R4' 및 R5'는 독립적으로 H 또는 C1~C6 탄화수소기임)인 경우, 개시된 폴리카보실라잔 전구체는 [-N(SiH3-CH2-SiH2)-SiH2-CH2-CH2-SiH2-]n, [-N(SiH3-CH2-CH2-SiH2)-SiH2-CH2-CH2-SiH2-]n, [-N(SiMe3-CH2-SiMe2)-SiH2-CH2-CH2-SiH2-]n, [-N(SiMe3-CH2-CH2-SiMe2)-SiH2-CH2-CH2-SiH2-]n, [-N(SiEt3-CH2-SiEt2)-SiH2-CH2-CH2-SiH2-]n 및 [-N(SiEt3-CH2-CH2-SiEt2)-SiH2-CH2-CH2-SiH2-]n을 포함하지만 이에 제한되지 않는 화학식을 갖는 유닛을 함유한다.
t가 2이고; R2, R3, R4 및 R5가 H이고; R이 R1'R2'R3'Si(CH2)bSiR4'R5'(여기서 b는 1 내지 2이고, R1', R2', R3', R4' 및 R5'가 H임)인 경우, 개시된 폴리카보실라잔 전구체는 화학식 [-N(-SiH2-CH2-SiH3)-SiH2-CH2-CH2-SiH2-]n(즉, [-N(DSP)-DSB-]n) 또는 [-N(-SiH2-CH2-CH2-SiH3)-SiH2-CH2-CH2-SiH2-]n(즉, [-N(DSB)-DSB-]n)을 갖는 유닛을 함유한다. [-N(DSP)-DSB-]n 및 [-N(DSB)-DSB-]n은 다수의 Si-H 결합을 함유하며, 이는 기판 표면에 대해 보다 강한 반응성을 갖게 된다. 그 결과, 이러한 전구체는 스핀온 증착 공정에 적합할 수 있다. 본 출원인은 이러한 전구체가 심지어는 Si-Cl 말단형 또는 심지어 Si 말단형 기판 표면에 부착하기에 충분한 반응성일 수 있다는 것을 믿고 있다.
t가 2이고; R2, R3, R4 및 R5가 H이고; R이 SiHx(NR'R")3-x(여기서 x는 1 또는 2이고, R' 및 R"는 독립적으로 Me, Et, iPr, nPr임)인 경우, 개시된 카보실라잔 전구체는 [-N(SiH2NMe2)-SiH2-CH2-CH2-SiH2-]n, [-N(SiH2NEt2)-SiH2-CH2-CH2-SiH2-]n, [-N(SiH2NiPr2)-SiH2-CH2-CH2-SiH2-]n, [-N(SiH2NnPr2)-SiH2-CH2-CH2-SiH2-]n, [-N(SiH2NMeEt)-SiH2-CH2-CH2-SiH2-]n, [-N(SiH(NMe2)2)-SiH2-CH2-CH2-SiH2-]n 및 [-N(SiH(NEt2)2)-SiH2-CH2-CH2-SiH2-]n을 포함하지만 이에 제한되지 않는 화학식을 갖는 유닛을 함유한다.
t가 2이고, R, R3, R4 및 R5가 H인 화학식 II로 나타낸 예시적인 폴리카보실라잔 전구체는 [-NH-H2Si-CH2-CH2-SiH(CH2=CH2)-]n, [-NH-H2Si-CH2-CH2-SiH(CH2-CH2=CH2)-]n, [-NH-H2Si-CH2-CH2-SiH(NH2)-]n, [-NH-H2Si-CH2-CH2-SiH(NMe2)-]n, [-NH-H2Si-CH2-CH2-SiH(NMeEt)-]n, [-NH-H2Si-CH2-CH2-SiH(NEt2)-]n, [-NH-H2Si-CH2-CH2-SiH(NnPr2)-]n, [-NH-H2Si-CH2-CH2-SiH(NiPr2)-]n, [-NH-H2Si-CH2-CH2-SiH(NBu2)-]n, [-NH-H2Si-CH2-CH2-SiH(NiBu2)-]n, [-NH-H2Si-CH2-CH2-SiH(NtBu2)-]n, [-NH-H2Si-CH2-CH2-SiH(NAm2)-]n, [-NH-H2Si-CH2-CH2-SiH(NCy펜틸2)-]n, [-NH-H2Si-CH2-CH2-SiH(N헥실2)-]n, [-NH-H2Si-CH2-CH2-SiH(NCy헥실2)-]n, [-NH-H2Si-CH2-CH2-SiH(NMeH)-]n, [-NH-H2Si-CH2-CH2-SiH(NEtH)-]n, [-NH-H2Si-CH2-CH2-SiH(NnPrH)-]n, [-NH-H2Si-CH2-CH2-SiH(NiPrH)-]n, [-NH-H2Si-CH2-CH2-SiH(NBuH)-]n, [-NH-H2Si-CH2-CH2-SiH(NiBuH)-]n, [-NH-H2Si-CH2-CH2-SiH(NtBuH)-]n, [-NH-H2Si-CH2-CH2-SiH(NAmH)-]n, [-NH-H2Si-CH2-CH2-SiH(피리딘)-]n, [-NH-H2Si-CH2-CH2-SiH(피롤)-]n, [-NH-H2Si-CH2-CH2-SiH(피롤리딘)-]n 및 [-NH-H2Si-CH2-CH2-SiH(이미다졸)-]n을 포함하지만 이에 제한되지 않는 화학식을 갖는 유닛을 함유한다. 이들 전구체는 적어도 부분적으로는 SiH 결합에 대해 상술한 이점으로 인해 스핀 코팅 응용에 적합하다. 또한 아미노 리간드는, 상술한 바와 같이, 얻어진 막에 대한 부가적인 N 및/또는 C 공급원뿐만 아니라 향상된 열적 안정성을 제공할 수 있다.
t가 2이고, R, R4 및 R5가 H인 화학식 II로 나타낸 예시적인 폴리카보실라잔 전구체는 [-NH-H2Si-CH2-CH2-Si(CH2=CH2)2-]n, [-NH-H2Si-CH2-CH2-Si(CH2-CH2=CH2)2-]n, [-NH-H2Si-CH2-CH2-Si(NH2)2-]n, [-NH-H2Si-CH2-CH2-Si(NMe2)2-]n, [-NH-H2Si-CH2-CH2-Si(NMeEt)2-]n, [-NH-H2Si-CH2-CH2-Si(NEt2)2-]n, [-NH-H2Si-CH2-CH2-Si(NnPr2)2-]n, [-NH-H2Si-CH2-CH2-Si(NiPr2)2-]n, [-NH-H2Si-CH2-CH2-Si(NBu2)2-]n, [-NH-H2Si-CH2-CH2-Si(NiBu2)2-]n, [-NH-H2Si-CH2-CH2-Si(NtBu2)2-]n, [-NH-H2Si-CH2-CH2-Si(NAm2)2-]n, [-NH-H2Si-CH2-CH2-Si(NCy펜틸2)2-]n, [-NH-H2Si-CH2-CH2-Si(N헥실2)2-]n, [-NH-H2Si-CH2-CH2-Si(NCy헥실2)2-]n, [-NH-H2Si-CH2-CH2-Si(NMeH)2-]n, [-NH-H2Si-CH2-CH2-Si(NEtH)2-]n, [-NH-H2Si-CH2-CH2-Si(NnPrH)2-]n, [-NH-H2Si-CH2-CH2-Si(NiPrH)2-]n, [-NH-H2Si-CH2-CH2-Si(NBuH)2-]n, [-NH-H2Si-CH2-CH2-Si(NiBuH)2-]n, [-NH-H2Si-CH2-CH2-Si(NtBuH)2-]n, [-NH-H2Si-CH2-CH2-Si(NAmH)2-]n, [-NH-H2Si-CH2-CH2-Si(피리딘)2-]n, [-NH-H2Si-CH2-CH2-Si(피롤)2-]n, [-NH-H2Si-CH2-CH2-Si(피롤리딘)2-]n 및 [-NH-H2Si-CH2-CH2-Si(이미다졸)2-]n을 포함하지만 이에 제한되지 않는 화학식을 갖는 유닛을 함유한다. 이들 전구체는 적어도 부분적으로는 SiH 결합에 대해 상술한 이점으로 인해 스핀 코팅 응용에 적합하다. 또한 아미노 리간드는, 상술한 바와 같이, 얻어진 막에 대한 부가적인 N 및/또는 C 공급원뿐만 아니라 향상된 열적 안정성을 제공할 수 있다.
t가 2이고, R, R3 및 R5가 H인 화학식 II로 나타낸 예시적인 폴리카보실라잔 전구체는 [-NH-SiH(CH2=CH2)-CH2-CH2-SiH(CH2=CH2)-]n, [-NH-SiH(CH2-CH2=CH2)-CH2-CH2-SiH(CH2-CH2=CH2)-]n, [-NH-SiH(NH2)-CH2-CH2-SiH(NH2)-]n, [-NH-SiH(NMe2)-CH2-CH2-SiH(NMe2)-]n, [-NH-SiH(NMeEt)-CH2-CH2-SiH(NMeEt)-]n, [-NH-SiH(NEt2)-CH2-CH2-SiH(NEt2)-]n, [-NH-SiH(NnPr2)-CH2-CH2-SiH(NnPr2)-]n, [-NH-SiH(NiPr2)-CH2-CH2-SiH(NiPr2)-]n, [-NH-SiH(NBu2)-CH2-CH2-SiH(NBu2)-]n, [-NH-SiH(NiBu2)-CH2-CH2-SiH(NiBu2)-]n, [-NH-SiH(NtBu2)-CH2-CH2-SiH(NtBu2)-]n, [-NH-SiH(NAm2)-CH2-CH2-SiH(NAm2)-]n, [-NH-SiH(NCy펜틸2)-CH2-CH2-SiH(NCy펜틸2)-]n, [-NH-SiH(N헥실2)-CH2-CH2-SiH(N헥실2)-]n, [-NH-SiH(NCy헥실2)-CH2-CH2-SiH(NCy헥실2)-]n, [-NH-SiH(NMeH)-CH2-CH2-SiH(NMeH)-]n, [-NH-SiH(NEtH)-CH2-CH2-SiH(NEtH)-]n, [-NH-SiH(NnPrH)-CH2-CH2-SiH(NnPrH)-]n, [-NH-SiH(NiPrH)-CH2-CH2-SiH(NiPrH)-]n, [-NH-SiH(NBuH)-CH2-CH2-SiH(NBuH)-]n, [-NH-SiH(NiBuH)-CH2-CH2-SiH(NiBuH)-]n, [-NH-SiH(NtBuH)-CH2-CH2-SiH(NtBuH)-]n, [-NH-SiH(NAmH)-CH2-CH2-SiH(NAmH)-]n, [-NH-SiH(피리딘)-CH2-CH2-SiH(피리딘)-]n, [-NH-SiH(피롤)-CH2-CH2-SiH(피롤)-]n, [-NH-SiH(피롤리딘)-CH2-CH2-SiH(피롤리딘)-]n 및 [-NH-SiH(이미다졸)-CH2-CH2-SiH(이미다졸)-]n을 포함하지만 이에 제한되지 않는 화학식을 갖는 유닛을 함유한다. 이들 전구체는 적어도 부분적으로는 SiH 결합에 대해 상술한 이점으로 인해 스핀 코팅 응용에 적합하다. 또한 아미노 리간드는, 상술한 바와 같이, 얻어진 막에 대한 부가적인 N 및/또는 C 공급원뿐만 아니라 향상된 열적 안정성을 제공할 수 있다.
하나의 예시적인 합성 방법에서, 개시된 전구체는 할로겐-함유 반응물을 이용하여 합성될 수 있다. 제2의 예시적인 합성 방법에서, 할로겐-함유 반응물은 불필요하다. 개시된 합성 방법 둘 모두에서는 높은 수율이 제공될 수 있다. 개시된 합성 방법은 통상적인 합성 방법보다 더 선택적일 수 있다(즉, 선행 기술의 방법보다 많은 목적하는 전구체를 수득할 수 있음). 무할로겐 합성 방법은 할로겐화물에 민감한 기판과 함께 사용된 전구체를 생성하는데 유용할 수 있다.
본 출원인은 특정한 용매 극성 선택은 원치 않는 부산물의 합성을 줄이는데 도움이 된다는 것을 발견하였다. 예를 들어, 무극성 용매에서, RN(R4R5Si(CH2)mSiR1R2R3)2는 N(R4R5Si(CH2)mSiR1R2R3)3 부산물의 최소 생성과 함께 선택적으로 생성될 수 있다. 반대로, 극성 용매에서는 N(R4R5Si(CH2)mSiR1R2R3)3-함유 화합물은 최소의 RN(R4R5Si(CH2)mSiR1R2R3)2 부산물과 함께 선택적으로 생성될 수 있다.
개시된 합성 방법은 다량의 생성물을 생성하기 위해 확대될 수 있으며, 예를 들어 대략 1 kg 내지 대략 100 kg까지 확대될 수 있다.
예시적인 무할로겐 합성 경로에서, 화학식 H3Si(CH2)SiH3(즉, DSP)을 갖는 출발 시약은 전이 금속계 불균질 또는 균질 촉매의 존재 하에 압력 반응기 내에서 암모니아와 반응한다. 반응은 무용매 반응일 수 있거나 용매를 사용할 수 있다. 예시적인 촉매로는 Ru, Pt, Pd를 들 수 있지만, 이에 제한되지 않는다. 용매가 사용되는 경우, 그 중에서도 용매는 탄화수소, 아민, 에테르로부터 선택될 수 있다. 이러한 반응에 의해 2치환 및 3치환된 생성물(예를 들어, HNDSP2 및 NDSP3)의 혼합물 또는 N-DSP의 선형 또는 분지형 올리고머(즉, 화학식 [-NR-DSP-]n(여기서 R은 상술한 바와 같음)을 갖는 유닛을 함유하는 전구체)가 생성될 수 있다. 반응 매개변수는 목적하는 전구체를 생성하기 위해 최적화될 수 있다. 예시적인 반응 매개변수로는 반응 온도, 화학양론 및 반응 시간을 들 수 있다.
상술한 DSP 출발 시약을 DSB 출발 시약으로 교체하면 HNDSB2 또는 NDSB3이 수득된다. 반응 화학식은 하기와 같다: H3Si-CH2-CH2-SiH3 + NH3 → HNDSB2; H3Si-CH2-CH2-SiH3 + NH3 → NDSB3.
N-DSB-함유 올리고머인 [-NH-DSB-]n 및 [-N(DSB)-DSB-]n(n은 2 내지 400임)은 이에 제한되지 않지만 Ru, Pt, Pd와 같은 전이 금속계 불균질 촉매 및 전이 금속계 균질 촉매의 존재 하에 압력 반응기에서 DSP를 DSB로 교체하고, 혼합물을 20~150℃로 가열함으로써 무할로겐 경로를 통해 합성될 수 있다. H3Si-CH2-CH2-SiH3 + NH3 → HN(DSB)2 → [-NH-DSB-]n; H3Si-CH2-CH2-SiH3 + NH3 → N(DSB)3 → [-N(DSB)-DSB-]n.
DSP 또는 DSB 출발 시약은 디글라임(diglyme; H3COC2H4OC2H4OCH3) 중의 SiCl3CH2SiCl3 또는 디-n-부틸 에테르(H9C4OC4H9) 중의 SiCl3CH2CH2SiCl3과 LiAlH4(LAH)를 반응시킴으로써 합성될 수 있다. 3LiAlH4 + 2SiCl3CH2SiCl3 → 2DSP + 3LiAlCl4 또는 3LiAlH4 + 2SiCl3CH2CH2SiCl3 → 2DSB + 3LiAlCl4.
대안적으로, 암모니아 반응물은 화학식 R-NH2를 갖는 아민으로 교체될 수 있으며, 여기서 R은 C1~C6 선형, 분지형, 포화 또는 불포화 탄화수소이다. 이러한 무할로겐 반응에 의해 (-DSP-NR-) 또는 (-DSB-NR-)가 생성된다.
RN(-SiR4R5-CH2-SiR1R2R3)2는 전이 금속계 불균질 또는 균질 촉매의 존재 하에 용매의 존재 또는 부재 하에 HN(-SiR4R5-CH2-SiR1R2R3)2를 카보실란(예를 들어, H3SiCnH2nSiH3) 또는 상응하는 R-함유 화합물과 혼합시킴으로써 압력 반응기에서 형성될 수 있다. 예시적인 촉매로는 Ru, Pt, Pd를 들 수 있지만, 이에 제한되지 않는다. 혼합물은 20~150℃ 범위의 온도까지 가열된다. 반응에 의해 RNDSP2, NDSP3 및 N-DSP-함유 올리고머의 조합이 수득된다. 순수한 RNDSP2, NDSP3 또는 N-DSP-함유 올리고머는 적절한 증류 또는 단리 방법에 의해 수득될 수 있다. 예를 들어, (H3Si-CH2-SiH2-)2-N-SiH2-CnH2n-SiH3은 Ru/C, Pt/C, Pd/C와 같은 촉매의 존재 하에 HN(DSP)2를 카보실란(H3SiCnH2nSiH3)과 반응시킴으로써 압력 반응기에서 합성될 수 있다.
HN(-SiR4R5-CH2-SiR1R2R3)2는 화학식 SixR'2x+2(x는 1~4임)를 갖는 실란과 반응시켜 [SixR'2x+1]-N(-SiR4R5-CH2-SiR1R2R3)2를 생성할 수 있다. 보다 구체적으로는, HNDSP2는 SiH4와 반응하여 (SiH3)N(DSP)2를 생성한다. 탈수소화 커플링 반응(무할로겐 경로)은 Ru, Pt, Pd와 같은 전이 금속계 불균질 촉매 및 전이 금속계 균질 촉매의 존재 하에 압력 반응기 내에서 일어난다. 합성은 용매의 존재 또는 부재 하에 발생할 수 있다. 혼합물은 20~150℃의 온도까지 가열된다. HN(DSP)2 + SinH2n+2 → RN(DSP)2(여기서 R은 SinH2n+1이고; n은 1 내지 4임). n이 1인 경우, (DSP)-N(SiH3)-(DSP)가 또한 생성될 수 있다. n이 2인 경우, (DSP)-N(Si2H5)-(DSP)가 또한 생성될 수 있다.
다른 실시예에서, HN(-SiR4R5-CH2-SiR1R2R3)2는 화학식 SiH3CxH2x+1(x는 1~4임)을 갖는 카보실란과 반응하여 (SiH2CxH2x+1)N(-SiR4R5-CH2-SiR1R2R3)2를 생성할 수 있다. 보다 구체적으로는, HN(DSP)2는 SiH3Me와 반응하여 (MeSiH2)N(DSP)2를 생성한다.
(DSP)2N-(SiH2(CH2)nSiH3) 또는 (DSB)2N-(SiH2(CH2)nSiH3)(여기서 n은 1 내지 2임)은 Ru/C, Pt/C, Pd/C와 같은 촉매의 존재 하에 탈수소화 커플링(무할로겐 경로)에 의해 압력 반응기에서 HN(DSP)2 또는 HN(DSB)2를 (H3SiCnH2nSiH3)(n은 1 내지 2임)과 반응시킴으로써 합성될 수 있으며, 이는 하기 반응 화학식을 갖는다: (DSP)2N-H + H3SiCnH2nSiH3 = (DSP)2N-SiH2CnH2nSiH3 + H2(여기서 n은 1 내지 2임).
대안적으로, RNDSP2 또는 RNDSB2 생성물은 할로겐화 경로를 통해 합성될 수 있다. HNDSP2는 용매 중의 상응하는 할로겐화 알칸, 실란 또는 카보실란과 혼합된다. 적합한 용매로는 탄화수소 또는 디에틸에테르, 테트라하이드로푸란(THF), 글라임(glyme) 또는 아니솔(anisole)과 같은 에테르성 용매를 들 수 있다. HCl이 이러한 반응의 부산물이기 때문에 HCl 스캐빈저(HCl scavenger)가 요구된다. 예시적인 HCl 스캐빈저로는 임의의 아민을 들 수 있지만, 바람직하게는 3차 아민을 들 수 있다. 예를 들어, (H3Si-CH2-SiH2-)2-N-SiH2-CnH2n-SiH3(n은 1 내지 2임)은 용매의 존재 또는 부재 하에 HN(DSP)2 또는 HN(DSB)2와 상응하는 할로겐화 카보실란(X-H2SiCnH2nSiH3(여기서 X는 Cl, Br, I이고, n은 1 내지 2임))을 반응시킴으로써 합성될 수 있다. 예시적인 용매로는 탄화수소 또는 벤젠, 톨루엔, 3차 아민 등과 같은 방향족 용매를 들 수 있다.
HN(-SiR4R5-CH2-SiR1R2R3)2 또는 N(-SiR4R5-CH2-SiR1R2R3)3은 용매 중에서 X-N(-SiR4R5-CH2-SiR1R2R3)2와 NH3을 혼합함으로써 선택적으로 합성될 수 있다. 톨루엔과 같이 무극성 용매가 사용되는 경우, HN(-SiR4R5-CH2-SiR1R2R3)2가 생성된다. 극성 용매가 사용되는 경우, N(-SiR4R5-CH2-SiR1R2R3)3가 생성된다. 예시적이지만 비제한적인 극성 용매로는 디에틸에테르, THF, 글라임 또는 아니솔과 같은 에테르성 용매를 들 수 있다. 예를 들어, NDSP3은 에테르성 용매 중에서 DSP-Cl과 암모니아를 혼합함으로써 선택적으로 합성될 수 있다. 대안적으로, HNDSP2는 톨루엔 중에서 DSP-Cl과 암모니아를 혼합함으로써 선택적으로 합성될 수 있다. HCl이 이들 반응의 부산물이기 때문에 HCl 스캐빈저가 요구된다. 예시적인 HCl 스캐빈저로는 목적하는 생성물에 따라서 아민, 바람직하게는 3차 아민 또는 과량의 암모니아를 들 수 있지만, 이에 제한되지 않는다.
HN(-SiR4R5-CH2-SiR1R2R3)2는 화학식 R-X(여기서 X는 Cl, Br 또는 I이고, R은 CxH2x+2임)를 갖는 할로겐화 알칸과 반응하여 (CxH2x+1)N(-SiR4R5-CH2-SiR1R2R3)2를 생성할 수 있다. 예를 들어, HN(DSP)2는 CH3Cl과 반응하여 (Me)N(DSP)2를 생성한다.
(SiH2NMe2)N(DSP)2는 용매의 존재 또는 부재 하에 HN(DSP)2와 X-SiH2NMe2를 반응시킴으로써 합성될 수 있다. 용매는 탄화수소 용매, 3차 아민 등일 수 있다.
(DSP)2N-(SiH2(CH2)nSiH3) 또는 (DSB)2N-(SiH2(CH2)nSiH3)은 용매의 존재 또는 부재 하에 HN(DSP)2 또는 HN(DSB)2를 상응하는 할로겐화 카보실란(X-H2SiCnH2nSiH3(여기서 X는 Cl, Br, I임))과 반응시킴으로써 합성될 수 있다. 예시적인 비제한적인 용매로는 탄화수소 용매, 벤젠, 톨루엔 등의 방향족 용매, 3차 아민 등을 들 수 있다.
RNDSP2 또는 RNDSB2는 HNDSP2를 nBuLi(선형 또는 분지형의 알킬리튬)과 혼합함으로써 합성될 수 있다. HNDSP2 상의 산성 양성자는 nBuLi과의 반응 후, 이에 제한되지 않지만 펜탄, 헥산 등과 같은 탄화수소 용매 또는 이에 제한되지 않지만 디에틸에테르, THF, 글라임 또는 아니솔과 같은 에테르성 용매 중에서 R-X의 화학식(여기서 X는 Cl, Br 또는 I이고; R은 알칼, 실란, 카보실란, 페닐기 또는 실릴아미노기(SiNR'2 또는 SiNR'R")이며, 여기서 R' 및 R"는 각각 독립적으로 H, 탄화수소기(C1 내지 C12)임)을 갖는 할로겐화 화합물을 혼합함으로써 추출될 수 있다. 예를 들어, HNDSP2는 SiMe3X와 반응하여 (SiMe3)N(DSP)2를 생성하고; HNDSP2는 CH3X와 반응하여 (Me)N(DSP)2를 생성한다. (DSP)2N-[CH2]nH(여기서 n은 1~6임)는 HNDSP2를 nBuLi과 반응시킨 후, 펜탄, 헥산 등과 같은 탄화수소 용매, 디에틸에테르, THF 등과 같은 에테르성 용매, 또는 벤젠, 톨루엔 등과 같은 방향족 용매 중에서 알킬할라이드(알킬은 CnH2n+1이고, 할로겐화물은 Cl, Br, I임)와 반응시킴으로써 합성될 수 있으며, 이는 하기 반응 화학식을 갖는다: HNDSP2 + R-X → RNDSP2 + HX(여기서 X는 Cl, Br 또는 I이고; R은 CnH2n+1이고; n은 1~6임).
대안적으로, HNDSB2 및 NDSB3은 또한 HNDSP2 및 NDSP3을 생성하기 위한 상술한 할로겐 관여 경로에서 DSP-Cl을 DSB-Cl로 교체함으로써 선택적으로 합성될 수 있다. ClSiH2-CH2-CH2-SiH3 + NH3 → HN(DSB)2 +HCl; ClSiH2-CH2-CH2-SiH3 + NH3 → NDSB3 + HCl. 무극성 용매에서, HNDSB2가 선택적으로 생성될 수 있다. 무극성 용매를 극성 용매로 교체함으로써 할로겐 관여 경로에 의해 NDSB3을 선택적으로 생성할 수 있다.
당업자라면 치환된 DSP 및 DSB 반응물이 HSiR2-CH2-SiR3 또는 ClSiR2-CH2-SiR3 및 탈수소 커플링(dehydrocoupling) 또는 Cl 교환 경로 각각을 이용하여 합성될 수 있다는 것을 인지할 것이다.
공정 신뢰성을 보장하기 위해, 얻어진 Si-함유 막 형성 조성물은 사용 이전에 연속 또는 분별 배치식 증류 또는 승화에 의해 대략 90%(w/w) 내지 대략 100%(w/w) 범위, 바람직하게는 대략 99%(w/w) 내지 대략 100%(w/w) 범위의 순도까지 정제될 수 있다. Si-함유 막 형성 조성물은 임의의 하기 불순물, 즉 원치 않은 동일속 종(congeneric species); 용매; 염소화 금속 화합물; 또는 기타 반응 생성물을 함유할 수 있다. 바람직하게는, 이들 불순물의 총량은 0.1%(w/w) 미만이다.
정제된 물질에서의 톨루엔, 헥산, 치환된 헥산, 펜탄, 치환된 펜탄, 디에틸에테르, THF, 글라임, 디메톡시 에테르 또는 아니솔과 같은 용매 각각의 농도는 대략 0%(w/w) 내지 대략 5%(w/w)의 범위, 바람직하게는 대략 0%(w/w) 내지 대략 0.1%(w/w)의 범위일 수 있다. 용매는 조성물의 합성에 사용될 수 있다. 조성물 및 용매 둘 모두가 유사한 끓는점을 갖는 경우에 조성물로부터 용매의 분리는 어려울 수 있다. 혼합물을 냉각하면 액체 용매 중에서 고체 전구체가 생성될 수 있으며, 이는 여과에 의해 분리될 수 있다. 전구체 생성물이 대략 이의 분해점(decomposition point) 초과로 가열되지 않는 한, 감압 증류가 또한 사용될 수 있다.
하나의 실시형태에서, 개시된 Si-함유 막 형성 조성물은 5%(v/v) 미만, 바람직하게는 1%(v/v) 미만, 보다 바람직하게는 0.1%(v/v) 미만, 더욱 더 바람직하게는 0.01%(v/v) 미만의 임의의 이의 원치 않은 동일속 종, 반응물 또는 기타 반응 생성물을 함유한다. 본 실시형태는 보다 양호한 공정 반복성을 제공할 수 있다. 본 실시형태는 Si-함유 막 형성 조성물의 증류에 의해 생성될 수 있다. 대안적인 실시형태에서, 개시된 Si-함유 막 형성 조성물은, 특히 혼합물이 개선된 공정 매개변수를 제공하거나 표적 전구체의 단리가 너무 어렵거나 비용이 많이 드는 경우에 5%(v/v) 내지 50%(v/v)의 카보실라잔 또는 폴리카보실라잔 전구체를 함유할 수 있다. 예를 들어, 반응 생성물의 혼합물은 스핀온 증착 또는 기상 증착에 적합한 안정된 액체 혼합물을 생성할 수 있다.
Si-함유 막 형성 조성물 중의 미량 금속 및 준금속(metalloid)의 농도는 각각 대략 0 ppbw 내지 대략 500 ppbw, 바람직하게는 대략 0 ppbw 내지 대략 100 ppbw, 보다 바람직하게는 대략 0 ppbw 내지 대략 10 ppbw 범위일 수 있다. 당업자라면 불화수소산, 질산 또는 황산과 같은 시약을 이용한 추출, 및 원자 흡수 분광법, x선 형광 분광법 또는 유사한 분석 기법에 의한 분석은 미량 금속 및 준금속의 농도를 결정하기 위해 사용될 수 있다는 것을 인지할 것이다. 당업자라면 기상 증착 전구체에 대해 요구되는 농도가 중합체 전구체에 대해 요구되는 농도보다 낮을 수 있다는 것을 추가로 인지할 것이다.
정제된 Si-함유 막 형성 조성물 중의 할로겐 농도는 대략 0 ppmw 내지 대략 1000 ppmw, 바람직하게는 0 ppmw 내지 500 ppmw, 보다 바람직하게는 0 ppmw 내지 100 ppmw 범위일 수 있다. 할로겐 농도는 가스 크로마토그래피-원자 방출 분광법(GC-AES) 또는 당해 기술분야에 공지된 기타 기법에 의해 결정될 수 있다. 이들 분석 기법에 의해 공유 결합된 할로겐-실란 할로겐 및 할로겐화 이온 둘 모두의 전체 농도가 제공된다. 대안적으로, 할로겐화물의 농도는 이온 크로마토그래피에 의해 결정될 수 있다. 당업자라면, 특히 전구체가 Si-할로겐 결합을 포함하는 경우에 할로겐화물의 농도가 동일한 전구체에 있어서 할로겐의 농도보다 낮을 수 있다는 것을 인지할 것이다. 할로겐화물의 농도는 대략 0 ppmw 내지 대략 500 ppmw, 바람직하게는 대략 0 ppmw 내지 대략 250 ppmw, 보다 바람직하게는 대략 0 ppmw 내지 대략 75 ppmw의 범위일 수 있다.
기상 증착 방법을 위해 화학식 I 또는 화학식 II의 개시된 전구체를 이용하는 방법이 또한 개시되어 있다. 기상 증착 방법에 적합하도록 개시된 전구체는 대략 150 내지 대략 600, 바람직하게는 대략 200 내지 대략 400 범위의 분자량을 가질 수 있다. 개시된 방법은 실리콘-함유 막의 증착을 위한 Si-함유 막 형성 조성물의 사용을 제공한다. 개시된 방법은 반도체, 광전지, LCD-TFT 또는 평판형 장치의 제조에 유용할 수 있다. 방법은 내부에 배치된 기판을 구비한 반응기 내로 개시된 Si-함유 막 형성 조성물의 증기를 도입하는 단계; 및 증착 공정을 통해 기판 상에 개시된 카보실라잔 또는 폴리카보실라잔 전구체의 적어도 일부분을 증착하여 Si 함유층을 형성하는 단계를 포함한다.
또한 개시된 방법은 기상 증착 공정을 이용하여 기판 상에 바이메탈(bimetal) 함유층을 형성하는 단계를 제공하고, 보다 구체적으로는 SiMOx 막의 증착을 제공하며, 여기서 x는 0~4일 수 있고, M은 Ta, Hf, Nb, Mg, Al, Sr, Y, Ba, Ca, As, Sb, Bi, Sn, Pb, Co, 란탄족 원소(예를 들어, Er) 또는 이들의 조합이다.
기판 상에 실리콘 함유층을 형성하는 개시된 방법은 반도체, 광전지, LCD-TFT 또는 평판형 장치의 제조에 유용할 수 있다. 개시된 Si-함유 막 형성 조성물은 당해 기술분야에 공지된 임의의 기상 증착 방법을 이용하여 Si-함유 막을 증착할 수 있다. 적합한 기상 증착 방법의 예로는 화학적 기상 증착(CVD) 또는 원자층 증착(ALD)을 들 수 있다. 예시적인 CVD 방법으로는 열적 CVD, 플라즈마 강화 CVD(PECVD), 펄스 CVD(PCVD), 저압 CVD(LPCVD), 대기압아래 CVD(SACVD) 또는 대기압 CVD(APCVD), 유동성 CVD(f-CVD), 금속 유기 화학적 기상 증착(MOCVD), 열선 CVD(HWCVD; 열선이 증착 공정을 위한 에너지 공급원으로 작용하는 촉매적 CVD(cat-CVD)로도 공지됨), 라디칼 병합 CVD 및 이들의 조합을 들 수 있다. 예시적인 ALD 방법으로는 열적 ALD, 플라즈마 강화 ALD(PEALD), 공간적 단리 ALD, 열선 ALD(HWALD), 라디칼 병합 ALD 및 이들의 조합을 들 수 있다. 초임계 유체 증착이 또한 사용될 수 있다. 증착 방법은 바람직하게는 적당한 단차 피복(step coverage) 및 막 두께 제어를 제공하기 위해 ALD, 공간적 ALD 또는 PE-ALD이다.
Si-함유 막 형성 조성물의 증기는 기판이 들어 있는 반응 챔버 내로 도입된다. 반응 챔버 내의 온도 및 압력 및 기판의 온도는 기판 상에 카보실라잔 전구체의 적어도 일부분을 기상 증착하기에 적합한 조건으로 유지된다. 다시 말해, 기화된 조성물을 챔버 내로 도입한 후, 챔버 내의 조건은 기화된 전구체의 적어도 일부분이 기판 상에 증착되어 실리콘-함유 막을 형성하는 조건이다. 또한 공반응물은 Si 함유층을 형성하는데 도움이 되도록 사용될 수 있다.
반응 챔버는 평행판형 반응기, 냉벽형 반응기, 고온벽형 반응기, 단일 웨이퍼 반응기, 다중 웨이퍼 반응기 또는 기타 이 같은 유형의 증착 시스템과 같이 증착 방법이 일어나는 장치의 임의의 인클로저(enclosure) 또는 챔버일 수 있지만, 이에 제한되지 않는다. 이들 예시적인 반응 챔버 모두는 ALD 반응 챔버로서 작용할 수 있다. 반응 챔버는 약 0.5 mTorr 내지 약 20 Torr 범위의 압력으로 유지될 수 있다. 게다가, 반응 챔버 내의 온도는 약 20℃ 내지 약 600℃의 범위일 수 있다. 당업자라면 목적하는 결과를 구현하기 위해 단순한 실험을 통해 온도를 최적화할 수 있다는 것을 인지할 것이다.
반응기의 온도는 기판 홀더(substrate holder)의 온도를 제어하거나 반응기 벽의 온도를 제어함으로써 제어될 수 있다. 기판을 가열하기 위해 사용된 장치는 당해 기술분야에 공지되어 있다. 반응기 벽은 충분한 성장 속도에서 목적하는 물리적 상태 및 조성으로 목적하는 막을 수득하기에 충분한 온도까지 가열된다. 반응기 벽이 가열될 수 있는 비제한적인 예시적인 온도 범위는 대략 20℃ 내지 대략 600℃를 포함한다. 플라즈마 증착 공정이 이용되는 경우, 증착 온도는 대략 20℃ 내지 대략 550℃의 범위일 수 있다. 대안적으로, 열적 공정이 수행되는 경우, 증착 온도는 대략 300℃ 내지 대략 600℃의 범위일 수 있다.
대안적으로, 기판은 충분한 성장 속도에서 목적하는 물리적 상태 및 조성으로 목적하는 실리콘-함유 막을 수득하기에 충분한 온도까지 가열될 수 있다. 기판이 가열될 수 있는 비제한적인 예시적인 온도 범위는 150℃ 내지 600℃를 포함한다. 바람직하게는, 기판의 온도는 500℃ 이하로 유지된다.
실리콘-함유 막이 증착될 기판의 유형은 의도된 최종 용도에 따라 달라질 것이다. 기판은 일반적으로 공정이 수행되는 물질로서 정의된다. 기판은 반도체, 광전지, 평면 패널 또는 LCD-TFT 장치의 제조에 사용되는 임의의 적합한 기판일 수 있다. 적합한 기판의 예로는 실리콘, 실리카, 유리, Ge 또는 GaAs 웨이퍼와 같은 웨이퍼를 들 수 있다. 웨이퍼는 이전 제조 단계에서 상이한 물질들이 상부에 증착된 하나 이상의 층을 구비할 수 있다. 예를 들어, 웨이퍼는 실리콘 층(결정성, 비정질, 다공성 등), 산화규소 층, 질화규소 층, 옥시질화규소 층, 탄소가 도핑된 산화규소(SiCOH) 층 또는 이들의 조합을 포함할 수 있다. 게다가, 웨이퍼는 구리 층, 텅스텐 층 또는 금속 층(예를 들어 백금, 팔라듐, 니켈, 로듐 또는 금)을 포함할 수 있다. 웨이퍼는 망간, 산화망간, 탄탈룸, 질화탄탈룸 등과 같은 장벽층을 포함할 수 있다. 폴리(3,4-에틸렌디옥시티오펜)폴리(스티렌설포네이트)[PEDOT:PSS]와 같은 플라스틱 층이 또한 사용될 수 있다. 층은 평면이거나 패터닝될 수 있다. 일부 실시형태에서, 기판은 수소화 탄소, 예를 들어 CHx(여기서 x는 0 초과(예를 들어, x ≤ 4)임)로 만들어진 패터닝된 포토레지스트 막일 수 있다. 일부 실시형태에서, 기판은 MIM, DRAM 또는 FeRam 기술에서 유전체 물질(예를 들어, ZrO2계 물질, HfO2계 물질, TiO2계 물질, 희토류 산화물계 물질, 삼원 산화물(ternary oxide)계 물질 등)로서 사용되는 산화물의 층, 또는 구리와 저유전체 층 사이의 산소 차단막(oxygen barrier)으로서 사용되는 질화물계 막(예를 들어, TaN)을 포함할 수 있다. 개시된 공정에서는 실리콘 함유층을 웨이퍼 상에 직접 증착할 수 있거나, 웨이퍼 상부에 있는 층들 중 하나 이상의 층(패터닝된 층이 기판을 형성하는 경우) 상에 직접 증착할 수 있다. 더욱이, 당업자라면 본원에서 사용된 "막" 또는 "층"이란 용어가 표면 상에 놓여 있거나 표면 상에 도말된 소정 두께의 일부 물질을 지칭한다는 것을 인지할 것이며, 표면이 트렌치(trench) 또는 라인(line)일 수 있다는 것을 인지할 것이다. 명세서 및 특허청구범위 전반에서 웨이퍼 및 그 상부의 임의의 연관된 층이 기판으로 지칭된다. 또한 사용되는 실제 기판은 사용되는 특정 전구체 실시형태에 의존할 수 있다. 다양한 실례 전반에서 사용되는 바람직한 기판은 수소화 탄소, TiN, SRO, Ru 및 Si형 기판, 예를 들어 폴리실리콘 또는 결정성 실리콘 기판으로부터 선택될 것이다.
기판은 높은 종횡비(aspect ratio)를 갖는 바이어스(vias) 또는 트렌치를 포함하도록 패터닝될 수 있다. 예를 들어, SiO2와 같은 등각성 Si-함유 막은 대략 20:1 내지 대략 100:1 범위의 종횡비를 갖는 실리콘 관통전극(through silicon via; TSV) 상에 임의의 ALD 기법을 이용하여 증착될 수 있다. 다른 예에서, 트렌치는 유동성 CVD에 의해 폴리실라잔 또는 폴리카보실라잔으로 충진될 수 있으며, 어닐링 또는 UV 경화에 의해 경질 막으로 전환될 수 있다. 막은 산화 분위기 하에 어닐링되거나 UV 경화되는 경우에 산화규소-함유 막으로 전환될 수 있다. 대안적으로, 막은 불활성의 질화 분위기(NH3, 히드라진, 아민, NO) 또는 탄화 분위기 하에 어닐링되거나 UV 경화되는 경우에 질화규소- 또는 탄질화규소-함유 막으로 전환될 수 있다.
Si-함유 막 형성 조성물은 그 자체로서 공급될 수 있다. 대안적으로, Si-함유 막 형성 조성물은 기상 증착에 적합한 용매를 추가로 포함할 수 있다. 그 중에서도, 용매는 C1~C16 포화 또는 불포화 탄화수소, 테트라하이드로푸란(THF), 옥살산디메틸(DMO), 에테르, 피리딘, 메틸이소부틸케톤, 사이클로헥사논, 에탄올, 이소프로판올 또는 이들의 조합으로부터 선택될 수 있다.
기상 증착에 있어서, Si-함유 막 형성 조성물은 배관 및/또는 유량계와 같은 통상적인 수단에 의해 증기 형태로 반응기에 도입된다. 증기 형태의 조성물은 직접 기화와 같은 통상적인 기화 단계를 통해 조성물을 기화시킴으로써 생산되거나, 증류 또는 버블링(bubbling)에 의해 생산되거나, 쉬(Xu) 등에게 허여된 PCT 공개공보 제WO2009/087609호에 개시된 바와 같은 승화기(sublimator)를 이용함으로써 생산될 수 있다. 조성물은 반응기에 도입되기 전에 기화되는 기화기(vaporizer)에 액체 상태로 공급될 수 있다. 대안적으로, 조성물은 전구체를 함유하고 있는 용기 내로 운반 가스(carrier gas)를 전달함으로써 기화되거나, 운반 가스를 전구체 내로 버블링함으로써 기화될 수 있다. 운반 가스로는 Ar, He 또는 N2 및 이들의 혼합물을 들 수 있지만, 이에 제한되지 않는다. 또한 운반 가스에 의한 버블링에 의해 조성물에 존재하는 임의의 용존 산소가 제거될 수 있다. 이어 운반 가스 및 전구체는 증기로서 반응기에 도입된다.
필요한 경우, 용기는 Si-함유 막 형성 조성물이 이의 액체상이 되도록 하고, 충분한 증기압을 갖도록 하는 온도까지 가열될 수 있다. 용기는, 예를 들어 0~150℃ 범위의 온도로 유지될 수 있다. 당업자라면 용기의 온도가 Si-함유 막 형성 조성물의 기화량을 제어하기 위해 공지된 방식으로 조절될 수 있다는 것을 인지한다.
개시된 조성물 이외에, 반응 가스가 또한 반응기에 도입될 수 있다. 반응 가스는 O2; O3; H2O; H2O2와 같은 산화제; O· 또는 OH·; NO; NO2와 같은 산소-함유 라디칼; 포름산, 아세트산, 프로피온산과 같은 카복실산; NO, NO2 또는 카복실산의 라디칼 종; 파라-포름알데하이드; 및 이들의 혼합물일 수 있다. 바람직하게는, 산화제는 O2, O3, H2O, H2O2, 이들의 산소-함유 라디칼(예를 들어, O· 또는 OH·) 및 이들의 혼합물로 이루어진 군으로부터 선택된다. 바람직하게는, ALD 공정이 수행되는 경우, 공반응물은 플라즈마 처리 산소, 오존 또는 이들의 조합이다. 산화 가스가 사용되는 경우, 얻어진 실리콘-함유 막은 또한 산소를 함유할 것이다.
대안적으로, 반응 가스는 H2, NH3, (SiH3)3N, 하이드리도실란(예를 들어, SiH4, Si2H6, Si3H8, Si4H10, Si5H10, Si6H12), 클로로실란 및 클로로폴리실란(예를 들어, SiHCl3, SiH2Cl2, SiH3Cl, Si2Cl6, Si2HCl5, Si3Cl8), 알킬실란(예를 들어, Me2SiH2, Et2SiH2, MeSiH3, EtSiH3), 히드라진(예를 들어, N2H4, MeHNNH2, MeHNNHMe), 유기 아민(예를 들어, NMeH2, NEtH2, NMe2H, NEt2H, NMe3, NEt3, (SiMe3)2NH), 에틸렌디아민, 디메틸에틸렌디아민, 테트라메틸에틸렌디아민과 같은 디아민, 피라졸린, 피리딘, B-함유 분자(예를 들어, B2H6, 트리메틸보론, 트리에틸보론, 보라진, 치환된 보라진, 디알킬아미노보란), 알킬 금속(예를 들어, 트리메틸알루미늄, 트리에틸알루미늄, 디메틸아연, 디에틸아연), 이들의 라디칼 종 또는 이들의 혼합물 중 하나와 같은 환원제일 수 있다. 환원제가 사용되는 경우, 얻어진 실리콘-함유 막은 순수한 Si일 수 있다.
대안적으로, 반응 가스는 H2, NH3, SiH4, Si2H6, Si3H8, SiH2Me2, SiH2Et2, N(SiH3)3, 이들의 수소 라디칼 및 이들의 혼합물로 이루어진 군으로부터 선택될 수 있다.
대안적으로, 반응 가스는 HCDS 또는 PCDS일 수 있다.
대안적으로, 반응 가스는 포화 또는 불포화, 선형, 분지형 또는 환형인 탄화수소, 예를 들어 에틸렌, 아세틸렌, 프로필렌, 이소프렌, 사이클로헥산, 사이클로헥센, 사이클로헥사디엔, 펜텐, 펜틴, 사이클로펜탄, 부타디엔, 사이클로부탄, 테르피넨, 옥탄, 옥탄 또는 이들의 조합일 수 있지만, 이에 제한되지 않는다.
반응 가스는 반응 가스를 이의 라디칼 형태로 분해하기 위해 플라즈마로 처리될 수 있다. 또한 N2는 플라즈마로 처리되는 경우에 환원제로서 이용될 수 있다. 예를 들어, 플라즈마는 약 50 W 내지 약 500 W, 바람직하게는 약 100 W 내지 약 200 W 범위의 전력을 사용하여 생성될 수 있다. 플라즈마는 반응기에서 생성되거나 반응기 자체 내에 존재할 수 있다. 대안적으로, 플라즈마는 일반적으로 반응기로부터 제거되는 위치, 예를 들어 원격 위치한 플라즈마 시스템 내에 있다. 당업자라면 이 같은 플라즈마 처리에 적합한 방법 및 장치를 인지할 것이다.
또한 목적하는 실리콘-함유 막은, 예를 들어 이에 제한되지 않지만 B, Zr, Hf, Ti, Nb, V, Ta, Al, Si, Ge와 같은 다른 원소를 함유한다.
또한 개시된 Si-함유 막 형성 조성물은, 그 전문이 전체가 본원에 포함되는 PCT 공개공보 제WO2011/123792호에 개시된 바와 같이, 헥사클로로디실란, 펜타클로로디실란 또는 테트라클로로디실란 또는 옥타클로로트리실란과 같은 할로실란 또는 폴리할로디실란 또는 폴리할로트리실란, 및 하나 이상의 공반응물 가스와 함께 사용되어 SiN 또는 SiCN 막을 형성할 수 있다.
Si-함유 막 형성 조성물의 증기 및 하나 이상의 공반응물은 반응 챔버에 동시에(화학적 기상 증착), 연속적으로(원자층 증착) 또는 기타 조합으로 도입될 수 있다. 예를 들어, Si-함유 막 형성 조성물은 하나의 펄스로 도입될 수 있으며, 2개의 부가적인 금속 공급원은 별도의 펄스로 함께 도입될 수 있다(개질된 원자층 증착). 대안적으로, 반응 챔버는 Si-함유 막 형성 조성물의 도입 이전에 이미 공반응물을 함유할 수 있다. 공반응물은, 유동성 CVD 구성에서와 같이 반응 챔버에 위치하거나 반응 챔버로부터 원격으로 위치한 플라즈마 시스템을 통과하여 라디칼로 분해될 수 있다. 대안적으로, Si-함유 막 형성 조성물은 기타 전구체 또는 반응물이 펄스에 의해 도입되는 동안에 반응 챔버에 연속적으로 도입될 수 있다(펄스 화학적 기상 증착). 다른 대체예에서, Si-함유 막 형성 조성물 및 하나 이상의 공반응물은 몇몇 웨이퍼가 거치된 서셉터(susceptor)가 회전하고 있는 샤워 헤드(shower head)로부터 동시에 분무될 수 있다(공간적 ALD).
하나의 비제한적인 예시적인 원자층 증착 공정에서, Si-함유 막 형성 조성물의 증기상(vapor phase)은 반응 챔버 내로 도입되며, 여기서 이는 적합한 기판과 접촉하게 된다. 이어 과량의 조성물은 반응 챔버를 퍼징(purging) 및/또는 배기(evacuation)함으로써 반응 챔버로부터 제거될 수 있다. 산소 공급원은 반응 챔버 내로 도입되며, 여기서 이는 흡수된 카보실라잔 또는 폴리카보실라잔 전구체과 자기 제한 방식으로 반응한다. 과량의 임의의 산소 공급원은 반응 챔버를 퍼징 및/또는 배기함으로써 반응 챔버로부터 제거된다. 목적하는 막이 산화규소 막인 경우, 이러한 2단계 공정은 목적하는 막 두께를 제공할 수 있거나, 필요한 두께를 갖는 막이 수득될 때가지 반복될 수 있다.
대안적으로, 목적하는 막이 실리콘 금속/준금속 산화물 막(즉, SiMOx(여기서 x는 0~4일 수 있고, M은 B, Zr, Hf, Ti, Nb, V, Ta, Al, Si, Ge 또는 이들의 조합임))인 경우, 상술한 2단계 공정을 수행한 후, 금속- 또는 준금속-함유 전구체의 증기를 반응 챔버 내로 도입할 수 있다. 금속- 또는 준금속-함유 전구체는 증착될 실리콘 금속/준금속 산화물 막의 특성에 따라 선택될 것이다. 반응 챔버 내로 도입한 후, 금속- 또는 준금속-함유 전구체는 기판과 접촉한다. 과량의 임의의 금속- 또는 준금속-함유 전구체는 반응 챔버를 퍼징 및/또는 배기함으로써 반응 챔버로부터 제거된다. 한 번 더 산소 공급원은 반응 챔버에 도입되어 금속- 또는 준금속-함유 전구체와 반응할 수 있다. 과량의 산소 공급원은 반응 챔버를 퍼징 및/또는 배기함으로써 반응 챔버로부터 제거된다. 목적하는 막 두께가 구현되는 경우, 공정은 종료될 것이다. 그러나 보다 두꺼운 막이 요구되는 경우, 4단계 공정 전체를 반복할 수 있다. Si-함유 막 형성 조성물, 금속- 또는 준금속-함유 전구체 및 산소 공급원을 제공하는 것을 번갈아 함으로써 목적하는 조성물 및 두께를 갖는 막을 증착할 수 있다.
게다가, 펄스의 횟수를 변경함으로써 목적하는 화학량적 M:Si 비율을 갖는 막을 수득할 수 있다. 예를 들어, SiMO2 막은 Si-함유 막 형성 조성물의 1회 펄스 및 금속- 또는 준금속-함유 전구체의 1회 펄스를 갖도록 함으로써 수득될 수 있으며, 이때 각각의 펄스가 인가된 후, 산소 공급원의 펄스가 인가된다. 그러나 당업자라면 목적하는 막을 수득하기 위해 요구되는 펄스의 횟수는 얻어진 막의 화학량적 비율과 동일하지 않을 수 있다는 것을 인지할 것이다.
다른 대체예에서, Si 또는 조밀한 SiCN 막은 개시된 조성물 및 암모니아, N2, N2/H2 혼합물 또는 아민과 같은 N-함유 공반응물을 이용하여 ALD 또는 개질된 플라즈마 강화 ALD 공정을 통해 증착될 수 있다. N2 및 N2/H2 혼합물에 있어서, 공반응물은 직접(챔버 내에서) 또는 원격으로 플라즈마에 의해 활성화될 필요가 있다.
또 다른 대체예에서, 실리콘-함유 막은 개시된 Si-함유 막 형성 조성물 및 라디칼 질소- 또는 산소-함유 공반응물을 이용하여 미국 특허 공개공보 제2014/0051264호에 개시된 유동성 PECVD(f-PECVD) 방법에 의해 증착될 수 있다. 각각 NH3 또는 H2O와 같은 라디칼 질소- 또는 산소-함유 공반응물은 원격 플라즈마 시스템에서 생성된다. 라디칼 공반응물 및 개시된 조성물의 증기상은 반응 챔버에 도입되며, 여기서 이들은 반응하고, 초기에는 유동성인 막을 기판 상에 증착한다. 본 출원인은 개시된 화합물의 질소 원자가 증착된 막의 유동성을 추가로 개선시키는데 도움이 되며, 그 결과 기타 전구체에 의해 생성된 막보다 낮은 공극률(voids)을 갖는 막을 초래한다는 것을 믿고 있다. 본 출원인은 NH3 플라즈마를 이용한 유동성 CVD 공정에서 개시된 Si-함유 막 형성 조성물을 사용하여 증착된 막이 전구체의 Si-C-Si 골격이 충분한 C 함량을 갖는 막을 제공하기 때문에 산화규소 막에 대해 목적하는 에칭 선택성을 갖는 SiCN 막을 생성할 것이라는 것을 믿고 있다.
또 다른 실시형태에서, 유동성 막은 챔버 내의 전구체의 분압에서 전구체의 이슬점(dew point)보다 낮은 온도로 웨이퍼를 유지함으로써 축합에 의해서만 증착될 수 있다(열적 유동성 CVD 또는 T-FCVD). 이 같은 응용을 위해, 낮은 증기압의 전구체(전형적으로는 실온에서 50 torr 미만, 더욱 더 바람직하게는 실온에서 10 torr 미만)를 갖는 것이 웨이퍼를 매우 낮은 온도까지 냉각시키지 않으면서 전구체 의 축합을 조장하기에 유리하다. 치환 또는 비치환된 N(DSP)3 및 RN(DSP)2 부류의 분자는 적합한 휘발성 범위를 갖는다. 이어서 이 같은 막의 가교는 다양한 수단들 중 하나 또는 몇몇 수단에 의해 원 위치(in-situ) 또는 현장외(ex-situ)에서 구현될 수 있으며, 이때 상기 수단으로는 반응성 가스, 플라즈마, 광자, 전자빔, 중성 입자빔(neutral particle beam) 또는 촉매에 대한 증착된 막의 노출을 들 수 있지만, 이에 제한되지 않는다. 촉매는 사전 증착, 동시 증착 또는 사후 증착될 수 있으며, 가열 또는 광자 노출과 같은 수단에 의해 활성화될 수 있다. 화학적으로 말하면, 이 같은 가교는 Si-H/N-H H2 제거, 하이드로실릴화, 아민기의 축합에 의한 실라잔 형성, 실라놀기(silanol group)의 축합에 의한 실록산 형성, 개환 중합 및/또는 탈수소화 커플링을 포함하지만 이에 제한되지 않는 다양한 화학 반응에 의해 구현될 수 있다.
스핀 코팅, 분무 코팅, 침지 코팅 또는 슬릿 코팅 기법과 같은 코팅 증착 방법에서 화학식 I 또는 화학식 II로 나타낸 개시된 전구체를 사용하는 방법이 또한 개시되어 있다. 코팅 방법에 적합하도록 개시된 전구체는 대략 500 내지 대략 1,000,000, 바람직하게는 대략 1,000 내지 대략 100,000, 보다 바람직하게는 대략 3,000 내지 대략 50,000 범위의 분자량을 가질 것이다. 개시된 방법은 실리콘-함유 막의 증착을 위한 Si-함유 막 형성 조성물의 사용을 제공한다. 개시된 방법은 반도체, 광전지, LCD-TFT, 광학 코팅 또는 평판형 장치의 제조에 유용할 수 있다. 방법은 액체 형태의 개시된 Si-함유 막 형성 조성물을 기판 상에 도포하는 단계; 및 이를 경화하여 기판 상에 Si 함유층을 형성하는 단계를 포함한다.
앞서 토의된 바와 같이, 액체 형태의 개시된 Si-함유 막 형성 조성물은 전구체의 순수 용액이거나, 휘발성 용매 및 선택적인 가교 개시제(예를 들어, 라디칼 발생제(열적 또는 광개시 라디칼 발생제) 및 촉매와 전구체의 혼합물일 수 있다. 열적으로 활성화된 라디칼 개시제(과산화물 또는 아자 화합물) 또는 UV 개시된 라디칼 개시제(예를 들어, 페논 또는 퀴논)는 Si-함유 막 형성 조성물 내에 포함될 수 있다. UV 활성화 또는/및 가열 시에 막의 가교를 조장하는 촉매 또한 막 형성 조성물 내에 포함될 수 있다. 이 같은 촉매로는 광산 발생제, 루이스산 및 전형적인 하이드로실릴화 촉매를 들 수 있다. 이 같은 화합물 중에서 B(C6F5)3는 루이스산 및 강한 탈수소화 촉매이기 때문에 특히 적합한 화합물이다.
예시적인 코팅 증착 방법으로는 스핀 코팅을 들 수 있다. 도 1에서는 예시적인 스핀 코팅 공정의 순서도가 제공된다. 당업자라면 도 1에서 제공된 단계보다 적거나 부가적인 단계가 본원에 개시된 교시로부터 벗어나지 않는 한 수행될 수 있다는 것을 인지할 것이다. 예를 들어, R&D 환경(R&D setting)에 이용되는 특성 분석(characterization) 단계가 상업적 운영 시에 요구되지 않을 수 있다. 당업자라면 공정이 바람직하게는 막의 원치 않은 산화를 방지하기 위해 불활성 분위기 하에 수행되고/되거나 막의 입자 오염을 방지하는데 도움을 주기 위해 청정실에서 수행된다는 것을 추가로 인지할 것이다.
Si-함유 막이 증착될 평면이거나 패터닝된 기판은 증착 공정을 위해 단계 1 내지 단계 4에서 제조될 수 있다. 고순도 가스 및 용매가 제조 공정에서 사용된다. 가스는 전형적으로 반도체 등급이며, 입자 오염이 없다. 반도체에 사용하기 위해 용매에는 입자가 없어야 하고, 전형적으로는 1 ㎖ 당 100개 미만의 입자(0.5 ㎛의 입자, 보다 바람직하게는 1 ㎖ 당 10개 미만의 입자)가 존재해야 하며, 표면 오염을 초래할 수 있는 비휘발성 잔류물도 없어야 한다. (각각의 원소의 경우) 50 ppb 미만(바람직하게는 5 ppb 미만)의 금속 오염도를 갖는 반도체 등급의 용매가 권장된다.
단계 1에서, 기판을 실온(대략 20℃ 내지 대략 25℃)에서 대략 60초 내지 대략 120초, 바람직하게는 대략 90초 동안 아세톤 중에서 초음파 처리한다. 단계 2에서, 평면이거나 패터닝된 기판을 실온에서 대략 60초 내지 대략 120초, 바람직하게는 대략 90초 동안 이소프로필알코올(IPA) 중에서 초음파 처리한다. 당업자라면 이들 단계가 동일하거나 서로 상이한 초음파 분산기(sonicator)에서 수행될 수 있다는 것을 인지할 것이다. 상이한 초음파 분산기는 보다 많은 장비를 요구하지만, 보다 용이한 공정을 제공한다. 초음파 분산기는 기판의 임의의 오염을 방지하기 위해 단계 1 및 단계 2 모두에서 사용하는 경우 단계 1과 단계 2 사이에 철저히 세척되어야 한다. 개시된 방법에 적합한 예시적인 초음파 분산기로는 리라 일렉트로닉스(Leela Electronics)의 리라 소닉 모델(Leela Sonic Model) 50, 60, 100, 150, 200, 250 또는 500 또는 브랜슨(Branson)의 B 시리즈를 들 수 있다. 단계 3에서, 기판을 IPA 초음파 분산기로부터 제거하고, 신선한 IPA로 세정한다. 단계 4에서, 세정된 기판은 N2 또는 Ar과 같은 불활성 가스를 이용하여 건조한다. 당업자라면 단계 1 내지 단계 4가 하나의 예시적인 웨이퍼 제조 공정을 제공한다는 것을 인지할 것이다. 다수의 웨이퍼 제조 공정이 존재하며, 본원에 개시된 교시로부터 벗어나지 않는 한 이용될 수 있다. 예를 들어, 문헌{Handbook of Silicon Wafer Cleaning Technology, 3rd Edition, 2017 (William Andrew)}을 참고한다. 예를 들어, 보다 친수성인 표면이 요구되는 경우에 UV/오존화 공정이 사용될 수 있다. 당업자라면 적어도 기판 물질 및 요구되는 청결 정도에 기초하여 적절한 웨이퍼 제조 공정을 결정할 수 있다.
이러한 4 단계 제조 이후, 기판은 스핀 코터(spin coater)에 전달된다. 예시적인 적합한 스핀 코터로는 브루어 사이언스(Brewer Science)의 Cee® 정밀 스핀 코터, 로렐(Laurell)의 650 시리즈 스핀 코터, 스페셜티 코팅 시스템(Specialty Coating System)의 G3 스핀 코터 또는 도쿄 일렉트론(Tokyo Electron)의 CLEAN TRACK ACT 장비 부류를 들 수 있다. 상기에 개시되지만 바람직하게는 화학식 II를 갖는 임의의 Si-함유 막 형성 조성물은 단계 5에서 기판 상에 분배되고, 웨이퍼는 단계 6에서 회전된다. 당업자라면 단계 5 및 단계 6이 연속적으로(정적 모드) 또는 동시에(동적 모드) 수행될 수 있다는 것을 인지할 것이다. 단계 5는 수동 또는 자동 분배 장치(예를 들어, 피펫(pipette), 주사기 또는 액체 유량계)를 사용하여 수행된다. 단계 5 및 단계 6이 동시에 수행되는 경우, 초기 회전 속도는 느리다(즉, 대략 5 rpm 내지 대략 999 rpm, 바람직하게는 대략 5 rpm 내지 대략 300 rpm). Si-함유 막 형성 조성물 모두가 분배된 후(즉, 단계 5가 정적 또는 동적 모드로 완료한 경우), 회전 속도는 대략 1000 rpm 내지 대략 4000 rpm의 범위이다. 웨이퍼는 기판 전체에 균일한 코팅이 구현될 때까지 회전하며, 이는 전형적으로 대략 10초 내지 대략 3분 정도 소요된다. 단계 5 및 단계 6에서는 Si-함유 막이 웨이퍼 상에 생성된다. 당업자라면 스핀 코팅 공정의 요구되는 기간, 가속도, 용매의 증발 속도 등이 표적 막의 두께 및 균일성을 구현하기 위해 새로운 제형 각각에 대한 최적화를 요구하는 조절 가능한 매개변수인 것을 인지할 것이다(예를 들어, 문헌{University of Louisville, Micro/Nano Technology Center - Spin Coating Theory, October 2013} 참고).
Si-함유 막이 형성된 후, 웨이퍼를 단계 7에서 예비 베이킹(pre-baking)하거나, 소프트 베이킹(soft baking)하여 스핀 코팅 공정으로부터 Si-함유 막 형성 조성물 및/또는 부산물 중의 임의의 잔류하는 휘발성 유기 성분을 제거한다. 단계 7은 대략 25℃ 내지 대략 200℃ 범위의 온도에서 대략 1분 내지 대략 120분의 기간 동안 열적 챔버에서 일어날 수 있거나, 열판 상에서 일어날 수 있다. 예시적인 열판으로는 브루어 사이언스의 Cee® 모델 10 또는 11 또는 폴로스(Polos)의 정밀 베이크 플레이트(precision bake plate)를 들 수 있다.
단계 8에서, 기판을 경화하여 목적하는 유전체 물질을 생성한다. 3개의 비제한적인 옵션이 도 1에 도시되어 있다. 임의의 3개의 옵션은 불활성 또는 반응성 가스를 이용하여 실시될 수 있다. 예시적인 불활성 가스로는 N2, Ar, He, KR, Xe 등을 들 수 있다. 반응성 가스는 산소, 질소 또는 탄소를 막 내로 도입하기 위해 사용될 수 있다. 산소를 막 내로 도입하는 예시적인 반응성 가스로는 O2, O3, 공기, H2O, H2O2 등과 같은 산소-함유 가스를 들 수 있다. 질소를 막 내로 도입하는 예시적인 반응성 가스로는 NH3; NR3(여기서 R은 C1~C4 탄화수소임) 등과 같은 질소-함유 가스를 들 수 있다. 탄소를 막 내로 도입하는 예시적인 반응성 가스로는 탄소-함유 가스, 및 구체적으로는 알센(alcene) 및 알신(alcyne)(에틸렌, 아세틸렌, 프로필렌, 등)과 같은 불포화 탄소-함유 가스를 들 수 있다.
단계 8a에서, 기판에는 불활성 또는 반응성 가스 하에 대략 101℃ 내지 대략 1,000℃, 바람직하게는 대략 200℃ 내지 대략 800℃ 범위의 온도에서 열경화가 적용된다. 열경화 공정을 수행하기 위해 퍼니스(furnace) 또는 급속 열처리 장치(rapid thermal processor)를 사용할 수 있다. 예시적인 퍼니스로는 써모피셔 린드버그/블루(ThermoFisher Lindberg/Blue) MTM 튜브 퍼니스, 써모 사이언티픽 써모라인TM(Thermo Scientific ThermolyneTM) 벤치탑 튜브 퍼니스(benchtop tube furnace) 또는 머플 퍼니스(muffle furnace), 이세토(Inseto) 테이블용 석영관 퍼니스, 네이테크 불칸(NeyTech Vulcan) 벤치탑 퍼니스, 토쿄 일렉트론(Tokyo Electron) TELINDYTM 열가공 장비 또는 에이에스엠 인터내셔널 어드밴스®(ASM International ADVANCE®) 수직 퍼니스를 들 수 있다. 예시적인 급속 열처리 장치로는 솔라리스 100(Solaris 100), ULVAC RTP-6 또는 어닐시스 에즈원 100(Annealsys As-one 100)을 들 수 있다.
대안적으로, 단계 8b에서, 기판에는 단색 또는 다색 공급원을 이용하여 대략 190 ㎚ 내지 대략 400 ㎚ 범위의 파장에서의 UV-경화가 적용된다. 단계 8b를 수행하기에 적합한 예시적인 VUV- 또는 UV-경화 시스템으로는 노르손 쿨웨이브스® 2(Nordson Coolwaves® 2) UV 경화 시스템, 헤라우스 노블라이트 라이트 햄머® 10(Heraeus Noblelight Light Hammer® 10) 제품 플랫폼 또는 라듐 제라덱스®(Radium Xeradex®) 램프를 들 수 있지만, 이에 제한되지 않는다.
다른 대체예에서, 열적 공정 및 UV 공정 둘 모두는 단계 8a 및 단계 8b에 지정된 동일한 온도 및 파장 기준에서 수행될 수 있다. 당업자라면 경화 방법 및 조건의 선택은 요구되는 표적 실리콘-함유 막에 의해 결정될 것임을 인지할 것이다.
단계 9에서, 경화된 막은 표준 분석 도구를 이용하여 특성 분석된다. 예시적인 도구로는 엘립소미터(ellipsometer), x선 광전자 분광법, 원자력 현미경법, x선 형광, 푸리에 변환 적외선 분광법(fourier-transform infrared spectroscopy), 주사 전자 현미경법, 이차 이온 질량 분석법(SIMS), 러더포드 후방 산란 분광법(Rutherford backscattering spectroscopy; RBS), 응력 분석용 조면계(profilometer) 또는 이들의 조합을 들 수 있지만, 이에 제한되지 않는다.
액체 형태의 개시된 Si-함유 막 형성 조성물은 기판의 중심에 직접 도포된 후, 회전에 의해 기판 전체에 도말되거나, 분무에 의해 기판 전체에 도포될 수 있다. 기판의 중심에 직접 도포하는 경우, 기판은 원심력을 이용하여 조성물을 기판 상에 균일하게 분포시키기 위해 회전될 수 있다. 대안적으로, 기판은 Si-함유 막 형성 조성물에 침지될 수 있다. 얻어진 막은 용매 또는 막의 휘발성 성분을 기화시키기 위해 일정 기간 동안 실온에서 건조될 수 있거나, 강제 건조(force drying) 또는 베이킹에 의해 건조되거나 열경화, 및 이온 조사, 전자 조사, UV 및/또는 가시광선 조사 등과 같은 조사를 포함한 임의의 적합한 공정들 중 하나 또는 이들의 조합을 이용하여 건조될 수 있다.
Si-함유 막 형성 조성물 내의 개시된 카보실라잔 전구체는 카보실라잔-함유 중합체의 합성을 위한 단량체로서 유용한 것으로 증명될 수 있다. Si-함유 막 형성 조성물은 리소그래피 응용(예를 들어, 색조 전도층) 또는 반사 방지막용으로 스핀온 유전체 막 제형을 형성하기 위해 사용될 수 있다. 예를 들어, 개시된 Si-함유 막 형성 조성물은 용매 중에 포함될 수 있고, 기판에 도포되어 막을 형성할 수 있다. 필요한 경우, 기판은 회전하여 기판 전체에 걸쳐 Si-함유 막 형성 조성물을 균일하게 분포시킬 수 있다. 당업자라면 Si-함유 막 형성 조성물의 점도가 기판의 회전이 필요한지의 여부에 기여할 것임을 인지할 것이다. 얻어진 막은 아르곤, 헬륨 또는 질소와 같은 불활성 가스 하에 가열될 수 있고/있거나, 감압 하에 가열될 수 있다. 대안적으로, 얻어진 막은 NH3 또는 히드라진과 같은 반응성 가스 하에 가열되어 막의 연결성 및 질화(nitridation)를 향상시킬 수 있다. 전자빔 또는 자외선 조사는 얻어진 막에 인가될 수 있다. 개시된 카보실라잔 또는 폴리카보실라잔 전구체의 반응성 기(즉, 직접 Si-N, N-H 또는 Si-H 결합)는 수득된 중합체의 연결성을 증가시키는데 유용한 것으로 증명될 수 있다.
상술한 공정으로부터 생성된 실리콘-함유 막은 SiO2; SiC; SiN; SiON; SiOC; SiONC; SiBN; SiBCN; SiCN; SiMCO를 포함할 수 있으며, 이때 M은 물론 M의 산화 상태에 따라 Zr, Hf, Ti, Nb, V, Ta, Al, Ge로부터 선택된다. 당업자라면 적절한 Si-함유 막 형성 조성물 및 공반응물의 공정한 선택에 의해 목적하는 막 조성물이 수득될 수 있다는 것을 인지할 것이다.
목적하는 막 두께를 구현할 때 막에는 열적 어닐링, 퍼니스 어닐링(furnace annealing), 급속 열적 어닐링, UV 또는 전자선 경화 및/또는 플라즈마 가스 노출과 같은 추가적인 가공이 적용될 수 있다. 당업자라면 이들 부가적인 가공 단계를 수행하기 위해 이용되는 시스템 및 방법을 인지한다. 예를 들어, 실리콘-함유 막은 불활성 분위기, H-함유 분위기, N-함유 분위기 또는 이들의 조합 하에 대략 0.1초 내지 대략 7,200초 범위의 시간 동안 대략 200℃ 내지 대략 1000℃ 범위의 온도에 노출될 수 있다. 가장 바람직하게는, 온도는 3,600초 미만 동안에 600℃이다. 더욱 더 바람직하게는, 온도는 400℃ 미만이다. 어닐링 단계는 증착 공정이 수행되는 동일한 반응 챔버에서 수행될 수 있다. 대안적으로, 기판은 어닐링/플래쉬 어닐링(flash annealing) 공정이 별도의 장치에서 수행되는 상태에서 반응 챔버로부터 제거될 수 있다. 임의의 상술한 후처리 방법, 특히 UV-경화 방법은 막의 연결성 및 가교를 향상시키기에 효과적인 것으로 밝혀져 있다. 전형적으로, 400℃ 미만(바람직하게는 약 100℃~300℃)까지의 열적 어닐링과 UV 경화의 조합은 가장 높은 밀도를 갖는 막을 수득하기 위해 사용된다.
실시예
하기 비제한적인 실시예는 본 발명의 실시형태를 추가로 예시하기 위해 제공된다. 그러나 실시예는 모두 내포하는 것으로 의도되지 않으며, 본원에 개시된 본 발명의 범주를 제한하는 것으로 의도되지 않는다.
실시예 1:
출발 물질인 1,2-디실라프로판(DSP) 및 1,3-디실라부탄(DSB)의 합성
3LiAlH4 + 2SiCl3CH2SiCl3 → 2DSP + 3LiAlCl4
3LiAlH4 + 2SiCl3CH2CH2SiCl3 → 2DSB + 3LiAlCl4
불활성 분위기 하에 기계식 교반기가 구비된 4 ℓ 용기에 수소화알루미늄리튬(LiAlH4 또는 LAH)을 넣었다. 용기를 -78℃까지 냉각시킨 후, DSP용으로 1 ℓ의 차가운(약 -30℃) 디글라임(H3COC2H4OC2H4OCH3) 또는 DSB용으로 디-n부틸 에테르(H9C4OC4H9)를 용기에 천천히 첨가하였다. 용기 중의 혼합물을 교반하면서 -10℃까지 가온하도록 방치하였다. 반응 혼합물이 20℃ 초과로 가온되는 것을 방지하면서 1,2-비스(트리클로로실릴)메탄(SiCl3CH2SiCl3) 또는 1,2-비스(트리클로로실릴)에탄(SiCl3CH2CH2SiCl3)을 가온된 혼합물에 적가하였다. 첨가 후, 혼합물을 25℃까지 가온하고, 2시간 동안 교반하였다. 휘발성 DSP 또는 DSB를 30℃에서 트랩(trap)(-78℃) 내로 농축하였다. DSP를 가스 크로마토그래피(GC)에 의해 나타난 82%의 수율 및 96%의 순도로 단리하였다. DSB는 무색 액체로서 단리되었다. GC에 의해 나타난 수율은 65%이고, 순도는 98.8%이다.
실시예 2:
비스(디실라프로판)아민 HN(SiH2-CH2-SiH3)2(HN(DSP)2) 및 트리스((실릴메틸)실릴)아민 N(SiH2-CH2-SiH3)3(N(DSP)3)의 무할로겐 경로 합성
H3Si-CH2-SiH3 + NH3 → HN(DSP)2
H3Si-CH2-SiH3 + NH3 → N(DSP)3
압력 반응기에서 탄소 상의 백금에 의해 디실라프로판 및 암모니아를 촉매하여 HN(DSP)2 및 N(DSP)3을 생성하였다. 이는 무할로겐 경로이다. 0.3 ℓ 오토클레이브(autoclave)에는 기계식 교반기, 열전대(thermocouple), 압력계, 압력 변환기 및 3개의 정량 밸브(metering valve)가 구비되어 있다. 10(0.5 g/2.56 mol의 백금)의 5중량 탄소-상-백금 촉매를 오토클레이브에 첨가하였다. 후속적으로 반응기를 동적 진공 하에 140℃까지 서서히 가열하고, 이러한 온도에서 3시간 동안 유지하였다. 실온까지 냉각시킨 후, 반응기를 헬륨으로 가압하였다(800 torr). 글러브 박스(glove box) 내 반응기 내로 펜탄(50 ㎖)을 도입하였다. 액체 질소 욕조에서 반응기를 침지한 후, 진공 하에 대기 질소를 제거하였다. 암모니아(3 g, 0.176 mol) 및 디실라프로판(53.7 g, 0.705 mol)을 반응기로 전달하였다. 이어 반응기를 50℃까지 가열하였다. 457 rpm에서 30시간 동안 교반한 후, 실온까지의 냉각 이후에는 대략 486 psi의 압력 증가가 관찰되었다. 반응기 내용물 중 휘발성 성분은 스테인리스강 렉쳐 보틀(stainless steel lecture bottle; SSLB)에서 10 Torr의 압력에 이를 때까지 극저온 트래핑(cryotrapping)하였다. 액체 주입 GCMS에 의한 반응기 내용물의 분석에 따르면 소량의 보다 고비점의 성분과 함께 HN(DSP)2와 N(DSP)3의 7:1 혼합물이 있는 것으로 나타났다. 도 2에 생성된 N(DSP)2 및 N(DSP)3 혼합물의 최종 생성물에 대한 GCMS 스펙트럼이다.
혼합물에는 감압 분별 증류가 적용되었다. 제1 분획(42℃/153 mtorr)은 GCMS에 의해 측정된 HN(DSP)2(2.05 g, 6%)를 포함하였다. 도 3은 제1 분획에 대한 GCMS 스펙트럼으로, HN(DSP)2와 N(DSP)3의 혼합물을 나타낸다. 제2 분획은 GCMS에 의해 측정된 보다 높은 비점의 성분(1.48g)과 함께 N(DSP)3과 HN(DSP)2의 14:1 혼합물을 포함하였다. 도 4는 제2 분획에 대한 GCMS 스펙트럼으로, N(DSP)3과 HN(DSP)2의 혼합물을 나타낸다.
실시예 3:
NDSP 올리고머인 [-NH-SiH2-CH2-SiH2-]n([-NH-DSP-]n) 및 [-N(SiH2-CH2-SiH3)-SiH2-CH2-SiH2-]n([-N(DSP)-DSP-]n)(n은 2 내지 400임)의 무할로겐 경로 합성
H3Si-CH2-SiH3 + NH3 → HNDSP2 → [-NH-DSP-]n
H3Si-CH2-SiH3 + NH3 → NDSP3 → [-N(DSP)-DSP-]n
NDSP 올리고머의 합성은 탄소 상의 백금에 의해 촉매되고, 디실라프로판과 암모니아 사이의 반응에 의해 압력 반응기에서 수행되었다. 반응은 또한 무할로겐 경로인 HNDSP2 및 NDSP3의 이들 합성과 동일하였다. 실시예 2를 참고하면, 실시예 2에서의 시약이 과다 열처리(overcooking)되는 경우, 감압 분별 증류에 의해 생성물로부터 HNDSP2 및 NDSP3을 제거한 이후에는 증류 포트(distillation pot)에 무색 점성 오일(7.5 g)이 남아 있었다.
도 5는 무할로겐 경로에 의해 생성된 HNDSP2 및 NDSP3의 제거 이후의 무색 점성 오일에 대한 GPC 스펙트럼이다. 이러한 점성 오일은 겔 투과 크로마토그래피(GPC)에 의해 분석하였고, 도 4에 도시된 바와 같이, 26,000 내지 500달톤 범위의 분포가 자명하였으며, 이에 따르면 주요 성분들이 DSP에 의해 형성된 선형 또는 분지형 올리고머 [-NH-DSP-]n 또는 [-N(DSP)-DSP-]n인 고분자량 올리고머 또는 중합체를 갖는 것으로 나타났다. 올리고머에 대해 계산한 분자량 평균 및 다분산성 지수는 표 2에 나타나 있다.
올리고머의 계산된 분자량 평균 및 다분산성 지수
샘플 ID Mn(달톤) Mw(달톤) Mz(달톤) PDI(Mw/Mn)
SK-586-89-3 무색 오일 1,440 2,230 3,670 1.5
SK-586-96-1 THF 중의 무색 오일 8,340 95,700 1,190,000 11.5
실시예 4:
HNDSP2의 선택적 합성
ClSiH2-CH2-SiH3 + NH3 → HNDSP2 + HCl
N2로 퍼징한 후, 이러한 공정에서 무수 톨루엔인 무극성 용매로 2리터(ℓ) 3구 플라스크를 충전하였다. 클로로실릴메틸실란(DSP-Cl)(53.6 g, 0.48 mol)을 플라스크 내로 떨어뜨리면서 상기 플라스크에 첨가하였다. 플라스크 중의 혼합물 내로 버블링함으로써 +5℃에서 NH3(11g, 0.65 mol)을 천천히 첨가하였다. 필요한 양의 NH3이 첨가된 후, 혼합물을 실온까지 가온하고, 16시간 동안 교반하였다. 투명한 액체에서 백색 고체가 형성되는 것이 관찰되었다. 이어 반응 혼합물을 캐뉼라(cannula)를 통해 무공기 필터 프릿(air-free filter frit)이 구비된 슈렝크 필터 깔때기(Schlenk filter funnel)로 옮겼다. 여과 고체를 무수 톨루엔으로 4회 세척하였다. 도 6a는 실온에서 16시간 동안(하룻밤 동안 또는 ON) 교반한 후의 생성물에 대한 GC 스펙트럼이다. 도 6b는 실온에서 8주 이후의 최종 생성물에 대한 GC 스펙트럼이다. 도면에서, CATO는 반응물인 DSP-Cl를 나타내고, NDSP3은 가능한 부산물을 나타낸다. 도 6a에 도시된 바와 같이, 최종 생성물은 NDSP3에 비해 거의 100%의 HNDSP2를 함유한다. 도 6b에 도시된 바와 같이, 8주 이후에 매우 적은 양의 NDSP3이 생성되었다. 따라서 이러한 합성 방법은 부산물인 NDSP3 없이 HNDSP2를 선택적으로 생성하기 위한 방법을 제공한다.
실시예 5:
NDSP3의 선택적 합성
3ClSiH2-CH2-SiH3 + 4NH3 → NDSP3 +3NH4Cl
실시예 4에서 무극성 용매 톨루엔을 THF와 같은 극성 용매로 교체하여 부산물인 HNDSP2 없이 NDSP3을 선택적으로 생성하였다.
본 발명의 특성을 설명하기 위해 본원에서 개시되고 예시되어 있는 세부사항, 재료, 단계 및 부품의 배열에 대한 다양한 부가적인 변경은, 첨부된 특허청구범위에 나타나 있는 바와 같이, 본 발명의 원리 및 범주 내에서 당업자에 의해 이루어질 수 있는 것으로 이해될 것이다. 따라서 본 발명은 상술한 실시예 및/또는 첨부된 도면에서 나타낸 특정 실시형태에 제한되는 것으로 의도된 것은 아니다.

Claims (11)

  1. 하기 화학식을 갖는 유닛을 함유하는 전구체를 포함하는 Si-함유 막 형성 조성물:
    [화학식 II]
    [-NR-R4R5Si-(CH2)t-SiR2R3-]n
    상기 식에서, t는 1 내지 4이고; n은 2 내지 400이고;
    R2, R3, R4 및 R5는 독립적으로 H, C1 내지 C6 탄화수소, 또는 화학식 NR"2를 갖는 알킬아미노기이고, R" 각각은 독립적으로 H, C1~C6 탄화수소, C6~C12 아릴이거나, NR"2는 환형 아민기를 형성하며,
    단 R2, R3, R4 및 R5 중 적어도 하나는 H이고;
    R은 H; C1~C6 탄화수소; 화학식 SixR'2x+1(여기서, x는 1 내지 4이고, R' 각각은 독립적으로 H, C1~C6 탄화수소기, 또는 화학식 NR"2를 갖는 알킬아미노기이고, R" 각각은 독립적으로 H, C1~C6 기, C6~C12 아릴이거나, NR"2는 환형 아민기를 형성함)을 갖는 실릴기; 또는 R1'R2'R3'Si(CH2)bSiR4'R5' 기(여기서, b는 1 내지 2이고, R1', R2', R3', R4' 및 R5'는 독립적으로 H, C1~C6 탄화수소, C6~C12 아릴, 또는 화학식 NR"2를 갖는 알킬아미노기이고, R" 각각은 독립적으로 H, C1~C6 기, C6~C12 아릴이거나, NR"2는 환형 아민기를 형성함)이고; 단 R1', R2', R3', R4' 및 R5' 중 적어도 하나는 H이다.
  2. 제2항에 있어서, 상기 전구체는 화학식 [-NH-SiH2-(CH2)t-SiH2-]n(여기서 t는 1~2임)을 갖는 유닛을 함유하는 것인 Si-함유 막 형성 조성물.
  3. 제2항에 있어서, 상기 전구체는 하기 화학식으로 이루어진 군으로부터 선택된 화학식을 갖는 유닛을 함유하는 것인 Si-함유 막 형성 조성물:
    [-N(SiH3)-SiH2-(CH2)t-SiH2-]n,
    [-N(Si2H5)-SiH2-(CH2)t-SiH2-]n,
    [-N(Si3H7)-SiH2-(CH2)t-SiH2-]n, 및
    [-N(Si4H9)-SiH2-(CH2)t-SiH2-]n(여기서 t는 1~2임).
  4. 제2항에 있어서, 상기 전구체는 하기 화학식으로 이루어진 군으로부터 선택된 화학식을 갖는 유닛을 함유하는 것인 Si-함유 막 형성 조성물:
    [-N(Si(Me)3-SiH2-(CH2)t-SiH2-]n,
    [-N(Si(Et)3-SiH2-(CH2)t-SiH2-]n,
    [-N(Si(iPr)3-SiH2-(CH2)t-SiH2-]n,
    [-N(Si(nPr)3-SiH2-(CH2)t-SiH2-]n,
    [-N(Si(Bu)3-SiH2-(CH2)t-SiH2-]n,
    [-N(Si(iBu)3-SiH2-(CH2)t-SiH2-]n,
    [-N(Si(tBu)3-SiH2-(CH2)t-SiH2-]n,
    [-N(Si(아밀)3-SiH2-(CH2)t-SiH2-]n,
    [-N(Si(헥실)3-SiH2-(CH2)t-SiH2-]n,
    [-Nx(SiH(Me)2-SiH2-(CH2)t-SiH2-]n,
    [-N(SiH(Et)2-SiH2-(CH2)t-SiH2-]n,
    [-N(SiH(iPr)2-SiH2-(CH2)t-SiH2-]n,
    [-N(SiH(nPr)2-SiH2-(CH2)t-SiH2-]n,
    [-N(SiH(Bu)2-SiH2-(CH2)t-SiH2-]n,
    [-N(SiH(iBu)2-SiH2-(CH2)t-SiH2-]n,
    [-N(SiH(tBu)2-SiH2-(CH2)t-SiH2-]n,
    [-N(SiH(아밀)2-SiH2-(CH2)t-SiH2-]n,
    [-N(SiH(헥실)2-SiH2-(CH2)t-SiH2-]n,
    [-N(SiH2(Me)-SiH2-(CH2)t-SiH2-]n,
    [-N(SiH2(Et)-SiH2-(CH2)t-SiH2-]n,
    [-N(SiH2(iPr)-SiH2-(CH2)t-SiH2-]n,
    [-N(SiH2(nPr)-SiH2-(CH2)t-SiH2-]n,
    [-N(SiH2(Bu)-SiH2-(CH2)t-SiH2-]n,
    [-N(SiH2(iBu)-SiH2-(CH2)t-SiH2-]n,
    [-N(SiH2(tBu)-SiH2-(CH2)t-SiH2-]n,
    [-N(SiH2(아밀)-SiH2-(CH2)t-SiH2-]n, 및
    [-N(SiH2(헥실)-SiH2-(CH2)t-SiH2-]n(여기서 t는 1~2임).
  5. 제2항에 있어서, 상기 전구체는 하기 화학식으로 이루어진 군으로부터 선택된 화학식을 갖는 유닛을 함유하는 것인 Si-함유 막 형성 조성물:
    [-N(SiH2-CH2-SiH3)-SiH2-(CH2)t-SiH2-]n,
    [-N(SiH2-CH2-CH2-SiH3)-(CH2)t-CH2-SiH2-]n,
    [-N(SiMe3-CH2-SiMe2)-(CH2)t-CH2-SiH2-]n,
    [-N(SiMe3-CH2-CH2-SiMe2)-SiH2-(CH2)t-SiH2-]n,
    [-N(SiEt3-CH2-SiEt2)-SiH2-(CH2)t-SiH2-]n, 및
    [-N(SiEt3-CH2-CH2-SiEt2)-SiH2-(CH2)t-SiH2-]n(여기서 t는 1~2임).
  6. 제2항에 있어서, 상기 전구체는 하기 화학식으로 이루어진 군으로부터 선택된 화학식을 갖는 유닛을 함유하는 것인 Si-함유 막 형성 조성물:
    [-N(Me)-SiH2-(CH2)t-SiH2-]n,
    [-N(Et)-SiH2-(CH2)t-SiH2-]n,
    [-N(iPr)-SiH2-(CH2)t-SiH2-]n,
    [-N(nPr)-SiH2-(CH2)t-SiH2-]n,
    [-N(Bu)-SiH2-(CH2)t-SiH2-]n,
    [-N(iBu)-SiH2-(CH2)t-SiH2-]n,
    [-N(tBu)-SiH2-(CH2)t-SiH2-]n,
    [-N(아밀)-SiH2-(CH2)t-SiH2-]n, 및
    [-N(헥실)-SiH2-(CH2)t-SiH2-]n(여기서 t는 1~2임).
  7. 제2항에 있어서, 상기 전구체는 하기 화학식으로 이루어진 군으로부터 선택된 화학식을 갖는 유닛을 함유하는 것인 Si-함유 막 형성 조성물:
    [-N(SiH2NMe2)-H2Si-(CH2)t-SiH2-]n,
    [-N(SiH2NEt2)-H2Si-(CH2)t-SiH2-]n,
    [-N(SiH2NiPr2)-SiH2-(CH2)t-SiH2-]n,
    [-N(SiH2NnPr2)-SiH2-(CH2)t-SiH2-]n,
    [-N(SiH2NMeEt)-H2Si-(CH2)t-SiH2-]n,
    [-N(SiH(NMe2)2)-H2Si-(CH2)t-SiH2-]n, 및
    [-N(SiH(NEt2)2)-H2Si-(CH2)t-SiH2-]n(여기서 t는 1~2임).
  8. 제2항에 있어서, 상기 전구체는 하기 화학식으로 이루어진 군으로부터 선택된 화학식을 갖는 유닛을 함유하는 것인 Si-함유 막 형성 조성물:
    [-NH-H2Si-(CH2)t-SiH(CH2=CH2)-]n,
    [-NH-H2Si-(CH2)t-SiH(CH2-CH2=CH2)-]n,
    [-NH-H2Si-(CH2)t-SiH(NH2)-]n,
    [-NH-H2Si-(CH2)t-SiH(NMe2)-]n,
    [-NH-H2Si-(CH2)t-SiH(NMeEt)-]n,
    [-NH-H2Si-(CH2)t-SiH(NEt2)-]n,
    [-NH-H2Si-(CH2)t-SiH(NnPr2)-]n,
    [-NH-H2Si-(CH2)t-SiH(NiPr2)-]n,
    [-NH-H2Si-(CH2)t-SiH(NBu2)-]n,
    [-NH-H2Si-(CH2)t-SiH(NiBu2)-]n,
    [-NH-H2Si-(CH2)t-SiH(NtBu2)-]n,
    [-NH-H2Si-(CH2)t-SiH(NAm2)-]n,
    [-NH-H2Si-(CH2)t-SiH(NCy펜틸2)-]n,
    [-NH-H2Si-(CH2)t-SiH(N헥실2)-]n,
    [-NH-H2Si-(CH2)t-SiH(NCyHex2)-]n,
    [-NH-H2Si-(CH2)t-SiH(NMeH)-]n,
    [-NH-H2Si-(CH2)t-SiH(NEtH)-]n,
    [-NH-H2Si-(CH2)t-SiH(NnPrH)-]n,
    [-NH-H2Si-(CH2)t-SiH(NiPrH)-]n,
    [-NH-H2Si-(CH2)t-SiH(NBuH)-]n,
    [-NH-H2Si-(CH2)t-SiH(NiBuH)-]n,
    [-NH-H2Si-(CH2)t-SiH(NtBuH)-]n,
    [-NH-H2Si-(CH2)t-SiH(NAmH)-]n,
    [-NH-H2Si-(CH2)t-SiH(피리딘)-]n,
    [-NH-H2Si-(CH2)t-SiH(피롤)-]n,
    [-NH-H2Si-(CH2)t-SiH(피롤리딘)-]n, 및
    [-NH-H2Si-(CH2)t-SiH(이미다졸)-]n(여기서 t는 1~2임).
  9. 제2항에 있어서, 상기 전구체는 하기 화학식으로 이루어진 군으로부터 선택된 화학식을 갖는 유닛을 함유하는 것인 Si-함유 막 형성 조성물:
    [-NH-H2Si-(CH2)t-Si(CH2=CH2)2-]n,
    [-NH-H2Si-(CH2)t-Si(CH2-CH2=CH2)2-]n,
    [-NH-H2Si-(CH2)t-Si(NH2)2-]n,
    [-NH-H2Si-(CH2)t-Si(NMe2)2-]n,
    [-NH-H2Si-(CH2)t-Si(NMeEt)2-]n,
    [-NH-H2Si-(CH2)t-Si(NEt2)2-]n,
    [-NH-H2Si-(CH2)t-Si(NnPr2)2-]n,
    [-NH-H2Si-(CH2)t-Si(NiPr2)2-]n,
    [-NH-H2Si-(CH2)t-Si(NBu2)2-]n,
    [-NH-H2Si-(CH2)t-Si(NiBu2)2-]n,
    [-NH-H2Si-(CH2)t-Si(NtBu2)2-]n,
    [-NH-H2Si-(CH2)t-Si(NAm2)2-]n,
    [-NH-H2Si-(CH2)t-Si(NCy펜틸2)2-]n,
    [-NH-H2Si-(CH2)t-Si(Si(N헥실2)2-]n,
    [-NH-H2Si-(CH2)t-Si(NCyHex2)2-]n,
    [-NH-H2Si-(CH2)t-Si(NMeH)2-]n,
    [-NH-H2Si-(CH2)t-Si(NEtH)2-]n,
    [-NH-H2Si-(CH2)t-Si(NnPrH)2-]n,
    [-NH-H2Si-(CH2)t-Si(NiPrH)2-]n,
    [-NH-H2Si-(CH2)t-Si(NBuH)2-]n,
    [-NH-H2Si-(CH2)t-Si(NiBuH)2-]n,
    [-NH-H2Si-(CH2)t-Si(NtBuH)2-]n,
    [-NH-H2Si-(CH2)t-Si(NAmH)2-]n,
    [-NH-H2Si-(CH2)t-Si(피리딘)2-]n,
    [-NH-H2Si-(CH2)t-Si(피롤)2-]n,
    [-NH-H2Si-(CH2)t-Si(피롤리딘)2-]n, 및
    [-NH-H2Si-(CH2)t-Si(이미다졸)2-]n(여기서 t는 1~2임).
  10. 제2항에 있어서, 상기 전구체는 하기 화학식으로 이루어진 군으로부터 선택된 화학식을 갖는 유닛을 함유하는 것인 Si-함유 막 형성 조성물:
    [-NH-SiH(CH2=CH2)-(CH2)t--SiH(CH2=CH2)-]n,
    [-NH-SiH(CH2-CH2=CH2)-(CH2)t-SiH(CH2-CH2=CH2)-]n,
    [-NH-SiH(NH2)-(CH2)t-SiH(NH2)-]n,
    [-NH-SiH(NMe2)-(CH2)t-SiH(NMe2)-]n,
    [-NH-SiH(NMeEt)-(CH2)t-SiH(NMeEt)-]n,
    [-NH-SiH(NEt2)-(CH2)t-SiH(NEt2)-]n,
    [-NH-SiH(NnPr2)-(CH2)t-SiH(NnPr2)-]n,
    [-NH-SiH(NiPr2)-(CH2)t-SiH(NiPr2)-]n,
    [-NH-SiH(NBu2)-(CH2)t-SiH(NBu2)-]n,
    [-NH-SiH(NiBu2)-(CH2)t-SiH(NiBu2)-]n,
    [-NH-SiH(NtBu2)-(CH2)t-SiH(NtBu2)-]n,
    [-NH-SiH(NAm2)-(CH2)t-SiH(NAm2)-]n,
    [-NH-SiH(NCy펜틸2)-(CH2)t-SiH(NCy펜틸2)-]n,
    [-NH-SiH(N헥실2)-(CH2)t-SiH(N헥실2)-]n,
    [-NH-SiH(NCyHex2)-(CH2)t-SiH(NCyHex2)-]n,
    [-NH-SiH(NMeH)-(CH2)t-SiH(NMeH)-]n,
    [-NH-SiH(NEtH)-(CH2)t-SiH(NEtH)-]n,
    [-NH-SiH(NnPrH)-(CH2)t-SiH(NnPrH)-]n,
    [-NH-SiH(NiPrH)-(CH2)t-SiH(NiPrH)-]n,
    [-NH-SiH(NBuH)-(CH2)t-SiH(NBuH)-]n,
    [-NH-SiH(NiBuH)-(CH2)t-SiH(NiBuH)-]n,
    [-NH-SiH(NtBuH)-(CH2)t-SiH(NtBuH)-]n,
    [-NH-SiH(NAmH)-(CH2)t-SiH(NAmH)-]n,
    [-NH-SiH(피리딘)-(CH2)t-SiH(피리딘)-]n,
    [-NH-SiH(피롤)-(CH2)t-SiH(피롤)-]n,
    [-NH-SiH(피롤리딘)-(CH2)t-SiH(피롤리딘)-]n, 및
    및 [-NH-SiH(이미다졸)-(CH2)t-SiH(이미다졸)-]n(여기서 t는 1~2임).
  11. 기판 상에 Si-함유 막을 형성하는 방법으로서,
    제1항 내지 제10항 중 어느 한 항에 따른 Si-함유 막 형성 조성물을 포함하는 용액을 형성하는 단계; 및
    스핀 코팅, 분무 코팅, 침지 코팅 또는 슬릿 코팅 기법을 통해 상기 용액을 기판과 접촉시켜 Si-함유 막을 형성하는 단계를 포함하는, 기판 상에 Si-함유 막을 형성하는 방법.
KR1020187028798A 2016-03-23 2017-03-23 Si-함유 막 형성 조성물 및 이를 제조하고 사용하는 방법 KR102403096B1 (ko)

Priority Applications (1)

Application Number Priority Date Filing Date Title
KR1020227016620A KR102492744B1 (ko) 2016-03-23 2017-03-23 Si-함유 막 형성 조성물 및 이를 제조하고 사용하는 방법

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US201662312352P 2016-03-23 2016-03-23
US62/312,352 2016-03-23
PCT/US2017/023779 WO2017165626A1 (en) 2016-03-23 2017-03-23 Si-containing film forming compositions and methods of making and using the same

Related Child Applications (1)

Application Number Title Priority Date Filing Date
KR1020227016620A Division KR102492744B1 (ko) 2016-03-23 2017-03-23 Si-함유 막 형성 조성물 및 이를 제조하고 사용하는 방법

Publications (2)

Publication Number Publication Date
KR20180136446A true KR20180136446A (ko) 2018-12-24
KR102403096B1 KR102403096B1 (ko) 2022-05-26

Family

ID=59900919

Family Applications (2)

Application Number Title Priority Date Filing Date
KR1020187028798A KR102403096B1 (ko) 2016-03-23 2017-03-23 Si-함유 막 형성 조성물 및 이를 제조하고 사용하는 방법
KR1020227016620A KR102492744B1 (ko) 2016-03-23 2017-03-23 Si-함유 막 형성 조성물 및 이를 제조하고 사용하는 방법

Family Applications After (1)

Application Number Title Priority Date Filing Date
KR1020227016620A KR102492744B1 (ko) 2016-03-23 2017-03-23 Si-함유 막 형성 조성물 및 이를 제조하고 사용하는 방법

Country Status (7)

Country Link
US (1) US11407922B2 (ko)
EP (1) EP3433302B1 (ko)
JP (1) JP6868640B2 (ko)
KR (2) KR102403096B1 (ko)
CN (1) CN109476848B (ko)
TW (2) TWI753794B (ko)
WO (1) WO2017165626A1 (ko)

Families Citing this family (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
TWI706957B (zh) 2015-03-30 2020-10-11 法商液態空氣喬治斯克勞帝方法研究開發股份有限公司 碳矽烷與氨、胺類及脒類之觸媒去氫耦合
JP6756689B2 (ja) * 2017-10-13 2020-09-16 株式会社Kokusai Electric 半導体装置の製造方法、基板処理装置、およびプログラム
US10510852B2 (en) 2017-11-28 2019-12-17 Taiwan Semiconductor Manufacturing Company, Ltd. Low-k feature formation processes and structures formed thereby
US11499014B2 (en) 2019-12-31 2022-11-15 L'Air Liquide, Société Anonyme pour l'Etude et l'Exploitation des Procédés Georges Claude Cureable formulations for forming low-k dielectric silicon-containing films using polycarbosilazane
JP2023512674A (ja) * 2020-01-31 2023-03-28 ユーピー ケミカル カンパニー リミテッド シリコン前駆体化合物、これを含むシリコン含有膜形成用組成物及びシリコン含有膜形成方法
US11999827B2 (en) 2020-05-07 2024-06-04 Merck Patent Gmbh Polycarbosilazane, and composition comprising the same, and method for producing silicon-containing film using the same

Citations (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2014013889A (ja) * 2012-06-01 2014-01-23 Air Products And Chemicals Inc 有機アミノジシラン前駆体、及びそれを含む膜の堆積方法
JP2015096489A (ja) * 2013-09-20 2015-05-21 エア プロダクツ アンド ケミカルズ インコーポレイテッドAir Products And Chemicals Incorporated 有機アミノシラン前駆体およびこれを含む膜の堆積方法

Family Cites Families (71)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH0764642B2 (ja) 1986-06-13 1995-07-12 東燃株式会社 窒化物系セラミツクスの製法
JP3230029B2 (ja) 1994-05-30 2001-11-19 富士通株式会社 Iii−v族化合物半導体結晶成長方法
US5874368A (en) 1997-10-02 1999-02-23 Air Products And Chemicals, Inc. Silicon nitride from bis(tertiarybutylamino)silane
US6841256B2 (en) 1999-06-07 2005-01-11 Honeywell International Inc. Low dielectric constant polyorganosilicon materials generated from polycarbosilanes
US6489030B1 (en) 2000-04-14 2002-12-03 Honeywell International, Inc. Low dielectric constant films used as copper diffusion barrier
JP4868639B2 (ja) 2000-06-12 2012-02-01 株式会社Adeka 化学気相成長用原料及びこれを用いた薄膜の製造方法
JP4196246B2 (ja) 2000-11-17 2008-12-17 株式会社トリケミカル研究所 膜形成材料、膜形成方法、及び素子
JP2002167438A (ja) 2000-11-29 2002-06-11 Jsr Corp ケイ素ポリマー、膜形成用組成物および絶縁膜形成用材料
CN100379745C (zh) 2001-10-26 2008-04-09 埃普切公司 化学气相淀积用的改良的前体
JP2003151972A (ja) 2001-11-15 2003-05-23 Tri Chemical Laboratory Inc 酸化膜、酸化膜形成方法、半導体素子
JP4021653B2 (ja) 2001-11-30 2007-12-12 レール・リキード−ソシエテ・アノニム・プール・レテュード・エ・レクスプロワタシオン・デ・プロセデ・ジョルジュ・クロード Cvd法によるシリコン窒化物膜またはシリコンオキシ窒化物膜の製造方法
JP4116283B2 (ja) 2001-11-30 2008-07-09 レール・リキード−ソシエテ・アノニム・プール・レテュード・エ・レクスプロワタシオン・デ・プロセデ・ジョルジュ・クロード ヘキサキス(モノヒドロカルビルアミノ)ジシランおよびその製造方法
TW200422424A (en) 2002-08-18 2004-11-01 Asml Us Inc Low temperature deposition of silicon oxides and oxynitrides
JP4358492B2 (ja) 2002-09-25 2009-11-04 レール・リキード−ソシエテ・アノニム・プール・レテュード・エ・レクスプロワタシオン・デ・プロセデ・ジョルジュ・クロード 熱化学気相成長法によるシリコン窒化物膜またはシリコンオキシ窒化物膜の製造方法
US7446217B2 (en) 2002-11-14 2008-11-04 Advanced Technology Materials, Inc. Composition and method for low temperature deposition of silicon-containing films
US7531679B2 (en) 2002-11-14 2009-05-12 Advanced Technology Materials, Inc. Composition and method for low temperature deposition of silicon-containing films such as films including silicon nitride, silicon dioxide and/or silicon-oxynitride
WO2004057653A2 (en) 2002-12-20 2004-07-08 Applied Materials, Inc. A method and apparatus for forming a high quality low temperature silicon nitride layer
US7972663B2 (en) 2002-12-20 2011-07-05 Applied Materials, Inc. Method and apparatus for forming a high quality low temperature silicon nitride layer
US7172792B2 (en) 2002-12-20 2007-02-06 Applied Materials, Inc. Method for forming a high quality low temperature silicon nitride film
US6940173B2 (en) 2003-01-29 2005-09-06 International Business Machines Corporation Interconnect structures incorporating low-k dielectric barrier films
JP4265409B2 (ja) 2003-02-13 2009-05-20 三菱マテリアル株式会社 Si−Si結合を有する有機Si含有化合物を用いたSi含有薄膜の形成方法
US7579496B2 (en) 2003-10-10 2009-08-25 Advanced Technology Materials, Inc. Monosilane or disilane derivatives and method for low temperature deposition of silicon-containing films using the same
US20050227017A1 (en) 2003-10-31 2005-10-13 Yoshihide Senzaki Low temperature deposition of silicon nitride
JP2005213633A (ja) 2004-02-02 2005-08-11 L'air Liquide Sa Pour L'etude & L'exploitation Des Procede S Georges Claude 化学気相成長法によるシリコン窒化物膜またはシリコンオキシ窒化物膜の製造方法
US7098150B2 (en) 2004-03-05 2006-08-29 Air Liquide America L.P. Method for novel deposition of high-k MSiON dielectric films
US20060012014A1 (en) 2004-07-15 2006-01-19 International Business Machines Corporation Reliability of low-k dielectric devices with energy dissipative layer
US7358317B2 (en) 2004-09-22 2008-04-15 Jsr Corporation Polycarbosilane and method of producing the same
JP2006096675A (ja) 2004-09-28 2006-04-13 L'air Liquide Sa Pour L'etude & L'exploitation Des Procede S Georges Claude 新規なアミノジシランおよび炭窒化珪素膜の形成方法
JP4756128B2 (ja) 2004-10-20 2011-08-24 日揮触媒化成株式会社 半導体加工用保護膜形成用塗布液、その調製方法およびこれより得られる半導体加工用保護膜
JP2006152063A (ja) 2004-11-26 2006-06-15 Jsr Corp 新規ポリカルボシランおよびその製造方法、膜形成用組成物、ならびに膜およびその形成方法
US20060121192A1 (en) 2004-12-02 2006-06-08 Jurcik Benjamin J Liquid precursor refill system
US7892648B2 (en) 2005-01-21 2011-02-22 International Business Machines Corporation SiCOH dielectric material with improved toughness and improved Si-C bonding
US20060286819A1 (en) 2005-06-21 2006-12-21 Applied Materials, Inc. Method for silicon based dielectric deposition and clean with photoexcitation
ES2265291B1 (es) 2005-07-22 2008-03-01 Universidad De Alcala Nuevos dendrimeros carbosilanos, su preparacion y sus usos.
CN101443338A (zh) 2006-04-03 2009-05-27 乔治洛德方法研究和开发液化空气有限公司 含五(二甲基氨基)二硅烷前体的化合物及其制备方法
WO2007112780A1 (en) 2006-04-03 2007-10-11 L'air Liquide Societe Anonyme A Directoire Et Conseil De Surveillance Pour L'etude Et L'exploitation Des Procedes Georges Claude Method for depositing silicon nitride films and/or silicon oxynitride films by chemical vapor deposition
US7875312B2 (en) 2006-05-23 2011-01-25 Air Products And Chemicals, Inc. Process for producing silicon oxide films for organoaminosilane precursors
US7442822B2 (en) * 2006-09-01 2008-10-28 Air Products And Chemicals, Inc. Stabilization of nitrogen-containing and oxygen-containing organosilanes using weakly basic ion-exchange resins
US20080124815A1 (en) 2006-11-03 2008-05-29 International Business Machines Corporation Method for post cap ild/imd repair with uv irradiation
US7500397B2 (en) 2007-02-15 2009-03-10 Air Products And Chemicals, Inc. Activated chemical process for enhancing material properties of dielectric films
CN100559167C (zh) * 2007-04-23 2009-11-11 陕西师范大学 对硝基芳烃敏感的单分子层聚硅烷荧光传感薄膜的制备方法
WO2009008041A1 (ja) 2007-07-06 2009-01-15 Fujitsu Limited 絶縁膜材料、多層配線基板及びその製造方法、並びに、半導体装置及びその製造方法
US20090096106A1 (en) 2007-10-12 2009-04-16 Air Products And Chemicals, Inc. Antireflective coatings
US20090110884A1 (en) 2007-10-29 2009-04-30 Integrated Surface Technologies Surface Coating
JP2011504522A (ja) 2007-11-06 2011-02-10 ブラゴーン オサケ ユキチュア 反射防止コーティング用カルボシランポリマー組成物
US9034105B2 (en) 2008-01-10 2015-05-19 American Air Liquide, Inc. Solid precursor sublimator
JP5317089B2 (ja) 2008-01-23 2013-10-16 独立行政法人物質・材料研究機構 成膜方法および絶縁膜
SG183291A1 (en) 2010-02-17 2012-09-27 Air Liquide VAPOR DEPOSITION METHODS OF SiCOH LOW-K FILMS
CN102471885A (zh) 2010-04-01 2012-05-23 乔治洛德方法研究和开发液化空气有限公司 使用氨基金属与卤化金属前体组合的含金属氮化物的薄膜沉积
US8853856B2 (en) 2010-06-22 2014-10-07 International Business Machines Corporation Methodology for evaluation of electrical characteristics of carbon nanotubes
US8196945B2 (en) 2010-06-22 2012-06-12 Pedal Lock Partnership Bicycle pedal with integrated cable lock
US8993072B2 (en) 2011-09-27 2015-03-31 Air Products And Chemicals, Inc. Halogenated organoaminosilane precursors and methods for depositing films comprising same
JP5969253B2 (ja) 2012-02-10 2016-08-17 東京応化工業株式会社 表面処理剤及び表面処理方法
US20130224964A1 (en) 2012-02-28 2013-08-29 Asm Ip Holding B.V. Method for Forming Dielectric Film Containing Si-C bonds by Atomic Layer Deposition Using Precursor Containing Si-C-Si bond
US8871656B2 (en) 2012-03-05 2014-10-28 Applied Materials, Inc. Flowable films using alternative silicon precursors
US9978585B2 (en) 2012-06-01 2018-05-22 Versum Materials Us, Llc Organoaminodisilane precursors and methods for depositing films comprising same
US9243324B2 (en) 2012-07-30 2016-01-26 Air Products And Chemicals, Inc. Methods of forming non-oxygen containing silicon-based films
US10279959B2 (en) * 2012-12-11 2019-05-07 Versum Materials Us, Llc Alkoxysilylamine compounds and applications thereof
KR101583232B1 (ko) 2012-12-31 2016-01-07 제일모직 주식회사 중합체 제조 방법 및 실리카계 절연막 형성용 조성물
US9360729B2 (en) 2013-03-15 2016-06-07 Kinestral Technologies, Inc. Electrochromic lithium nickel group 6 mixed metal oxides
JP6155063B2 (ja) 2013-03-19 2017-06-28 株式会社日立国際電気 半導体装置の製造方法、基板処理装置及びプログラム
US9796739B2 (en) 2013-06-26 2017-10-24 Versum Materials Us, Llc AZA-polysilane precursors and methods for depositing films comprising same
US9920077B2 (en) * 2013-09-27 2018-03-20 L'Air Liquide, SociétéAnonyme pour l'Etude et l'Exploitation des Procédés Georges Claude Amine substituted trisilylamine and tridisilylamine compounds and synthesis methods thereof
US10023958B2 (en) 2013-11-22 2018-07-17 Applied Materials, Inc. Atomic layer deposition of films comprising silicon, carbon and nitrogen using halogenated silicon precursors
US9233990B2 (en) 2014-02-28 2016-01-12 Air Products And Chemicals, Inc. Organoaminosilanes and methods for making same
CN103881101A (zh) 2014-03-18 2014-06-25 天津大学 一种碳氮化硅陶瓷用聚碳硅氮烷前驱体及其制备方法
CN112961382A (zh) * 2014-06-25 2021-06-15 旭化成株式会社 具有孔隙的聚酰亚胺薄膜及其制造方法
KR102411034B1 (ko) * 2014-07-10 2022-06-17 레르 리키드 쏘시에떼 아노님 뿌르 레드 에렉스뿔라따시옹 데 프로세데 조르즈 클로드 알킬아미노 치환 카보실란 전구체
CN107002236B (zh) * 2014-09-23 2019-04-05 乔治洛德方法研究和开发液化空气有限公司 用于沉积含Si膜的碳硅烷取代的胺前体以及其方法
US9879340B2 (en) * 2014-11-03 2018-01-30 Versum Materials Us, Llc Silicon-based films and methods of forming the same
TWI706957B (zh) * 2015-03-30 2020-10-11 法商液態空氣喬治斯克勞帝方法研究開發股份有限公司 碳矽烷與氨、胺類及脒類之觸媒去氫耦合

Patent Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2014013889A (ja) * 2012-06-01 2014-01-23 Air Products And Chemicals Inc 有機アミノジシラン前駆体、及びそれを含む膜の堆積方法
US20150024608A1 (en) * 2012-06-01 2015-01-22 Air Products And Chemicals, Inc. Organoaminodisilane Precursors and Methods for Depositing Films Comprising Same
JP2015181191A (ja) * 2012-06-01 2015-10-15 エア プロダクツ アンド ケミカルズ インコーポレイテッドAir Products And Chemicals Incorporated 有機アミノジシラン前駆体、及びそれを含む膜の堆積方法
JP2015096489A (ja) * 2013-09-20 2015-05-21 エア プロダクツ アンド ケミカルズ インコーポレイテッドAir Products And Chemicals Incorporated 有機アミノシラン前駆体およびこれを含む膜の堆積方法

Also Published As

Publication number Publication date
CN109476848B (zh) 2021-06-22
US20190040279A1 (en) 2019-02-07
JP6868640B2 (ja) 2021-05-12
TW201805343A (zh) 2018-02-16
EP3433302B1 (en) 2021-04-28
EP3433302A1 (en) 2019-01-30
WO2017165626A1 (en) 2017-09-28
EP3433302A4 (en) 2019-10-30
KR102492744B1 (ko) 2023-01-26
TW202124540A (zh) 2021-07-01
JP2019513174A (ja) 2019-05-23
US11407922B2 (en) 2022-08-09
TWI753794B (zh) 2022-01-21
TWI724141B (zh) 2021-04-11
KR20220069123A (ko) 2022-05-26
KR102403096B1 (ko) 2022-05-26
CN109476848A (zh) 2019-03-15

Similar Documents

Publication Publication Date Title
KR102403096B1 (ko) Si-함유 막 형성 조성물 및 이를 제조하고 사용하는 방법
KR102092447B1 (ko) 실리콘-포함 및 질소-포함 박막을 형성하기 위한 기상 증착 방법
JP7390421B2 (ja) コーティング組成物、および基板上へのSi含有膜の形成方法
JP6578353B2 (ja) Si含有膜堆積用カルボシラン置換アミン前駆体及びその方法
TW201808973A (zh) 雙胺基烷氧基矽烷化合物及使用其沉積含矽膜的方法
TWI793262B (zh) 全氫聚矽氮烷組成物和用於使用其形成氮化物膜之方法
TWI659035B (zh) 經烷胺基取代之碳矽烷前驅物
KR102514167B1 (ko) 액체 폴리실란 및 이성질체 풍부 고급 실란의 제조 방법
JP7113136B2 (ja) 液体ポリシラン及び異性体エンリッチド高級シランを製造するためのプロセス

Legal Events

Date Code Title Description
N231 Notification of change of applicant
A201 Request for examination
E902 Notification of reason for refusal
E701 Decision to grant or registration of patent right
A107 Divisional application of patent
GRNT Written decision to grant