TW202124540A - 形成含矽膜之組成物及其製法與用途 - Google Patents

形成含矽膜之組成物及其製法與用途 Download PDF

Info

Publication number
TW202124540A
TW202124540A TW110108522A TW110108522A TW202124540A TW 202124540 A TW202124540 A TW 202124540A TW 110108522 A TW110108522 A TW 110108522A TW 110108522 A TW110108522 A TW 110108522A TW 202124540 A TW202124540 A TW 202124540A
Authority
TW
Taiwan
Prior art keywords
sih
precursor
silicon
nme
imidazole
Prior art date
Application number
TW110108522A
Other languages
English (en)
Other versions
TWI753794B (zh
Inventor
曼尼席 坎德維爾
尚恩 凱瑞根
珍 馬克 吉拉德
安東尼奧 桑切斯
張 鵬
王洋
Original Assignee
法商液態空氣喬治斯克勞帝方法研究開發股份有限公司
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 法商液態空氣喬治斯克勞帝方法研究開發股份有限公司 filed Critical 法商液態空氣喬治斯克勞帝方法研究開發股份有限公司
Publication of TW202124540A publication Critical patent/TW202124540A/zh
Application granted granted Critical
Publication of TWI753794B publication Critical patent/TWI753794B/zh

Links

Images

Classifications

    • CCHEMISTRY; METALLURGY
    • C07ORGANIC CHEMISTRY
    • C07FACYCLIC, CARBOCYCLIC OR HETEROCYCLIC COMPOUNDS CONTAINING ELEMENTS OTHER THAN CARBON, HYDROGEN, HALOGEN, OXYGEN, NITROGEN, SULFUR, SELENIUM OR TELLURIUM
    • C07F7/00Compounds containing elements of Groups 4 or 14 of the Periodic System
    • C07F7/02Silicon compounds
    • C07F7/08Compounds having one or more C—Si linkages
    • C07F7/10Compounds having one or more C—Si linkages containing nitrogen having a Si-N linkage
    • CCHEMISTRY; METALLURGY
    • C08ORGANIC MACROMOLECULAR COMPOUNDS; THEIR PREPARATION OR CHEMICAL WORKING-UP; COMPOSITIONS BASED THEREON
    • C08GMACROMOLECULAR COMPOUNDS OBTAINED OTHERWISE THAN BY REACTIONS ONLY INVOLVING UNSATURATED CARBON-TO-CARBON BONDS
    • C08G77/00Macromolecular compounds obtained by reactions forming a linkage containing silicon with or without sulfur, nitrogen, oxygen or carbon in the main chain of the macromolecule
    • C08G77/60Macromolecular compounds obtained by reactions forming a linkage containing silicon with or without sulfur, nitrogen, oxygen or carbon in the main chain of the macromolecule in which all the silicon atoms are connected by linkages other than oxygen atoms
    • CCHEMISTRY; METALLURGY
    • C08ORGANIC MACROMOLECULAR COMPOUNDS; THEIR PREPARATION OR CHEMICAL WORKING-UP; COMPOSITIONS BASED THEREON
    • C08GMACROMOLECULAR COMPOUNDS OBTAINED OTHERWISE THAN BY REACTIONS ONLY INVOLVING UNSATURATED CARBON-TO-CARBON BONDS
    • C08G77/00Macromolecular compounds obtained by reactions forming a linkage containing silicon with or without sulfur, nitrogen, oxygen or carbon in the main chain of the macromolecule
    • C08G77/60Macromolecular compounds obtained by reactions forming a linkage containing silicon with or without sulfur, nitrogen, oxygen or carbon in the main chain of the macromolecule in which all the silicon atoms are connected by linkages other than oxygen atoms
    • C08G77/62Nitrogen atoms
    • CCHEMISTRY; METALLURGY
    • C09DYES; PAINTS; POLISHES; NATURAL RESINS; ADHESIVES; COMPOSITIONS NOT OTHERWISE PROVIDED FOR; APPLICATIONS OF MATERIALS NOT OTHERWISE PROVIDED FOR
    • C09DCOATING COMPOSITIONS, e.g. PAINTS, VARNISHES OR LACQUERS; FILLING PASTES; CHEMICAL PAINT OR INK REMOVERS; INKS; CORRECTING FLUIDS; WOODSTAINS; PASTES OR SOLIDS FOR COLOURING OR PRINTING; USE OF MATERIALS THEREFOR
    • C09D183/00Coating compositions based on macromolecular compounds obtained by reactions forming in the main chain of the macromolecule a linkage containing silicon, with or without sulfur, nitrogen, oxygen, or carbon only; Coating compositions based on derivatives of such polymers
    • C09D183/16Coating compositions based on macromolecular compounds obtained by reactions forming in the main chain of the macromolecule a linkage containing silicon, with or without sulfur, nitrogen, oxygen, or carbon only; Coating compositions based on derivatives of such polymers in which all the silicon atoms are connected by linkages other than oxygen atoms
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02205Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition
    • H01L21/02208Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si
    • H01L21/02219Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si the compound comprising silicon and nitrogen
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • CCHEMISTRY; METALLURGY
    • C08ORGANIC MACROMOLECULAR COMPOUNDS; THEIR PREPARATION OR CHEMICAL WORKING-UP; COMPOSITIONS BASED THEREON
    • C08GMACROMOLECULAR COMPOUNDS OBTAINED OTHERWISE THAN BY REACTIONS ONLY INVOLVING UNSATURATED CARBON-TO-CARBON BONDS
    • C08G77/00Macromolecular compounds obtained by reactions forming a linkage containing silicon with or without sulfur, nitrogen, oxygen or carbon in the main chain of the macromolecule
    • C08G77/70Siloxanes defined by use of the MDTQ nomenclature

Abstract

所揭示的形成含矽膜之組成物包含前驅物,其具有下式 [–NR–R4 R5 Si–(CH2 )t –SiR2 R3 –]n 其中n = 2至400;R、R2 、R3 、R4 、以及R5 獨立地為H、烴基或烷胺基,且其限制條件為R2 、R3 、R4 、以及R5 中至少一者為H;以及R獨立地為H、烴基、或矽基。示範性前驅物包括,但不限於[–NH–SiH2 –CH2 –SiH2 –]n 、以及[–N(SiH2 -CH2 -SiH3 )–SiH2 –CH2 –SiH2 –]n

Description

形成含矽膜之組成物及其製法與用途
所揭示形成含矽膜之組成物包含前驅物,該前驅物含有具有下式的單元: [–NR–R4 R5 Si–(CH2 )t –SiR2 R3 –]n (II) 其中m = 1至4;t = 1至4;n = 2至400;R2 、R3 、R4 、以及R5 獨立地為H、C1 至C6 烴、或具有式NR”2 的烷胺基且每一個R”獨立地為H、C1 -C6 烴、C6 -C12 芳基,或NR”2 形成環胺基,且其限制條件為R2 、R3 、R4 、以及R5 中至少一者為H;以及R為H;C1 -C6 烴;具有式Six R’2x+1 的矽基,其中x = 1至4以及每一個R’獨立地 = H、C1 -C6 烴基、或具有式NR”2 的烷胺基以及每一個R”獨立地為H、C1 -C6 基、C6 -C12 芳基、或NR”2 形成環胺基;或R1’ R2’ R3’ Si(CH2 )b SiR4’ R5’ 基,其中b = 1至2以及R1’ 、R2’ 、R3’ 、R4’ 、以及R5’ 獨立地為H、C1 -C6 烴、C6 -C12 芳基、或具有式NR”2 的烷胺基以及每一個R”獨立地為H、C1 -C6 基、C6 -C12 芳基、或NR”2 形成環胺基;且其限制條件為R1’ 、R2’ 、R3’ 、R4’ 、以及R5’ 中至少一者為H。相關申請案之交互參照
本案主張2016年3月23日申請的U.S.臨時專利申請案第62/312,352的權益,其全部內容以引用方式納入本文。
含矽膜廣泛用於半導體、光伏打、LCD-TFT、平板型裝置、耐火材料或航空工業中。含矽膜可用作例如具有電學性質、可絕緣之介電材料(SiO2 、SiN、SiC、SiCN、SiCOH、MSiOx ,其中M為Hf、Zr、Ti、Nb、Ta或Ge且x為0-4)。含矽膜也可用作導電膜,諸如金屬矽化物或金屬氮化矽。由於向奈米級(尤其低於28 nm節點)電學裝置架構微縮之嚴格要求,需要滿足除高沉積速率、覆蓋的一致性及所產生膜之一致性以外的揮發性(對於氣相沉積法)、低製程溫度、與各種氧化劑之反應性及低膜污染之要求的日益精細調諧之分子前驅物。
Hizawa以及Nojimoto (Kogyo Kagaku Zasshi, 1956, 59,1359-63)描述從Me3 SiCH2 SiMe2 Cl以及NH3 的反應合成(Me3 SiCH2 SiMe2 )2 NH。
O’Neill等人(U.S. Pat. App. Pub. No. 2015/0087139)揭示5類有機胺基矽烷前驅物,其包括H3 Si-R3 -SiH2 -NR1 -SiH2 -R3 -SiH3 ,其中R1 為直鏈或分支鏈C1 至C12 烴、直鏈或分支鏈C3 至C12 烯基、直鏈或分支鏈C3 至C12 炔基、C3 至C12 環烷基、或C5 至C12 芳基以及R3 為直鏈或分支鏈C1 至C12 伸烷基、直鏈或分支鏈C3 至C6 伸炔基、C3 至C12 環伸烷基、C3 至C12 雜環伸烷基、C5 至C12 伸芳基、或C5 至C12 雜伸芳基。
WO2016/049154(Fafard等人)揭示用於含矽膜沉積的經碳矽烷取代的胺前驅物。該經碳矽烷取代的胺前驅物具有式(R1 )a N(-SiHR2 -CH2 -SiH2 R3 )3-a ,其中a=0或1;R1 為H、C1至C6烷基、或鹵素;R2 以及R3 個自獨立地為H、鹵素、烷氧基、或烷胺基。
WO2016/160991(Kerrigan等人)揭示以氨、胺、以及脒使碳矽烷催化脫氫偶合。
仍有需要設計以及製造Si-沉積前驅物,特別是設計以及使用無鹵素及/或更具選擇性路徑製造前驅物,以提供裝置工程師調整製備方法需求以及實現具有所欲電子以及物理性質的膜的能力。
所揭示為形成含矽膜之組成物,其包含具有下式的前驅物: Ra N(R4 R5 Si(CH2 )m SiR1 R2 R3 )3-a (I) 或含有具有下式的單元: [–NR–R4 R5 Si–(CH2 )t –SiR2 R3 –]n (II) 其中 a = 0至1; m =1至4; t =1至4; n = 2至400; R1 、R2 、R3 、R4 、以及R5 獨立地為H、烴基(C1 至C6 )、或具有式NR”2 的烷胺基且每一個R”獨立地為H、C1 -C6 烴基、C6 -C12 芳基,或NR”2 形成環胺基、且其限制條件為R1 、R2 、R3 、R4 、以及R5 中至少一者為H;以及 R為H;C1 -C6 烴基;具有式Six R’2x+1 的矽基(x=1至4)且每一個R’獨立地為H、C1 -C6 烴基、或具有式NR”2 的烷胺基且每一個R”獨立地為H、C1 -C6 基、C6 -C12 芳基、或NR”2 形成環胺基;或R1’ R2’ R3’ Si(CH2 )b SiR4’ R5’ 基,其中b=1至2且R1’ 、R2’ 、R3’ 、R4’ 、以及R5’ 獨立地為H、C1 -C6 烴基、C6 -C12 芳基、或具有式NR”2 的烷胺基且每一個R”獨立地為H、C1 -C6 基、C6 -C12 芳基、或NR”2 形成環胺基;以及其限制條件為R1’ 、R2’ 、R3’ 、R4’ 、以及R5 中至少一者為H。所揭示形成含矽膜之組成物可包括一或多種以下態樣: ․    m=1至2; ․    t =1至2; ․    a =0以及m = 1; ․    前驅物為N(SiR4 R5 (CH2 )SiR1 R2 R3 )3 ; ․    R1 =R2 =R3 =R4 = R5 =H; ․    前驅物為N(-SiH2 -CH2 -SiH3 )3 ; ․    R1 、R2 、或R3 中至少一者= H; ․    R4 或 R5 中至少一者= H; ․    R1 、R2 、或R3 中至少一者且R4 或R5 中至少一者= H; ․    R1 、R2 、R3 以及R4 = H; ․    R1 、R2 、R3 、R4 、或R5 中至少一者為乙烯基; ․    R1 、R2 、R3 、R4 、或R5 中至少一者為烯丙基; ․    R1 、R2 、R3 、R4 、或R5 中至少一者為苯基; ․    R2 、R3 、R4 以及R5 = H; ․    前驅物為N(SiH2 -CH2 -SiH2 (CH2 =CH))3 ; ․    前驅物為N(SiH2 -CH2 -SiH2 (CH2 =CH-CH2 ))3 ; ․    前驅物為N(SiH2 -CH2 -SiH2 (NH2 ))3 ; ․    前驅物為N(SiH2 ­CH2 ­SiH2 (NMe2 ))3 ; ․    前驅物為N(SiH2 ­CH2 ­SiH2 (NMeEt))3 ; ․    前驅物為N(SiH2 ­CH2 ­SiH2 (NEt2 ))3 ; ․    前驅物為N(SiH2 ­CH2 ­SiH2 (NnPr2 ))3 ; ․    前驅物為N(SiH2 ­CH2 ­SiH2 (NiPr2 ))3 ; ․    前驅物為N(SiH2 ­CH2 ­SiH2 (NBu2 ))3 ; ․    前驅物為N(SiH2 ­CH2 ­SiH2 (NiBu2 ))3 ; ․    前驅物為N(SiH2 ­CH2 -SiH2 (NtBu2 ))3 ; ․    前驅物為N(SiH2 ­CH2 ­SiH2 (NAm2 ))3 ; ․    前驅物為N(SiH2 -CH2 -SiH2 (NCy戊基2 ))3 ; ․    前驅物為N(SiH2 ­CH2 ­SiH2 (N己基2 ))3 ; ․    前驅物為N(SiH2 -CH2 -SiH2 (NCyHex2 ))3 ; ․    前驅物為N(SiH2 ­CH2 ­SiH2 (NMeH))3 ; ․    前驅物為N(SiH2 -CH2 -SiH2 (NEtH))3 ; ․    前驅物為N(SiH2 ­CH2 ­SiH2 (NnPrH))3 ; ․    前驅物為N(SiH2 ­CH2 -SiH2 (NiPrH))3 ; ․    前驅物為N(SiH2 ­CH2 ­SiH2 (NBuH))3 ; ․    前驅物為N(SiH2 ­CH2 -SiH2 (NiBuH))3 ; ․    前驅物為N(SiH2 ­CH2 ­SiH2 (NtBuH))3 ; ․    前驅物為N(SiH2 ­CH2 -SiH2 (NAmH))3 ; ․    前驅物為N(SiH2 ­CH2 -SiH2 (吡啶))3 ; ․    前驅物為N(SiH2 ­CH2 -SiH2 (吡咯))3 ; ․    前驅物為N(SiH2 ­CH2 -SiH2 (吡咯啶))3 ; ․    前驅物為N(SiH2 ­CH2 -SiH2 (咪唑))3 ; ․    前驅物為N(SiH2 ­CH2 -SiH2 (嘧啶))3 ; ․    前驅物為N(SiH2 ­CH2 -SiH2 (哌啶))3 ; ․    R1 、R2 以及R3 = H; ․    R2 、R3 以及R4 = H; ․    前驅物為N(SiH(CH2 =CH)-CH2 -SiH2 (CH2 =CH))3 ; ․    前驅物為N(SiH(CH2 =CH-CH2 )-CH2 -SiH2 (CH2 =CH-CH2 ))3 ; ․    前驅物為N(SiH(NH2 )-CH2 -SiH2 (NH2 ))3 ; ․    前驅物為N(SiH(NMe2 )­CH2 ­SiH2 (NMe2 ))3 ; ․    前驅物為N(SiH(NMeEt)­CH2 ­SiH2 (NMeEt))3 ; ․    前驅物為N(SiH(NEt2 )­CH2 ­SiH2 (NEt2 ))3 ; ․    前驅物為N(SiH(NnPr2 )­CH2 ­SiH2 (NnPr2 ))3 ; ․    前驅物為N(SiH(NiPr2 )­CH2 ­SiH2 (NiPr2 ))3 ; ․    前驅物為N(SiH(NBu2 )­CH2 ­SiH2 (NBu2 ))3 ; ․    前驅物為N(SiH(NiBu2 )­CH2 ­SiH2 (NiBu2 ))3 ; ․    前驅物為N(SiH(NtBu2 )­CH2 -SiH2 (NtBu2 ))3 ; ․    前驅物為N(SiH(NAm2 )­CH2 ­SiH2 (NAm2 ))3 ; ․    前驅物為N(SiH(NCy戊基2 )-CH2 -SiH2 (NCy戊基2 ))3 ; ․    前驅物為N(SiH(N己基2 )­CH2 ­SiH2 (N己基2 ))3 ; ․    前驅物為N(SiH(NCyHex2 )-CH2 -SiH2 (NCyHex2 ))3 ; ․    前驅物為N(SiH(NMeH)­CH2 ­SiH2 (NMeH))3 ; ․    前驅物為N(SiH(NEtH)-CH2 -SiH2 (NEtH))3 ; ․    前驅物為N(SiH(NnPrH)­CH2 ­SiH2 (NnPrH))3 ; ․    前驅物為N(SiH(NiPrH)­CH2 -SiH2 (NiPrH))3 ; ․    前驅物為N(SiH(NBuH)­CH2 ­SiH2 (NBuH))3 ; ․    前驅物為N(SiH(NiBuH)­CH2 -SiH2 (NiBuH))3 ; ․    前驅物為N(SiH(NtBuH)­CH2 ­SiH2 (NtBuH))3 ; ․    前驅物為N(SiH(NAmH)­CH2 -SiH2 (NAmH))3 ; ․    前驅物為N(SiH(吡啶)­CH2 -SiH2 (吡啶))3 ; ․    前驅物為N(SiH(吡咯)­CH2 -SiH2 (吡咯))3 ; ․    前驅物為N(SiH(吡咯啶)­CH2 -SiH2 (吡咯啶))3 ; ․    前驅物為N(SiH(咪唑)­CH2 -SiH2 (咪唑))3 ; ․    前驅物為N(SiH(哌啶)­CH2 -SiH2 (咪唑))3 ; ․    前驅物為N(SiH(嘧啶)­CH2 -SiH2 (咪唑))3 ; ․    R3 、R4 以及R5 = H; ․    前驅物為N(SiH2 -CH2 -SiH(CH2 =CH)2 )3 ; ․    前驅物為N(SiH2 -CH2 -SiH(CH2 =CH-CH2 )2 )3 ; ․    前驅物為N(SiH2 -CH2 -SiH(NH2 )2 )3 ; ․    前驅物為N(SiH2 ­CH2 ­SiH(NMe2 )2 )3 ; ․    前驅物為N(SiH2 ­CH2 ­SiH(NMeEt)2 )3 ; ․    前驅物為N(SiH2 ­CH2 ­SiH(NEt2 )2 )3 ; ․    前驅物為N(SiH2 ­CH2 ­SiH(NnPr2 )2 )3 ; ․    前驅物為N(SiH2 ­CH2 ­SiH(NiPr2 )2 )3 ; ․    前驅物為N(SiH2 ­CH2 ­SiH(NBu2 )2 )3 ; ․    前驅物為N(SiH2 ­CH2 ­SiH(NiBu2 )2 )3 ; ․    前驅物為N(SiH2 ­CH2 -SiH(NtBu2 )2 )3 ; ․    前驅物為N(SiH2 ­CH2 ­SiH(NAm2 )2 )3 ; ․    前驅物為N(SiH2 -CH2 -SiH(NCy戊基2 )2 )3 ; ․    前驅物為N(SiH2 ­CH2 ­SiH(N己基2 )2 )3 ; ․    前驅物為N(SiH2 -CH2 -SiH(NCyHex2 )2 )3 ; ․    前驅物為N(SiH2 ­CH2 ­SiH(NMeH)2 )3 ; ․    前驅物為N(SiH2 -CH2 -SiH(NEtH)2 )3 ; ․    前驅物為N(SiH2 ­CH2 ­SiH(NnPrH)2 )3 ; ․    前驅物為N(SiH2 ­CH2 -SiH(NiPrH)2 )3 ; ․    前驅物為N(SiH2 ­CH2 ­SiH(NBuH)2 )3 ; ․    前驅物為N(SiH2 ­CH2 -SiH(NiBuH)2 )3 ; ․    前驅物為N(SiH2 ­CH2 ­SiH(NtBuH)2 )3 ; ․    前驅物為N(SiH2 ­CH2 -SiH(NAmH)2 )3 ; ․    前驅物為N(SiH2 ­CH2 -SiH(吡啶)2 )3 ; ․    前驅物為N(SiH2 ­CH2 -SiH(吡咯)2 )3 ; ․    前驅物為N(SiH2 ­CH2 -SiH(吡咯啶)2 )3 ; ․    前驅物為N(SiH2 ­CH2 -SiH(咪唑)2 )3 ; ․    前驅物為N(SiH2 ­CH2 -SiH(哌啶)2 )3 ; ․    前驅物為N(SiH2 ­CH2 -SiH(嘧啶)2 )3 ; ․    R4 以及R5 = H; ․    前驅物為N(SiH2 -CH2 -Si(CH2 =CH)3 )3 ; ․    前驅物為N(SiH2 -CH2 -Si(CH2 =CH-CH2 )3 )3 ; ․    前驅物為N(SiH2 -CH2 -Si(NH2 )3 )3 ; ․    前驅物為N(SiH2 ­CH2 ­Si(NMe2 )3 )3 ; ․    前驅物為N(SiH2 ­CH2 ­Si(NMeEt)3 )3 ; ․    前驅物為N(SiH2 ­CH2 ­Si(NEt2 )3 )3 ; ․    前驅物為N(SiH2 ­CH2 ­Si(NnPr2 )3 )3 ; ․    前驅物為N(SiH2 ­CH2 ­Si(NiPr2 )3 )3 ; ․    前驅物為N(SiH2 ­CH2 ­Si(NBu2 )3 )3 ; ․    前驅物為N(SiH2 ­CH2 ­Si(NiBu2 )3 )3 ; ․    前驅物為N(SiH2 ­CH2 -Si(NtBu2 )3 )3 ; ․    前驅物為N(SiH2 ­CH2 ­Si(NAm2 )3 )3 ; ․    前驅物為N(SiH2 -CH2 -Si(NCy戊基2 )3 )3 ; ․    前驅物為N(SiH2 ­CH2 ­Si(N己基2 )3 )3 ; ․    前驅物為N(SiH2 -CH2 -Si(NCyHex2 )3 )3 ; ․    前驅物為N(SiH2 ­CH2 ­Si(NMeH)3 )3 ; ․    前驅物為N(SiH2 -CH2 -Si(NEtH)3 )3 ; ․    前驅物為N(SiH2 ­CH2 ­Si(NnPrH)3 )3 ; ․    前驅物為N(SiH2 ­CH2 -Si(NiPrH)3 )3 ; ․    前驅物為N(SiH2 ­CH2 ­Si(NBuH)3 )3 ; ․    前驅物為N(SiH2 ­CH2 -Si(NiBuH)3 )3 ; ․    前驅物為N(SiH2 ­CH2 ­Si(NtBuH)3 )3 ; ․    前驅物為N(SiH2 ­CH2 -Si(NAmH)3 )3 ; ․    前驅物為N(SiH2 ­CH2 -Si(吡啶)3 )3 ; ․    前驅物為N(SiH2 ­CH2 -Si(吡咯)3 )3 ; ․    前驅物為N(SiH2 ­CH2 -Si(吡咯啶)3 )3 ; ․    前驅物為N(SiH2 ­CH2 -Si(咪唑)3 )3 ; ․    前驅物為N(SiH2 ­CH2 -Si(哌啶)3 )3 ; ․    前驅物為N(SiH2 ­CH2 -Si(嘧啶)3 )3 ; ․    a = 0以及m = 2; ․    前驅物為N(SiR4 R5 (CH2 CH2 )SiR1 R2 R3 )3 ; ․    R1 、R2 、R3 、R4 以及R5 = H; ․    前驅物為N(SiH2 -CH2 -CH2 -SiH3)3 ․    R1 、R2 、R3 以及R4 = H; ․    R2 、R3 、R4 以及R5 = H; ․    前驅物為N(SiH2 -CH2 -CH2 -SiH2 (CH2 =CH))3 ; ․    前驅物為N(SiH2 -CH2 -CH2 -SiH2 (CH2 =CH-CH2 ))3 ; ․    前驅物為N(SiH2 -CH2 -CH2 -SiH2 (NH2 ))3 ; ․    前驅物為N(SiH2 ­CH2 ­CH2 -SiH2 (NMe2 ))3 ; ․    前驅物為N(SiH2 ­CH2 ­CH2 -SiH2 (NMeEt))3 ; ․    前驅物為N(SiH2 ­CH2 ­CH2 -SiH2 (NEt2 ))3 ; ․    前驅物為N(SiH2 ­CH2 ­CH2 -SiH2 (NnPr2 ))3 ; ․    前驅物為N(SiH2 ­CH2 ­CH2 -SiH2 (NiPr2 ))3 ; ․    前驅物為N(SiH2 ­CH2 ­CH2 -SiH2 (NBu2 ))3 ; ․    前驅物為N(SiH2 ­CH2 ­CH2 -SiH2 (NiBu2 ))3 ; ․    前驅物為N(SiH2 ­CH2 -CH2 -SiH2 (NtBu2 ))3 ; ․    前驅物為N(SiH2 ­CH2 ­CH2 -SiH2 (NAm2 ))3 ; ․    前驅物為N(SiH2 -CH2 -CH2 -SiH2 (NCy戊基2 ))3 ; ․    前驅物為N(SiH2 ­CH2 ­CH2 -SiH2 (N己基2 ))3 ; ․    前驅物為N(SiH2 -CH2 -CH2 -SiH2 (NCyHex2 ))3 ; ․    前驅物為N(SiH2 ­CH2 ­CH2 -SiH2 (NMeH))3 ; ․    前驅物為N(SiH2 -CH2 -CH2 -SiH2 (NEtH))3 ; ․    前驅物為N(SiH2 ­CH2 ­CH2 -SiH2 (NnPrH))3 ; ․    前驅物為N(SiH2 ­CH2 -CH2 -SiH2 (NiPrH))3 ; ․    前驅物為N(SiH2 ­CH2 ­CH2 -SiH2 (NBuH))3 ; ․    前驅物為N(SiH2 ­CH2 -CH2 -SiH2 (NiBuH))3 ; ․    前驅物為N(SiH2 ­CH2 ­CH2 -SiH2 (NtBuH))3 ; ․    前驅物為N(SiH2 ­CH2 -CH2 -SiH2 (NAmH))3 ; ․    前驅物為N(SiH2 ­CH2 -CH2 -SiH2 (吡啶))3 ; ․    前驅物為N(SiH2 ­CH2 -CH2 -SiH2 (吡咯))3 ; ․    前驅物為N(SiH2 ­CH2 -CH2 -SiH2 (吡咯啶))3 ; ․    前驅物為N(SiH2 ­CH2 -CH2 -SiH2 (咪唑))3 ; ․    前驅物為N(SiH2 ­CH2 -CH2 -SiH2 (哌啶))3 ; ․    前驅物為N(SiH2 ­CH2 -CH2 -SiH2 (嘧啶))3 ; ․    R1 、R2 以及R3 = H; ․    R2 、R3 以及R4 = H; ․    前驅物為N(SiH(CH2 =CH)-CH2 -CH2 -SiH2 (CH2 =CH))3 ; ․    前驅物為N(SiH(CH2 =CH-CH2 )-CH2 -CH2 -SiH2 (CH2 =CH-CH2 ))3 ; ․    前驅物為N(SiH(NH2 )-CH2 -CH2 -SiH2 (NH2 ))3 ; ․    前驅物為N(SiH(NMe2 )­CH2 ­CH2 -SiH2 (NMe2 ))3 ; ․    前驅物為N(SiH(NMeEt)­CH2 ­CH2 -SiH2 (NMeEt))3 ; ․    前驅物為N(SiH(NEt2 )­CH2 ­CH2 -SiH2 (NEt2 ))3 ; ․    前驅物為N(SiH(NnPr2 )­CH2 ­CH2 -SiH2 (NnPr2 ))3 ; ․    前驅物為N(SiH(NiPr2 )­CH2 ­CH2 -SiH2 (NiPr2 ))3 ; ․    前驅物為N(SiH(NBu2 )­CH2 ­CH2 -SiH2 (NBu2 ))3 ; ․    前驅物為N(SiH(NiBu2 )­CH2 ­CH2 -SiH2 (NiBu2 ))3 ; ․    前驅物為N(SiH(NtBu2 )­CH2 -CH2 -SiH2 (NtBu2 ))3 ; ․    前驅物為N(SiH(NAm2 )­CH2 ­CH2 -SiH2 (NAm2 ))3 ; ․    前驅物為N(SiH(NCy戊基2 )-CH2 -CH2 -SiH2 (NCy戊基2 ))3 ; ․    前驅物為N(SiH(N己基2 )­CH2 ­CH2 -SiH2 (N己基2 ))3 ; ․    前驅物為N(SiH(NCyHex2 )-CH2 -CH2 -SiH2 (NCyHex2 ))3 ; ․    前驅物為N(SiH(NMeH)­CH2 ­CH2 -SiH2 (NMeH))3 ; ․    前驅物為N(SiH(NEtH)-CH2 -CH2 -SiH2 (NEtH))3 ; ․    前驅物為N(SiH(NnPrH)­CH2 ­CH2 -SiH2 (NnPrH))3 ; ․    前驅物為N(SiH(NiPrH)­CH2 -CH2 -SiH2 (NiPrH))3 ; ․    前驅物為N(SiH(NBuH)­CH2 ­CH2 -SiH2 (NBuH))3 ; ․    前驅物為N(SiH(NiBuH)­CH2 -CH2 -SiH2 (NiBuH))3 ; ․    前驅物為N(SiH(NtBuH)­CH2 ­CH2 -SiH2 (NtBuH))3 ; ․    前驅物為N(SiH(NAmH)­CH2 -CH2 -SiH2 (NAmH))3 ; ․    前驅物為N(SiH(吡啶)­CH2 -CH2 -SiH2 (吡啶))3 ; ․    前驅物為N(SiH(吡咯)­CH2 -CH2 -SiH2 (吡咯))3 ; ․    前驅物為N(SiH(吡咯啶)­CH2 -CH2 -SiH2 (吡咯啶))3 ; ․    前驅物為N(SiH(咪唑)­CH2 -CH2 -SiH2 (咪唑))3 ; ․    前驅物為N(SiH(哌啶)­CH2 -CH2 -SiH2 (哌啶))3 ; ․    前驅物為N(SiH(嘧啶)­CH2 -CH2 -SiH2 (嘧啶))3 ; ․    R3 、R4 以及R5 = H; ․    前驅物為N(SiH2 -CH2 -CH2 -SiH(CH2 =CH)2 )3 ; ․    前驅物為N(SiH2 -CH2 -CH2 -SiH(CH2 =CH-CH2 )2 )3 ; ․    前驅物為N(SiH2 -CH2 -CH2 -SiH(NH2 )2 )3 ; ․    前驅物為N(SiH2 ­CH2 ­CH2 -SiH(NMe2 )2 )3 ; ․    前驅物為N(SiH2 ­CH2 ­CH2 -SiH(NMeEt)2 )3 ; ․    前驅物為N(SiH2 ­CH2 ­CH2 -SiH(NEt2 )2 )3 ; ․    前驅物為N(SiH2 ­CH2 ­CH2 -SiH(NnPr2 )2 )3 ; ․    前驅物為N(SiH2 ­CH2 ­CH2 -SiH(NiPr2 )2 )3 ; ․    前驅物為N(SiH2 ­CH2 ­CH2 -SiH(NBu2 )2 )3 ; ․    前驅物為N(SiH2 ­CH2 ­CH2 -SiH(NiBu2 )2 )3 ; ․    前驅物為N(SiH2 ­CH2 -CH2 -SiH(NtBu2 )2 )3 ; ․    前驅物為N(SiH2 ­CH2 ­CH2 -SiH(NAm2 )2 )3 ; ․    前驅物為N(SiH2 -CH2 -CH2 -SiH(NCy戊基2 )2 )3 ; ․    前驅物為N(SiH2 ­CH2 ­CH2 -SiH(N己基2 )2 )3 ; ․    前驅物為N(SiH2 -CH2 -CH2 -SiH(NCyHex2 )2 )3 ; ․    前驅物為N(SiH2 ­CH2 ­CH2 -SiH(NMeH)2 )3 ; ․    前驅物為N(SiH2 -CH2 -CH2 -SiH(NEtH)2 )3 ; ․    前驅物為N(SiH2 ­CH2 ­CH2 -SiH(NnPrH)2 )3 ; ․    前驅物為N(SiH2 ­CH2 -CH2 -SiH(NiPrH)2 )3 ; ․    前驅物為N(SiH2 ­CH2 ­CH2 -SiH(NBuH)2 )3 ; ․    前驅物為N(SiH2 ­CH2 -CH2 -SiH(NiBuH)2 )3 ; ․    前驅物為N(SiH2 ­CH2 ­CH2 -SiH(NtBuH)2 )3 ; ․    前驅物為N(SiH2 ­CH2 -CH2 -SiH(NAmH)2 )3 ; ․    前驅物為N(SiH2 ­CH2 -CH2 -SiH(吡啶)2 )3 ; ․    前驅物為N(SiH2 ­CH2 -CH2 -SiH(吡咯)2 )3 ; ․    前驅物為N(SiH2 ­CH2 -CH2 -SiH(吡咯啶)2 )3 ; ․    前驅物為N(SiH2 ­CH2 -CH2 -SiH(咪唑)2 )3 ; ․    前驅物為N(SiH2 ­CH2 -CH2 -SiH(哌啶)2 )3 ; ․    前驅物為N(SiH2 ­CH2 -CH2 -SiH(嘧啶)2 )3 ; ․    R4 以及R5 = H; ․    前驅物為N(SiH2 -CH2 -CH2 -Si(CH2 =CH)3 )3 ; ․    前驅物為N(SiH2 -CH2 -CH2 -Si(CH2 =CH-CH2 )3 )3 ; ․    前驅物為N(SiH2 -CH2 -CH2 -Si(NH2 )3 )3 ; ․    前驅物為N(SiH2 ­CH2 ­CH2 -Si(NMe2 )3 )3 ; ․    前驅物為N(SiH2 ­CH2 ­CH2 -Si(NMeEt)3 )3 ; ․    前驅物為N(SiH2 ­CH2 ­CH2 -Si(NEt2 )3 )3 ; ․    前驅物為N(SiH2 ­CH2 ­CH2 -Si(NnPr2 )3 )3 ; ․    前驅物為N(SiH2 ­CH2 ­CH2 -Si(NiPr2 )3 )3 ; ․    前驅物為N(SiH2 ­CH2 ­CH2 -Si(NBu2 )3 )3 ; ․    前驅物為N(SiH2 ­CH2 ­CH2 -Si(NiBu2 )3 )3 ; ․    前驅物為N(SiH2 ­CH2 -CH2 -Si(NtBu2 )3 )3 ; ․    前驅物為N(SiH2 ­CH2 ­CH2 -Si(NAm2 )3 )3 ; ․    前驅物為N(SiH2 -CH2 -CH2 -Si(NCy戊基2 )3 )3 ; ․    前驅物為N(SiH2 ­CH2 ­CH2 -Si(N己基2 )3 )3 ; ․    前驅物為N(SiH2 -CH2 -CH2 -Si(NCyHex2 )3 )3 ; ․    前驅物為N(SiH2 ­CH2 ­CH2 -Si(NMeH)3 )3 ; ․    前驅物為N(SiH2 -CH2 -CH2 -Si(NEtH)3 )3 ; ․    前驅物為N(SiH2 ­CH2 ­CH2 -Si(NnPrH)3 )3 ; ․    前驅物為N(SiH2 ­CH2 -CH2 -Si(NiPrH)3 )3 ; ․    前驅物為N(SiH2 ­CH2 ­CH2 -Si(NBuH)3 )3 ; ․    前驅物為N(SiH2 ­CH2 -CH2 -Si(NiBuH)3 )3 ; ․    前驅物為N(SiH2 ­CH2 ­CH2 -Si(NtBuH)3 )3 ; ․    前驅物為N(SiH2 ­CH2 -CH2 -Si(NAmH)3 )3 ; ․    前驅物為N(SiH2 ­CH2 -CH2 -Si(吡啶)3 )3 ; ․    前驅物為N(SiH2 ­CH2 -CH2 -Si(吡咯)3 )3 ; ․    前驅物為N(SiH2 ­CH2 -CH2 -Si(吡咯啶)3 )3 ; ․    前驅物為N(SiH2 ­CH2 -CH2 -Si(咪唑)3 )3 ; ․    前驅物為N(SiH2 ­CH2 -CH2 -Si(哌啶)3 )3 ; ․    前驅物為N(SiH2 ­CH2 -CH2 -Si(嘧啶)3 )3 ; ․    a = 1且m = 1; ․    前驅物為RN(SiR4 R5 (CH2 )SiR1 R2 R3 )2 ; ․    R、R1 、R2 、R3 、R4 以及R5 = H; ․    前驅物為HN(SiH2 -CH2 -SiH3 )2 ; ․    R、R1 、R2 、R3 、R4 、或R5 中至少一者為乙烯基; ․    R、R1 、R2 、R3 、R4 、或R5 中至少一者為烯丙基; ․    R、R1 、R2 、R3 、R4 、或R5 中至少一者為苯基; ․    R1 、R2 、R3 、R4 以及R5 = H以及R =Six H2x+1 (x = 1至4); ․    前驅物為SiH3 N(SiH2 -CH2 -SiH3 )2 ; ․    前驅物為Si2 H5 N(SiH2 -CH2 -SiH3 )2 ; ․    前驅物為Si3 H7 N(SiH2 -CH2 -SiH3 )2 ; ․    前驅物為Si4 H9 N(SiH2 -CH2 -SiH3 )2 ; ․    R1 、R2 、R3 、R4 以及R5 = H以及R =Cy H2y+1 (y = 1至6); ․    前驅物為(Me)N(SiH2 -CH2 -SiH3 )2 ; ․    前驅物為(Et)N(SiH2 -CH2 -SiH3 )2 ․    前驅物為(nPr)N(SiH2 -CH2 -SiH3 )2 ; ․    前驅物為(iPr)N(SiH2 -CH2 -SiH3 )2 ; ․    前驅物為(Bu)N(SiH2 -CH2 -SiH3 )2 ; ․    前驅物為(iBu)N(SiH2 -CH2 -SiH3 )2 ; ․    前驅物為(tBu)N(SiH2 -CH2 -SiH3 )2 ; ․    前驅物為(戊基)N(SiH2 -CH2 -SiH3 )2 ; ․    前驅物為(己基)N(SiH2 -CH2 -SiH3 )2 ; ․    前驅物為(SiMe3 )N(SiH2 ­CH2 ­SiH3 )2 ; ․    前驅物為(SiEt3 )N(SiH2 ­CH2 ­SiH3 )2 ; ․    前驅物為Si(iPr)3 N(SiH2 -CH2 -SiH3 )2 ; ․    前驅物為Si(nPr)3 N(SiH2 -CH2 -SiH3 )2 ; ․    前驅物為Si(Bu)3 N(SiH2 -CH2 -SiH3 )2 ; ․    前驅物為Si(iBu)3 N(SiH2 -CH2 -SiH3 )2 ; ․    前驅物為Si(tBu)3 N(SiH2 -CH2 -SiH3 )2 ; ․    前驅物為Si(戊基)3 N(SiH2 -CH2 -SiH3 )2 ; ․    前驅物為Si(己基)3 N(SiH2 -CH2 -SiH3 )2 ; ․    前驅物為SiHMe2 N(SiH2 ­CH2 ­SiH3 )2 ; ․    前驅物為SiHEt2 N(SiH2 ­CH2 ­SiH3 )2 ; ․    前驅物為SiH(iPr)2 N(SiH2 -CH2 -SiH3 )2 ; ․    前驅物為SiH(nPr)2 N(SiH2 -CH2 -SiH3 )2 ; ․    前驅物為SiH(Bu)2 N(SiH2 -CH2 -SiH3 )2 ; ․    前驅物為SiH(iBu)2 N(SiH2 -CH2 -SiH3 )2 ; ․    前驅物為SiH(tBu)2 N(SiH2 -CH2 -SiH3 )2 ; ․    前驅物為SiH(戊基)2 N(SiH2 -CH2 -SiH3 )2 ; ․    前驅物為SiH(己基)2 N(SiH2 -CH2 -SiH3 )2 ; ․    前驅物為SiH2 MeN(SiH2 ­CH2 ­SiH3 )2 ; ․    前驅物為SiH2 EtN(SiH2 ­CH2 ­SiH3 )2 ; ․    前驅物為SiH2 (iPr)N(SiH2 -CH2 -SiH3 )2 ; ․    前驅物為SiH2 (nPr)N(SiH2 -CH2 -SiH3 )2 ; ․    前驅物為SiH2 (Bu)N(SiH2 -CH2 -SiH3 )2 ; ․    前驅物為SiH2 (iBu)N(SiH2 -CH2 -SiH3 )2 ; ․    前驅物為SiH2 (tBu)N(SiH2 -CH2 -SiH3 )2 ; ․    前驅物為SiH2 (戊基)N(SiH2 -CH2 -SiH3 )2 ; ․    前驅物為SiH2 (己基)N(SiH2 -CH2 -SiH3 )2 ; ․    前驅物為(SiH3 -CH2 -CH2 -SiH2 )N(SiH2 -CH2 -SiH3 )2 ․    前驅物為(SiMe3 -CH2 -SiMe2 )N(SiH2 -CH2 -SiH3 )2 ․    前驅物為(SiMe3 -CH2 -CH2 -SiMe2 )N(SiH2 -CH2 -SiH3 )2 ․    前驅物為(SiEt3 -CH2 -SiEt2 )N(SiH2 -CH2 -SiH3 )2 ․    前驅物為(SiEt3 -CH2 -CH2 -SiEt2 )N(SiH2 -CH2 -SiH3 )2 ; ․    前驅物為(SiH2 NMe2 )N(SiH2 ­CH2 ­SiH3 )2 ; ․    前驅物為(SiH2 NEt2 )N(SiH2 ­CH2 ­SiH3 )2 ; ․    前驅物為(SiH2 NMeEt)N(SiH2 ­CH2 ­SiH3 )2 ; ․    前驅物為(SiH(NMe2 )2 )N(SiH2 ­CH2 ­SiH3 )2 ; ․    前驅物為(SiH(NEt2 )2 )N(SiH2 ­CH2 ­SiH3 )2 ; ․    R1 、R2 、R3 以及R4 =H以及R =H、Cu H2u+1 、或Siv H2v-1 ,其中u =1-6以及v =1-4; ․    R2 、R3 、R4 以及R5 =H以及R = H、Cu H2u+1 、或SivH2v-1 ,其中 u =1-6 以及v =1-4; ․    前驅物為RN(SiH2 -CH2 -SiH2 (CH2 =CH))2 ; ․    前驅物為RN(SiH2 -CH2 -SiH2 (CH2 =CH-CH2 ))2 ; ․    前驅物為RN(SiH2 -CH2 -SiH2 (NH2 ))2 ; ․    前驅物為RN(SiH2 ­CH2 ­SiH2 (NMe2 ))2 ; ․    前驅物為RN(SiH2 ­CH2 ­SiH2 (NMeEt))2 ; ․    前驅物為RN(SiH2 ­CH2 ­SiH2 (NEt2 ))2 ; ․    前驅物為RN(SiH2 ­CH2 ­SiH2 (NnPr2 ))2 ; ․    前驅物為RN(SiH2 ­CH2 ­SiH2 (NiPr2 ))2 ; ․    前驅物為RN(SiH2 ­CH2 ­SiH2 (NBu2 ))2 ; ․    前驅物為RN(SiH2 ­CH2 ­SiH2 (NiBu2 ))2 ; ․    前驅物為RN(SiH2 ­CH2 -SiH2 (NtBu2 ))2 ; ․    前驅物為RN(SiH2 ­CH2 ­SiH2 (NAm2 ))2 ; ․    前驅物為RN(SiH2 -CH2 -SiH2 (NCy戊基2 ))2 ; ․    前驅物為RN(SiH2 ­CH2 ­SiH2 (N己基2 ))2 ; ․    前驅物為RN(SiH2 -CH2 -SiH2 (NCyHex2 ))2 ; ․    前驅物為RN(SiH2 ­CH2 ­SiH2 (NMeH))2 ; ․    前驅物為RN(SiH2 -CH2 -SiH2 (NEtH))2 ; ․    前驅物為RN(SiH2 ­CH2 ­SiH2 (NnPrH))2 ; ․    前驅物為RN(SiH2 ­CH2 -SiH2 (NiPrH))2 ; ․    前驅物為RN(SiH2 ­CH2 ­SiH2 (NBuH))2 ; ․    前驅物為RN(SiH2 ­CH2 -SiH2 (NiBuH))2 ; ․    前驅物為RN(SiH2 ­CH2 ­SiH2 (NtBuH))2 ; ․    前驅物為RN(SiH2 ­CH2 -SiH2 (NAmH))2 ; ․    前驅物為RN(SiH2 ­CH2 -SiH2 (吡啶))2 ; ․    前驅物為RN(SiH2 ­CH2 -SiH2 (吡咯))2 ; ․    前驅物為RN(SiH2 ­CH2 -SiH2 (吡咯啶))2 ; ․    前驅物為RN(SiH2 ­CH2 -SiH2 (咪唑))2 ; ․    前驅物為RN(SiH2 ­CH2 -SiH2 (哌啶))2 ; ․    前驅物為RN(SiH2 ­CH2 -SiH2 (嘧啶))2 ; ․    R1 、R2 以及R3 =H以及R = H、Cu H2u+1 、SivH2v-1 ,其中 u =1-6以及v =1-4; ․    R2 、R3 以及R4 = H以及R = H、Cu H2u+1 、或SivH2v-1 ,其中u =1-6以及 v = 1-4; ․    前驅物為RN(SiH(CH2 =CH)-CH2 -SiH2 (CH2 =CH))2 ; ․    前驅物為RN(SiH(CH2 =CH-CH2 )-CH2 -SiH2 (CH2 =CH-CH2 ))2 ; ․    前驅物為RN(SiH(NH2 )-CH2 -SiH2 (NH2 ))2 ; ․    前驅物為RN(SiH(NMe2 )­CH2 ­SiH2 (NMe2 ))2 ; ․    前驅物為RN(SiH(NMeEt)­CH2 ­SiH2 (NMeEt))2 ; ․    前驅物為RN(SiH(NEt2 )­CH2 ­SiH2 (NEt2 ))2 ; ․    前驅物為RN(SiH(NnPr2 )­CH2 ­SiH2 (NnPr2 ))2 ; ․    前驅物為RN(SiH(NiPr2 )­CH2 ­SiH2 (NiPr2 ))2 ; ․    前驅物為RN(SiH(NBu2 )­CH2 ­SiH2 (NBu2 ))2 ; ․    前驅物為RN(SiH(NiBu2 )­CH2 ­SiH2 (NiBu2 ))2 ; ․    前驅物為RN(SiH(NtBu2 )­CH2 -SiH2 (NtBu2 ))2 ; ․    前驅物為RN(SiH(NAm2 )­CH2 ­SiH2 (NAm2 ))2 ; ․    前驅物為RN(SiH(NCy戊基2 )-CH2 -SiH2 (NCy戊基2 ))2 ; ․    前驅物為RN(SiH(N己基2 )­CH2 ­SiH2 (N己基2 ))2 ; ․    前驅物為RN(SiH(NCyHex2 )-CH2 -SiH2 (NCyHex2 ))2 ; ․    前驅物為RN(SiH(NMeH)­CH2 ­SiH2 (NMeH))2 ; ․    前驅物為RN(SiH(NEtH)-CH2 -SiH2 (NEtH))2 ; ․    前驅物為RN(SiH(NnPrH)­CH2 ­SiH2 (NnPrH))2 ; ․    前驅物為RN(SiH(NiPrH)­CH2 -SiH2 (NiPrH))2 ; ․    前驅物為RN(SiH(NBuH)­CH2 ­SiH2 (NBuH))2 ; ․    前驅物為RN(SiH(NiBuH)­CH2 -SiH2 (NiBuH))2 ; ․    前驅物為RN(SiH(NtBuH)­CH2 ­SiH2 (NtBuH))2 ; ․    前驅物為RN(SiH(NAmH)­CH2 -SiH2 (NAmH))2 ; ․    前驅物為RN(SiH(吡啶)­CH2 -SiH2 (吡啶))2 ; ․    前驅物為RN(SiH(吡咯)­CH2 -SiH2 (吡咯))2 ; ․    前驅物為RN(SiH(pyrrolidiHNe)­CH2 -SiH2 (pyrrolidiHNe))2 ; ․    前驅物為RN(SiH(咪唑)­CH2 -SiH2 (咪唑))2 ; ․    前驅物為RN(SiH(哌啶)­CH2 -SiH2 (哌啶))2 ; ․    前驅物為RN(SiH(嘧啶)­CH2 -SiH2 (嘧啶))2 ; ․    R3 、R4 以及R5 =H以及R = H、Cu H2u+1 、或SivH2v-1 ,其中u =1-6以及v =1-4; ․    前驅物為RN(SiH2 -CH2 -SiH(CH2 =CH)2 )2 ; ․    前驅物為RN(SiH2 -CH2 -SiH(CH2 =CH-CH2 )2 )2 ; ․    前驅物為RN(SiH2 -CH2 -SiH(NH2 )2 )2 ; ․    前驅物為RN(SiH2 ­CH2 ­SiH(NMe2 )2 )2 ; ․    前驅物為RN(SiH2 ­CH2 ­SiH(NMeEt)2 )2 ; ․    前驅物為RN(SiH2 ­CH2 ­SiH(NEt2 )2 )2 ; ․    前驅物為RN(SiH2 ­CH2 ­SiH(NnPr2 )2 )2 ; ․    前驅物為RN(SiH2 ­CH2 ­SiH(NiPr2 )2 )2 ; ․    前驅物為RN(SiH2 ­CH2 ­SiH(NBu2 )2 )2 ; ․    前驅物為RN(SiH2 ­CH2 ­SiH(NiBu2 )2 )2 ; ․    前驅物為RN(SiH2 ­CH2 -SiH(NtBu2 )2 )2 ; ․    前驅物為RN(SiH2 ­CH2 ­SiH(NAm2 )2 )2 ; ․    前驅物為RN(SiH2 -CH2 -SiH(NCy戊基2 )2 )2 ; ․    前驅物為RN(SiH2 ­CH2 ­SiH(N己基2 )2 )2 ; ․    前驅物為RN(SiH2 -CH2 -SiH(NCyHex2 )2 )2 ; ․    前驅物為RN(SiH2 ­CH2 ­SiH(NMeH)2 )2 ; ․    前驅物為RN(SiH2 -CH2 -SiH(NEtH)2 )2 ; ․    前驅物為RN(SiH2 ­CH2 ­SiH(NnPrH)2 )2 ; ․    前驅物為RN(SiH2 ­CH2 -SiH(NiPrH)2 )2 ; ․    前驅物為RN(SiH2 ­CH2 ­SiH(NBuH)2 )2 ; ․    前驅物為RN(SiH2 ­CH2 -SiH(NiBuH)2 )2 ; ․    前驅物為RN(SiH2 ­CH2 ­SiH(NtBuH)2 )2 ; ․    前驅物為RN(SiH2 ­CH2 -SiH(NAmH)2 )2 ; ․    前驅物為RN(SiH2 ­CH2 -SiH(吡啶)2 )2 ; ․    前驅物為RN(SiH2 ­CH2 -SiH(吡咯)2 )2 ; ․    前驅物為RN(SiH2 ­CH2 -SiH(吡咯啶)2 )2 ; ․    前驅物為RN(SiH2 ­CH2 -SiH(咪唑)2 )2 ; ․    前驅物為RN(SiH2 ­CH2 -SiH(哌啶)2 )2 ; ․    前驅物為RN(SiH2 ­CH2 -SiH(嘧啶)2 )2 ; ․    R4 以及R5 =H以及R = H、Cu H2u+1 、或SivH2v-1 ,其中u =1-6以及v = 1-4; ․    前驅物為RN(SiH2 -CH2 -Si(CH2 =CH)3 )2 ; ․    前驅物為RN(SiH2 -CH2 -Si(CH2 =CH-CH2 )3 )2 ; ․    前驅物為RN(SiH2 -CH2 -Si(NH2 )3 )2 ; ․    前驅物為RN(SiH2 ­CH2 ­Si(NMe2 )3 )2 ; ․    前驅物為RN(SiH2 ­CH2 ­Si(NMeEt)3 )2 ; ․    前驅物為RN(SiH2 ­CH2 ­Si(NEt2 )3 )2 ; ․    前驅物為RN(SiH2 ­CH2 ­Si(NnPr2 )3 )2 ; ․    前驅物為RN(SiH2 ­CH2 ­Si(NiPr2 )3 )2 ; ․    前驅物為RN(SiH2 ­CH2 ­Si(NBu2 )3 )2 ; ․    前驅物為RN(SiH2 ­CH2 ­Si(NiBu2 )3 )2 ; ․    前驅物為RN(SiH2 ­CH2 -Si(NtBu2 )3 )2 ; ․    前驅物為RN(SiH2 ­CH2 ­Si(NAm2 )3 )2 ; ․    前驅物為RN(SiH2 -CH2 -Si(NCy戊基2 )3 )2 ; ․    前驅物為RN(SiH2 ­CH2 ­Si(N己基2 )3 )2 ; ․    前驅物為RN(SiH2 -CH2 -Si(NCyHex2 )3 )2 ; ․    前驅物為RN(SiH2 ­CH2 ­Si(NMeH)3 )2 ; ․    前驅物為RN(SiH2 -CH2 -Si(NEtH)3 )2 ; ․    前驅物為RN(SiH2 ­CH2 ­Si(NnPrH)3 )2 ; ․    前驅物為RN(SiH2 ­CH2 -Si(NiPrH)3 )2 ; ․    前驅物為RN(SiH2 ­CH2 ­Si(NBuH)3 )2 ; ․    前驅物為RN(SiH2 ­CH2 -Si(NiBuH)3 )2 ; ․    前驅物為RN(SiH2 ­CH2 ­Si(NtBuH)3 )2 ; ․    前驅物為RN(SiH2 ­CH2 -Si(NAmH)3 )2 ; ․    前驅物為RN(SiH2 ­CH2 -Si(吡啶)3 )2 ; ․    前驅物為RN(SiH2 ­CH2 -Si(吡咯)3 )2 ; ․    前驅物為RN(SiH2 ­CH2 -Si(吡咯啶)3 )2 ; ․    前驅物為RN(SiH2 ­CH2 -Si(咪唑)3 )2 ; ․    前驅物為RN(SiH2 ­CH2 -Si(哌啶)3 )2 ; ․    前驅物為RN(SiH2 ­CH2 -Si(嘧啶)3 )2 ; ․    a = 1以及m = 2; ․    式(I)為RN(SiR4 R5 (CH2 )2 SiR1 R2 R3 )2 ; ․    R、R1 、R2 、R3 、R4 以及R5 = H; ․    前驅物為HN(SiH2 -CH2 -CH2 -SiH3 )2 ; ․    R1 、R2 、R3 、R4 以及R5 =H以及R=Six H2x+1 (x =1至4); ․    前驅物為SiH3 N(SiH2 -CH2 -CH2 -SiH3 )2 ; ․    前驅物為Si2 H5 N(SiH2 -CH2 -CH2 -SiH3 )2 ; ․    前驅物為Si3 H7 N(SiH2 -CH2 -CH2 -SiH3 )2 ; ․    前驅物為Si4 H9 N(SiH2 -CH2 -CH2 -SiH3 )2 ; ․    R1 、R2 、R3 、R4 以及R5 =H以及R = Cy H2y+1 (y =1至6); ․    前驅物為(Me)N(SiH2 -CH2 -CH2 -SiH3 )2 ; ․    前驅物為(Et)N(SiH2 -CH2 -CH2 -SiH3 )2 ; ․    前驅物為(nPr)N(SiH2 -CH2 -CH2 -SiH3 )2 ; ․    前驅物為(iPr)N(SiH2 -CH2 -CH2 -SiH3 )2 ; ․    前驅物為(Bu)N(SiH2 -CH2 -CH2 -SiH3 )2 ; ․    前驅物為(iBu)N(SiH2 -CH2 -CH2 -SiH3 )2 ; ․    前驅物為(tBu)N(SiH2 -CH2 -CH2 -SiH3 )2 ; ․    前驅物為(戊基)N(SiH2 -CH2 -CH2 -SiH3 )2 ; ․    前驅物為(己基)N(SiH2 -CH2 -CH2 -SiH3 )2 ; ․    前驅物為(SiMe3 )N(SiH2 ­CH2 ­CH2 ­SiH3 )2 ; ․    前驅物為(SiEt3 )N(SiH2 ­CH2 ­CH2 ­SiH3 )2 ; ․    前驅物為Si(iPr)3 N(SiH2 -CH2 -CH2 ­SiH3 )2 ; ․    前驅物為Si(nPr)3 N(SiH2 -CH2 -CH2 ­SiH3 )2 ; ․    前驅物為Si(Bu)3 N(SiH2 -CH2 -CH2 ­SiH3 )2 ; ․    前驅物為Si(iBu)3 N(SiH2 -CH2 -CH2 ­SiH3 )2 ; ․    前驅物為Si(tBu)3 N(SiH2 -CH2 -CH2 ­SiH3 )2 ; ․    前驅物為Si(戊基)3 N(SiH2 -CH2 -CH2 ­SiH3 )2 ; ․    前驅物為Si(己基)3 N(SiH2 -CH2 -CH2 ­SiH3 )2 ; ․    前驅物為(SiHMe2 )N(SiH2 ­CH2 ­CH2 ­SiH3 )2 ; ․    前驅物為(SiHEt2 )N(SiH2 ­CH2 ­CH2 ­SiH3 )2 ; ․    前驅物為SiH(iPr)2 N(SiH2 -CH2 -CH2 ­SiH3 )2 ; ․    前驅物為SiH(nPr)2 N(SiH2 -CH2 -CH2 ­SiH3 )2 ; ․    前驅物為SiH(Bu)2 N(SiH2 -CH2 -CH2 ­SiH3 )2 ; ․    前驅物為SiH(iBu)2 N(SiH2 -CH2 -CH2 ­SiH3 )2 ; ․    前驅物為SiH(tBu)2 N(SiH2 -CH2 -CH2 ­SiH3 )2 ; ․    前驅物為SiH(戊基)2 N(SiH2 -CH2 -CH2 ­SiH3 )2 ; ․    前驅物為SiH(己基)2 N(SiH2 -CH2 -CH2 ­SiH3 )2 ; ․    前驅物為(SiH2Me2 )N(SiH2 ­CH2 ­CH2 ­SiH3 )2 ; ․    前驅物為(SiH2Et2 )N(SiH2 ­CH2 ­CH2 ­SiH3 )2 ; ․    前驅物為SiH2 (iPr)N(SiH2 -CH2 -CH2 ­SiH3 )2 ; ․    前驅物為SiH2 (nPr)N(SiH2 -CH2 -CH2 ­SiH3 )2 ; ․    前驅物為SiH2 (Bu)N(SiH2 -CH2 -CH2 ­SiH3 )2 ; ․    前驅物為SiH2 (iBu)N(SiH2 -CH2 -CH2 ­SiH3 )2 ; ․    前驅物為SiH2 (tBu)N(SiH2 -CH2 -CH2 ­SiH3 )2 ; ․    前驅物為SiH2 (戊基)N(SiH2 -CH2 -CH2 ­SiH3 )2 ; ․    前驅物為SiH2 (己基)N(SiH2 -CH2 -CH2 ­SiH3 )2 ; ․    前驅物為(SiH3 -CH2 -SiH2 )N(SiH2 -CH2 -CH2 -SiH3 )2 ․    前驅物為(SiMe3 -CH2 -SiMe2 )N(SiH2 -CH2 -CH2 -SiH3 )2 ․    前驅物為(SiMe3 -CH2 -CH2 -SiMe2 )N(SiH2 -CH2 -CH2 -SiH3 )2 ․    前驅物為(SiEt3 -CH2 -SiEt2 )N(SiH2 -CH2 -CH2 -SiH3 )2 ․    前驅物為(SiEt3 -CH2 -CH2 -SiEt2 )N(SiH2 -CH2 -CH2 -SiH3 )2 ; ․    前驅物為(SiH2 NMe2 )N(SiH2 ­CH2 ­CH2 ­SiH3 )2 ; ․    前驅物為(SiH2 NEt2 )N(SiH2 ­CH2 ­CH2 ­SiH3 )2 ; ․    前驅物為(SiH2 NiPr2 )N(SiH2 ­CH2 ­CH2 ­SiH3 )2 ; ․    前驅物為(SiH2 NnPr2 )N(SiH2 ­CH2 ­CH2 ­SiH3 )2 ; ․    前驅物為(SiH2 NMeEt)N(SiH2 ­CH2 ­CH2 ­SiH3 )2 ; ․    前驅物為(SiH2 哌啶)N(SiH2 ­CH2 ­CH2 ­SiH3 )2 ; ․    前驅物為(SiH2 Pyrolidine)N(SiH2 ­CH2 ­CH2 ­SiH3 )2 ; ․    前驅物為(SiH2 Pyrolle)N(SiH2 ­CH2 ­CH2 ­SiH3 )2 ; ․    前驅物為(SiH2 咪唑)N(SiH2 ­CH2 ­CH2 ­SiH3 )2 ; ․    前驅物為(SiH2 嘧啶)N(SiH2 ­CH2 ­CH2 ­SiH3 )2 ; ․    前驅物為(SiH(NMe2 )2 )N(SiH2 ­CH2 ­CH2 ­SiH3 )2 ; ․    前驅物為SiH(NEt2 )2 )N(SiH2 ­CH2 ­CH2 ­SiH3 )2 ; ․    R1 、R2 、R3 以及R4 =H以及R = H、Cu H2u+1 、或SivH2v-1 ,其中u =1-6以及v = -4; ․    R2 、R3 、R4 以及R5 = H以及R =H、Cu H2u+1 、或SivH2v-1 ,其中u =1-6以及v =1-4; ․    前驅物為RN(SiH2 -CH2 -CH2 -SiH2 (CH2 =CH))2 ; ․    前驅物為RN(SiH2 -CH2 -CH2 -SiH2 (CH2 =CH-CH2 ))2 ; ․    前驅物為RN(SiH2 -CH2 -CH2 -SiH2 (NH2 ))2 ; ․    前驅物為RN(SiH2 ­CH2 ­CH2 -SiH2 (NMe2 ))2 ; ․    前驅物為RN(SiH2 ­CH2 ­CH2 -SiH2 (NMeEt))2 ; ․    前驅物為RN(SiH2 ­CH2 ­CH2 -SiH2 (NEt2 ))2 ; ․    前驅物為RN(SiH2 ­CH2 ­CH2 -SiH2 (NnPr2 ))2 ; ․    前驅物為RN(SiH2 ­CH2 ­CH2 -SiH2 (NiPr2 ))2 ; ․    前驅物為RN(SiH2 ­CH2 ­CH2 -SiH2 (NBu2 ))2 ; ․    前驅物為RN(SiH2 ­CH2 ­CH2 -SiH2 (NiBu2 ))2 ; ․    前驅物為RN(SiH2 ­CH2 -CH2 -SiH2 (NtBu2 ))2 ; ․    前驅物為RN(SiH2 ­CH2 ­CH2 -SiH2 (NAm2 ))2 ; ․    前驅物為RN(SiH2 -CH2 -CH2 -SiH2 (NCy戊基2 ))2 ; ․    前驅物為RN(SiH2 ­CH2 ­CH2 -SiH2 (N己基2 ))2 ; ․    前驅物為RN(SiH2 -CH2 -CH2 -SiH2 (NCyHex2 ))2 ; ․    前驅物為RN(SiH2 ­CH2 ­CH2 -SiH2 (NMeH))2 ; ․    前驅物為RN(SiH2 -CH2 -CH2 -SiH2 (NEtH))2 ; ․    前驅物為RN(SiH2 ­CH2 ­CH2 -SiH2 (NnPrH))2 ; ․    前驅物為RN(SiH2 ­CH2 -CH2 -SiH2 (NiPrH))2 ; ․    前驅物為RN(SiH2 ­CH2 ­CH2 -SiH2 (NBuH))2 ; ․    前驅物為RN(SiH2 ­CH2 -CH2 -SiH2 (NiBuH))2 ; ․    前驅物為RN(SiH2 ­CH2 ­CH2 -SiH2 (NtBuH))2 ; ․    前驅物為RN(SiH2 ­CH2 -CH2 -SiH2 (NAmH))2 ; ․    前驅物為RN(SiH2 ­CH2 -CH2 -SiH2 (吡啶))2 ; ․    前驅物為RN(SiH2 ­CH2 -CH2 -SiH2 (吡咯))2 ; ․    前驅物為RN(SiH2 ­CH2 -CH2 -SiH2 (吡咯啶))2 ; ․    前驅物為RN(SiH2 ­CH2 -CH2 -SiH2 (咪唑))2 ; ․    前驅物為RN(SiH2 ­CH2 -CH2 -SiH2 (哌啶))2 ; ․    前驅物為RN(SiH2 ­CH2 -CH2 -SiH2 (嘧啶))2 ; ․    R1 、R2 以及R3 =H以及R=H、Cu H2u+1 、或SivH2v-1 ,其中u=1-6以及v = 1-4; ․    R2 、R3 以及R4 =H以及R = H、Cu H2u+1 、或SivH2v-1 ,其中u =1-6以及v=1-4; ․    前驅物為RN(SiH(CH2 =CH)-CH2 -CH2 -SiH2 (CH2 =CH))2 ; ․    前驅物為RN(SiH(CH2 =CH-CH2 )-CH2 -CH2 -SiH2 (CH2 =CH-CH2 ))2 ; ․    前驅物為RN(SiH(NH2 )-CH2 -CH2 -SiH2 (NH2 ))2 ; ․    前驅物為RN(SiH(NMe2 )­CH2 ­CH2 -SiH2 (NMe2 ))2 ; ․    前驅物為RN(SiH(NMeEt)­CH2 ­CH2 -SiH2 (NMeEt))2 ; ․    前驅物為RN(SiH(NEt2 )­CH2 ­CH2 -SiH2 (NEt2 ))2 ; ․    前驅物為RN(SiH(NnPr2 )­CH2 ­CH2 -SiH2 (NnPr2 ))2 ; ․    前驅物為RN(SiH(NiPr2 )­CH2 ­CH2 -SiH2 (NiPr2 ))2 ; ․    前驅物為RN(SiH(NBu2 )­CH2 ­CH2 -SiH2 (NBu2 ))2 ; ․    前驅物為RN(SiH(NiBu2 )­CH2 ­CH2 -SiH2 (NiBu2 ))2 ; ․    前驅物為RN(SiH(NtBu2 )­CH2 -CH2 -SiH2 (NtBu2 ))2 ; ․    前驅物為RN(SiH(NAm2 )­CH2 ­CH2 -SiH2 (NAm2 ))2 ; ․    前驅物為RN(SiH(NCy戊基2 )-CH2 -CH2 -SiH2 (NCy戊基2 ))2 ; ․    前驅物為RN(SiH(N己基2 )­CH2 ­CH2 -SiH2 (N己基2 ))2 ; ․    前驅物為RN(SiH(NCyHex2 )-CH2 -CH2 -SiH2 (NCyHex2 ))2 ; ․    前驅物為RN(SiH(NMeH)­CH2 ­CH2 -SiH2 (NMeH))2 ; ․    前驅物為RN(SiH(NEtH)-CH2 -CH2 -SiH2 (NEtH))2 ; ․    前驅物為RN(SiH(NnPrH)­CH2 ­CH2 -SiH2 (NnPrH))2 ; ․    前驅物為RN(SiH(NiPrH)­CH2 -CH2 -SiH2 (NiPrH))2 ; ․    前驅物為RN(SiH(NBuH)­CH2 ­CH2 -SiH2 (NBuH))2 ; ․    前驅物為RN(SiH(NiBuH)­CH2 -CH2 -SiH2 (NiBuH))2 ; ․    前驅物為RN(SiH(NtBuH)­CH2 ­CH2 -SiH2 (NtBuH))2 ; ․    前驅物為RN(SiH(NAmH)­CH2 -CH2 -SiH2 (NAmH))2 ; ․    前驅物為RN(SiH(吡啶)­CH2 -CH2 -SiH2 (吡啶))2 ; ․    前驅物為RN(SiH(吡咯)­CH2 -CH2 -SiH2 (吡咯))2 ; ․    前驅物為RN(SiH(吡咯啶)­CH2 -CH2 -SiH2 (吡咯啶))2 ; ․    前驅物為RN(SiH(咪唑)­CH2 -CH2 -SiH2 (咪唑))2 ; ․    前驅物為RN(SiH(哌啶)­CH2 -CH2 -SiH2 (哌啶))2 ; ․    前驅物為RN(SiH(嘧啶)­CH2 -CH2 -SiH2 (嘧啶))2 ; ․    R3 、R4 以及R5 =H以及R=H、Cu H2u+1 、或SivH2v-1 ,其中u=1-6以及v=1-4; ․    前驅物為RN(SiH2 -CH2 -CH2 -SiH(CH2 =CH)2 )2 ; ․    前驅物為RN(SiH2 -CH2 -CH2 -SiH(CH2 =CH-CH2 )2 )2 ; ․    前驅物為RN(SiH2 -CH2 -CH2 -SiH(NH2 )2 )2 ; ․    前驅物為RN(SiH2 ­CH2 ­CH2 -SiH(NMe2 )2 )2 ; ․    前驅物為RN(SiH2 ­CH2 ­CH2 -SiH(NMeEt)2 )2 ; ․    前驅物為RN(SiH2 ­CH2 ­CH2 -SiH(NEt2 )2 )2 ; ․    前驅物為RN(SiH2 ­CH2 ­CH2 -SiH(NnPr2 )2 )2 ; ․    前驅物為RN(SiH2 ­CH2 ­CH2 -SiH(NiPr2 )2 )2 ; ․    前驅物為RN(SiH2 ­CH2 ­CH2 -SiH(NBu2 )2 )2 ; ․    前驅物為RN(SiH2 ­CH2 ­CH2 -SiH(NiBu2 )2 )2 ; ․    前驅物為RN(SiH2 ­CH2 -CH2 -SiH(NtBu2 )2 )2 ; ․    前驅物為RN(SiH2 ­CH2 ­CH2 -SiH(NAm2 )2 )2 ; ․    前驅物為RN(SiH2 -CH2 -CH2 -SiH(NCy戊基2 )2 )2 ; ․    前驅物為RN(SiH2 ­CH2 ­CH2 -SiH(N己基2 )2 )2 ; ․    前驅物為RN(SiH2 -CH2 -CH2 -SiH(NCyHex2 )2 )2 ; ․    前驅物為RN(SiH2 ­CH2 ­CH2 -SiH(NMeH)2 )2 ; ․    前驅物為RN(SiH2 -CH2 -CH2 -SiH(NEtH)2 )2 ; ․    前驅物為RN(SiH2 ­CH2 ­CH2 -SiH(NnPrH)2 )2 ; ․    前驅物為RN(SiH2 ­CH2 -CH2 -SiH(NiPrH)2 )2 ; ․    前驅物為RN(SiH2 ­CH2 ­CH2 -SiH(NBuH)2 )2 ; ․    前驅物為RN(SiH2 ­CH2 -CH2 -SiH(NiBuH)2 )2 ; ․    前驅物為RN(SiH2 ­CH2 ­CH2 -SiH(NtBuH)2 )2 ; ․    前驅物為RN(SiH2 ­CH2 -CH2 -SiH(NAmH)2 )2 ; ․    前驅物為RN(SiH2 ­CH2 -CH2 -SiH(吡啶)2 )2 ; ․    前驅物為RN(SiH2 ­CH2 -CH2 -SiH(吡咯)2 )2 ; ․    前驅物為RN(SiH2 ­CH2 -CH2 -SiH(吡咯啶)2 )2 ; ․    前驅物為RN(SiH2 ­CH2 -CH2 -SiH(咪唑)2 )2 ; ․    前驅物為RN(SiH2 ­CH2 -CH2 -SiH(哌啶)2 )2 ; ․    前驅物為RN(SiH2 ­CH2 -CH2 -SiH(嘧啶)2 )2 ; ․    R4 以及R5 =H以及R=H、Cu H2u+1 、或SivH2v-1 ,其中u=1-6以及v=1-4; ․    前驅物為RN(SiH2 -CH2 -CH2 -Si(CH2 =CH)3 )2 ; ․    前驅物為RN(SiH2 -CH2 -CH2 -Si(CH2 =CH-CH2 )3 )2 ; ․    前驅物為RN(SiH2 -CH2 -CH2 -Si(NH2 )3 )2 ; ․    前驅物為RN(SiH2 ­CH2 ­CH2 -Si(NMe2 )3 )2 ; ․    前驅物為RN(SiH2 ­CH2 ­CH2 -Si(NMeEt)3 )2 ; ․    前驅物為RN(SiH2 ­CH2 ­CH2 -Si(NEt2 )3 )2 ; ․    前驅物為RN(SiH2 ­CH2 ­CH2 -Si(NnPr2 )3 )2 ; ․    前驅物為RN(SiH2 ­CH2 ­CH2 -Si(NiPr2 )3 )2 ; ․    前驅物為RN(SiH2 ­CH2 ­CH2 -Si(NBu2 )3 )2 ; ․    前驅物為RN(SiH2 ­CH2 ­CH2 -Si(NiBu2 )3 )2 ; ․    前驅物為RN(SiH2 ­CH2 -CH2 -Si(NtBu2 )3 )2 ; ․    前驅物為RN(SiH2 ­CH2 ­CH2 -Si(NAm2 )3 )2 ; ․    前驅物為RN(SiH2 -CH2 -CH2 -Si(NCy戊基2 )3 )2 ; ․    前驅物為RN(SiH2 ­CH2 ­CH2 -Si(N己基2 )3 )2 ; ․    前驅物為RN(SiH2 -CH2 -CH2 -Si(NCyHex2 )3 )2 ; ․    前驅物為RN(SiH2 ­CH2 ­CH2 -Si(NMeH)3 )2 ; ․    前驅物為RN(SiH2 -CH2 -CH2 -Si(NEtH)3 )2 ; ․    前驅物為RN(SiH2 ­CH2 ­CH2 -Si(NnPrH)3 )2 ; ․    前驅物為RN(SiH2 ­CH2 -CH2 -Si(NiPrH)3 )2 ; ․    前驅物為RN(SiH2 ­CH2 ­CH2 -Si(NBuH)3 )2 ; ․    前驅物為RN(SiH2 ­CH2 -CH2 -Si(NiBuH)3 )2 ; ․    前驅物為RN(SiH2 ­CH2 ­CH2 -Si(NtBuH)3 )2 ; ․    前驅物為RN(SiH2 ­CH2 -CH2 -Si(NAmH)3 )2 ; ․    前驅物為RN(SiH2 ­CH2 -CH2 -Si(吡啶)3 )2 ; ․    前驅物為RN(SiH2 ­CH2 -CH2 -Si(吡咯)3 )2 ; ․    前驅物為RN(SiH2 ­CH2 -CH2 -Si(吡咯啶)3 )2 ; ․    前驅物為RN(SiH2 ­CH2 -CH2 -Si(咪唑)3 )2 ; ․    前驅物為RN(SiH2 ­CH2 -CH2 -Si(哌啶)3 )2 ; ․    前驅物為RN(SiH2 ­CH2 -CH2 -Si(嘧啶)3 )2 ; ․    R2 、R3 、R4 、以及R5 中至少一者為H; ․    R2 、R3 、R4 、以及R5 皆為H; ․    R2 、R3 、R4 、以及R5 中至少一者為乙烯基; ․    R為H; ․    t =1; ․    前驅物為[–NR–R4 R5 Si–CH2 –SiR2 R3 –]n ; ․    R、R2 、R3 、R4 、或R5 中至少一者為乙烯基; ․    R、R2 、R3 、R4 、或R5 中至少一者為烯丙基; ․    R、R2 、R3 、R4 、或R5 中至少一者為苯基; ․    前驅物包含[–NR–R4 R5 Si–(CH2 )–SiR2 R3 –]n 單元以及[–NR–R4 R5 Si–(CH2 )–SiR1 R2 R3 ]封端; ․    前驅物包含[–NR–R4 R5 Si–(CH2 )–SiR2 R3 –]n 單元以及[–NR–R4 R5 Si–(CH2 )–SiR2 R3 –NR1 R2 ]封端; ․    R、R2 、R3 、R4 以及R5 =H; ․    前驅物為[–NH–SiH2 –CH2 –SiH2 –]n ; ․    R = Six H2x+1 (x = 1至4)以及R2 、R3 、R4 以及R5 = H; ․    前驅物為[–N(SiH3 )–SiH2 –CH2 –SiH2 –]n ; ․    前驅物為[–N(Si2 H5 )–SiH2 –CH2 –SiH2 –]n ; ․    前驅物為[–N(Si3 H7 )–SiH2 –CH2 –SiH2 –]n ; ․    前驅物為[–N(Si4 H9 )–SiH2 –CH2 –SiH2 –]n ; ․    R = Cy H2y+1 (y = 1至6)以及R2 、R3 、R4 以及R5 = H; ․    前驅物為[–N(CH3 )–SiH2 –CH2 –SiH2 –]n ; ․    前驅物為[–N(C2 H5 )–SiH2 –CH2 –SiH2 –]n ; ․    前驅物為[–N(C3 H7 )–SiH2 –CH2 –SiH2 –]n ; ․    前驅物為[–N(C4 H9 )–SiH2 –CH2 –SiH2 –]n ; ․    前驅物為[–N(C5 H11 )–SiH2 –CH2 –SiH2 –]n ; ․    前驅物為[–N(C6 H13 )–SiH2 –CH2 –SiH2 –]n ; ․    R2 、R3 、R4 以及R5 = H以及R = R1’ R2’ R3’ Si(CH2 )b SiR4’ R5’ ,其中b = 1至2以及R1 ’、R2’ 、R3’ 、R4’ 以及R5’ = H或C1 -C6 烴; ․    前驅物為[–N(SiH3 -CH2 -SiH2 )–SiH2 –CH2 –SiH2 –]n ; ․    前驅物為[–N(SiH3 -CH2 -CH2 -SiH2 )–SiH2 –CH2 –SiH2 –]n ; ․    前驅物為[–N(SiMe3 -CH2 -SiMe2 )–SiH2 –CH2 –SiH2 –]n ; ․    前驅物為[–N(SiMe3 -CH2 -CH2 -SiMe2 )–SiH2 –CH2 –SiH2 –]n ; ․    前驅物為[–N(SiEt3 -CH2 -SiEt2 )–SiH2 –CH2 –SiH2 –]n ; ․    前驅物為[–N(SiEt3 -CH2 -CH2 -SiEt2 )–SiH2 –CH2 –SiH2 –]n ; ․    R2 、R3 、R4 以及R5 = H; ․    前驅物為[–N(SiMe3 )–H2 Si–CH2 –SiH2 –]n ; ․    前驅物為[–N(SiEt3 )–H2 Si–CH2 –SiH2 –]n ; ․    前驅物為[–N(Si(iPr)3 )–SiH2 –CH2 –SiH2 –]n ; ․    前驅物為[–N(Si(nPr)3 )–SiH2 –CH2 –SiH2 –]n ; ․    前驅物為[–N(Si(Bu)3 )–SiH2 –CH2 –SiH2 –]n ; ․    前驅物為[–N(Si(iBu)3 )–SiH2 –CH2 –SiH2 –]n ; ․    前驅物為[–N(Si(tBu)3 )–SiH2 –CH2 –SiH2 –]n ; ․    前驅物為[–N(Si(戊基)3 )–SiH2 –CH2 –SiH2 –]n ; ․    前驅物為[–N(Si(己基)3 )–SiH2 –CH2 –SiH2 –]n ; ․    前驅物為[–N(SiH(Me)2 )–SiH2 –CH2 –SiH2 –]n ; ․    前驅物為[–N(SiH(Et)2 )–SiH2 –CH2 –SiH2 –]n ; ․    前驅物為[–N(SiH(iPr)2 )–SiH2 –CH2 –SiH2 –]n ; ․    前驅物為[–N(SiH(nPr)2 )–SiH2 –CH2 –SiH2 –]n ; ․    前驅物為[–N(SiH(Bu)2 )–SiH2 –CH2 –SiH2 –]n ; ․    前驅物為[–N(SiH(iBu)2 )–SiH2 –CH2 –SiH2 –]n ; ․    前驅物為[–N(SiH(tBu)2 )–SiH2 –CH2 –SiH2 –]n ; ․    前驅物為[–N(SiH(戊基)2 )–SiH2 –CH2 –SiH2 –]n ; ․    前驅物為[–N(SiH(己基)2 )–SiH2 –CH2 –SiH2 –]n ; ․    前驅物為[–N(SiH2 (Me))–SiH2 –CH2 –SiH2 –]n ; ․    前驅物為[–N(SiH2 (Et))–SiH2 –CH2 –SiH2 –]n ; ․    前驅物為[–N(SiH2 (iPr))–SiH2 –CH2 –SiH2 –]n ; ․    前驅物為[–N(SiH2 (nPr))–SiH2 –CH2 –SiH2 –]n ; ․    前驅物為[–N(SiH2 (Bu))–SiH2 –CH2 –SiH2 –]n ; ․    前驅物為[–N(SiH2 (iBu))–SiH2 –CH2 –SiH2 –]n ; ․    前驅物為[–N(SiH2 (tBu))–SiH2 –CH2 –SiH2 –]n ; ․    前驅物為[–N(SiH2 (戊基))–SiH2 –CH2 –SiH2 –]n ; ․    前驅物為[–N(SiH2 (己基))–SiH2 –CH2 –SiH2 –]n ; ․    前驅物為[–N(SiH2 NMe2 )–H2 Si–CH2 –SiH2 –]n ; ․    前驅物為[–N(SiH2 NEt2 )–H2 Si–CH2 –SiH2 –]n ; ․    前驅物為[-N(SiH2 NiPr2 )-SiH2 ­CH2 ­SiH2 -]n ; ․    前驅物為[-N(SiH2 NnPr2 )-SiH2 ­CH2 ­SiH2 -]n ; ․    前驅物為[–N(SiH2 NMeEt)–H2 Si–CH2 –SiH2 –]n ; ․    前驅物為[–N(SiH(NMe2 )2 )–H2 Si–CH2 –SiH2 –]n ; ․    前驅物為[–N(SiH(NEt2 )2 )–H2 Si–CH2 –SiH2 –]n ; ․    R、R3 、R4 以及R5 = H; ․    前驅物為[–NH–H2 Si–CH2 –SiH(CH2 =CH2 )–]n ; ․    前驅物為[–NH–H2 Si–CH2 –SiH(CH2 -CH2 =CH2 )–]n ; ․    前驅物為[–NH–H2 Si–CH2 –SiH(NH2 )–]n ; ․    前驅物為[–NH–H2 Si–CH2 –SiH(NMe2 )–]n ; ․    前驅物為[–NH–H2 Si–CH2 –SiH(NMeEt)–]n ; ․    前驅物為[–NH–H2 Si–CH2 –SiH(NEt2 )–]n ; ․    前驅物為[–NH–H2 Si–CH2 –SiH(NnPr2 )–]n ; ․    前驅物為[–NH–H2 Si–CH2 –SiH(NiPr2 )–]n ; ․    前驅物為[–NH–H2 Si–CH2 –SiH(NBu2 )–]n ; ․    前驅物為[–NH–H2 Si–CH2 –SiH(NiBu2 )–]n ; ․    前驅物為[–NH–H2 Si–CH2 –SiH(NtBu2 )–]n ; ․    前驅物為[–NH–H2 Si–CH2 –SiH(NAm2 )–]n ; ․    前驅物為[–NH–H2 Si–CH2 –SiH(NCy戊基2 )–]n ; ․    前驅物為[–NH–H2 Si–CH2 –SiH(N己基2 )–]n ; ․    前驅物為[–NH–H2 Si–CH2 –SiH(NCyHex2 )–]n ; ․    前驅物為[–NH–H2 Si–CH2 –SiH(NMeH)–]n ; ․    前驅物為[–NH–H2 Si–CH2 –SiH(NEtH)–]n ; ․    前驅物為[–NH–H2 Si–CH2 –SiH(NnPrH)–]n ; ․    前驅物為[–NH–H2 Si–CH2 –SiH(NiPrH)–]n ; ․    前驅物為[–NH–H2 Si–CH2 –SiH(NBuH)–]n ; ․    前驅物為[–NH–H2 Si–CH2 –SiH(NiBuH)–]n ; ․    前驅物為[–NH–H2 Si–CH2 –SiH(NtBuH)–]n ; ․    前驅物為[–NH–H2 Si–CH2 –SiH(NAmH)–]n ; ․    前驅物為[–NH–H2 Si–CH2 –SiH(吡啶)–]n ; ․    前驅物為[–NH–H2 Si–CH2 –SiH(吡咯)–]n ; ․    前驅物為[–NH–H2 Si–CH2 –SiH(吡咯啶)–]n ; ․    前驅物為[–NH–H2 Si–CH2 –SiH(咪唑)–]n ; ․    前驅物為[–NH–H2 Si–CH2 –SiH(哌啶)–]n ; ․    前驅物為[–NH–H2 Si–CH2 –SiH(嘧啶)–]n ; ․    R、R4 以及R5 = H; ․    前驅物為[–NH–H2 Si–CH2 –Si(CH2 =CH2 )2 –]n ; ․    前驅物為[–NH–H2 Si–CH2 –Si(CH2 -CH2 =CH2 )2 –]n ; ․    前驅物為[–NH–H2 Si–CH2 –Si(NH2 )2 –]n ; ․    前驅物為[–NH–H2 Si–CH2 –Si(NMe2 )2 –]n ; ․    前驅物為[–NH–H2 Si–CH2 –Si(NMeEt)2 –]n ; ․    前驅物為[–NH–H2 Si–CH2 –Si(NEt2 )2 –]n ; ․    前驅物為[–NH–H2 Si–CH2 –Si(NnPr2 )2 –]n ; ․    前驅物為[–NH–H2 Si–CH2 –Si(NiPr2 )2 –]n ; ․    前驅物為[–NH–H2 Si–CH2 –Si(NBu2 )2 –]n ; ․    前驅物為[–NH–H2 Si–CH2 –Si(NiBu2 )2 –]n ; ․    前驅物為[–NH–H2 Si–CH2 –Si(NtBu2 )2 –]n ; ․    前驅物為[–NH–H2 Si–CH2 –Si(NAm2 )2 –]n ; ․    前驅物為[–NH–H2 Si–CH2 –Si(NCy戊基2 )2 –]n ; ․    前驅物為[–NH–H2 Si–CH2 –Si(N己基2 )2 –]n ; ․    前驅物為[–NH–H2 Si–CH2 –Si(NCyHex2 )2 –]n ; ․    前驅物為[–NH–H2 Si–CH2 –Si(NMeH)2 –]n ; ․    前驅物為[–NH–H2 Si–CH2 –Si(NEtH)2 –]n ; ․    前驅物為[–NH–H2 Si–CH2 –Si(NnPrH)2 –]n ; ․    前驅物為[–NH–H2 Si–CH2 –Si(NiPrH)2 –]n ; ․    前驅物為[–NH–H2 Si–CH2 –Si(NBuH)2 –]n ; ․    前驅物為[–NH–H2 Si–CH2 –Si(NiBuH)2 –]n ; ․    前驅物為[–NH–H2 Si–CH2 –Si(NtBuH)2 –]n ; ․    前驅物為[–NH–H2 Si–CH2 –Si(NAmH)2 –]n ; ․    前驅物為[–NH–H2 Si–CH2 –Si(吡啶)2 –]n ; ․    前驅物為[–NH–H2 Si–CH2 –Si(吡咯)2 –]n ; ․    前驅物為[–NH–H2 Si–CH2 –Si(吡咯啶)2 –]n ; ․    前驅物為[–NH–H2 Si–CH2 –Si(咪唑)2 –]n ; ․    前驅物為[–NH–H2 Si–CH2 –Si(哌啶)2 –]n ; ․    前驅物為[–NH–H2 Si–CH2 –Si(嘧啶)2 –]n ; ․    R、R3 以及R5 = H; ․    前驅物為[–NH–SiH(CH2 =CH2 )–CH2 –SiH(CH2 =CH2 )–]n ; ․    前驅物為[–NH–SiH(CH2 -CH2 =CH2 )–CH2 –SiH(CH2 -CH2 =CH2 )–]n ; ․    前驅物為[–NH–SiH(NH2 )–CH2 –SiH(NH2 )–]n ; ․    前驅物為[–NH–SiH(NMe2 )–CH2 –SiH(NMe2 )–]n ; ․    前驅物為[–NH–SiH(NMeEt)–CH2 –SiH(NMeEt)–]n ; ․    前驅物為[–NH–SiH(NEt2 )–CH2 –SiH(NEt2 )–]n ; ․    前驅物為[–NH–SiH(NnPr2 )–CH2 –SiH(NnPr2 )–]n ; ․    前驅物為[–NH–SiH(NiPr2 )–CH2 –SiH(NiPr2 )–]n ; ․    前驅物為[–NH–SiH(NBu2 )–CH2 –SiH(NBu2 )–]n ; ․    前驅物為[–NH–SiH(NiBu2 )–CH2 –SiH(NiBu2 )–]n ; ․    前驅物為[–NH–SiH(NtBu2 )–CH2 –SiH(NtBu2 )–]n ; ․    前驅物為[–NH–SiH(NAm2 )–CH2 –SiH(NAm2 )–]n ; ․    前驅物為[–NH–SiH(NCy戊基2 )–CH2 –SiH(NCy戊基2 )–]n ; ․    前驅物為[–NH–SiH(N己基2 )–CH2 –SiH(N己基2 )–]n ; ․    前驅物為[–NH–SiH(NCyHex2 )–CH2 –SiH(NCyHex2 )–]n ; ․    前驅物為[–NH–SiH(NMeH)–CH2 –SiH(NMeH)–]n ; ․    前驅物為[–NH–SiH(NEtH)–CH2 –SiH(NEtH)–]n ; ․    前驅物為[–NH–SiH(NnPrH)–CH2 –SiH(NnPrH)–]n ; ․    前驅物為[–NH–SiH(NiPrH)–CH2 –SiH(NiPrH)–]n ; ․    前驅物為[–NH–SiH(NBuH)–CH2 –SiH(NBuH)–]n ; ․    前驅物為[–NH–SiH(NiBuH)–CH2 –SiH(NiBuH)–]n ; ․    前驅物為[–NH–SiH(NtBuH)–CH2 –SiH(NtBuH)–]n ; ․    前驅物為[–NH–SiH(NAmH)–CH2 –SiH(NAmH)–]n ; ․    前驅物為[–NH–SiH(吡啶)–CH2 –SiH(吡啶)–]n ; ․    前驅物為[–NH–SiH(吡咯)–CH2 –SiH(吡咯)–]n ; ․    前驅物為[–NH–SiH(吡咯啶)–CH2 –SiH(吡咯啶)–]n ; ․    前驅物為[–NH–SiH(咪唑)–CH2 –SiH(咪唑)–]n ; ․    前驅物為[–NH–SiH(哌啶)–CH2 –SiH(哌啶)–]n ; ․    前驅物為[–NH–SiH(嘧啶)–CH2 –SiH(嘧啶)–]n ; ․    t = 2; ․    前驅物為[–NR–R4 R5 Si–(CH2 )2 –SiR2 R3 –]n ; ․    前驅物包含[–NR–R4 R5 Si–(CH2 )2 –SiR2 R3 –]n 單元以及[–NR–R4 R5 Si–(CH2 )2 –SiR1 R2 R3 –]封端; ․    前驅物包含[–NR–R4 R5 Si–(CH2 )2 –SiR2 R3 –]n 單元以及[–NR–R4 R5 Si–(CH2 )2 –SiR2 R3 –NR1 R2 ]封端; ․    R、R2 、R3 、R4 以及R5 = H; ․    前驅物為[–NH–SiH2 –CH2 –CH2 –SiH2 –]n ; ․    R = Six H2x+1 (x = 1至4)以及R2 、R3 、R4 以及R5 = H; ․    前驅物為[–N(SiH3 )–SiH2 –CH2 –CH2 –SiH2 –]n ; ․    前驅物為[–N(Si2 H5 )–SiH2 –CH2 –CH2 –SiH2 –]n ; ․    前驅物為[–N(Si3 H7 )–SiH2 –CH2 –CH2 –SiH2 –]n ; ․    前驅物為[–N(Si4 H9 )–SiH2 –CH2 –CH2 –SiH2 –]n ; ․    R = Cy H2y+1 (y = 1至6)以及R2 、R3 、R4 以及R5 = H; ․    前驅物為[–N(CH3 )–SiH2 –CH2 –CH2 –SiH2 –]n ; ․    前驅物為[–N(C2 H5 )–SiH2 –CH2 –CH2 –SiH2 –]n ; ․    前驅物為[–N(C3 H7 )–SiH2 –CH2 –CH2 –SiH2 –]n ; ․    前驅物為[–N(C4 H9 )–SiH2 –CH2 –CH2 –SiH2 –]n ; ․    前驅物為[–N(C5 H11 )–SiH2 –CH2 –CH2 –SiH2 –]n ; ․    前驅物為[–N(C6 H13 )–SiH2 –CH2 –CH2 –SiH2 –]n ; ․    R2 、R3 、R4 以及R5 = H; ․    前驅物為[–N(SiMe3 )–H2 Si–CH2 –CH2 –SiH2 –]n ; ․    前驅物為[–N(SiEt3 )–H2 Si–CH2 –CH2 –SiH2 –]n ; ․    前驅物為[–N(Si(iPr)3 )–SiH2 –CH2 –CH2 –SiH2 –]n ; ․    前驅物為[–N(Si(nPr)3 )–SiH2 –CH2 –CH2 –SiH2 –]n ; ․    前驅物為[–N(Si(Bu)3 )–SiH2 –CH2 –CH2 –SiH2 –]n ; ․    前驅物為[–N(Si(iBu)3 )–SiH2 –CH2 –CH2 –SiH2 –]n ; ․    前驅物為[–N(Si(tBu)3 )–SiH2 –CH2 –CH2 –SiH2 –]n ; ․    前驅物為[–N(Si(戊基)3 )–SiH2 –CH2 –CH2 –SiH2 –]n ; ․    前驅物為[–N(Si(己基)3 )–SiH2 –CH2 –CH2 –SiH2 –]n ; ․    前驅物為[–N(SiH(Me)2 )–SiH2 –CH2 –CH2 –SiH2 –]n ; ․    前驅物為[–N(SiH(Et)2 )–SiH2 –CH2 –CH2 –SiH2 –]n ; ․    前驅物為[–N(SiH(iPr)2 )–SiH2 –CH2 –CH2 –SiH2 –]n ; ․    前驅物為[–N(SiH(nPr)2 )–SiH2 –CH2 –CH2 –SiH2 –]n ; ․    前驅物為[–N(SiH(Bu)2 )–SiH2 –CH2 –CH2 –SiH2 –]n ; ․    前驅物為[–N(SiH(iBu)2 )–SiH2 –CH2 –CH2 –SiH2 –]n ; ․    前驅物為[–N(SiH(tBu)2 )–SiH2 –CH2 –CH2 –SiH2 –]n ; ․    前驅物為[–N(SiH(戊基)2 )–SiH2 –CH2 –CH2 –SiH2 –]n ; ․    前驅物為[–N(SiH(己基)2 )–SiH2 –CH2 –CH2 –SiH2 –]n ; ․    前驅物為[–N(SiH2 (Me))–SiH2 –CH2 –CH2 –SiH2 –]n ; ․    前驅物為[–N(SiH2 (Et))–SiH2 –CH2 –CH2 –SiH2 –]n ; ․    前驅物為[–N(SiH2 (iPr))–SiH2 –CH2 –CH2 –SiH2 –]n ; ․    前驅物為[–N(SiH2 (nPr))–SiH2 –CH2 –CH2 –SiH2 –]n ; ․    前驅物為[–N(SiH2 (Bu))–SiH2 –CH2 –CH2 –SiH2 –]n ; ․    前驅物為[–N(SiH2 (iBu))–SiH2 –CH2 CH2 –SiH2 –]n ; ․    前驅物為[–N(SiH2 (tBu))–SiH2 –CH2 –CH2 –SiH2 –]n ; ․    前驅物為[–N(SiH2 (戊基))–SiH2 –CH2 –CH2 –SiH2 –]n ; ․    前驅物為[–N(SiH2 (己基))–SiH2 –CH2 –CH2 –SiH2 –]n ; ․    前驅物為[-N(SiH2 NMe2 )-SiH2 ­CH2 ­CH2 ­SiH2 -]n ; ․    前驅物為[-N(SiH2 NEt2 )-SiH2 ­CH2 ­CH2 ­SiH2 -]n ; ․    前驅物為[-N(SiH2 NiPr2 )-SiH2 ­CH2 ­CH2 ­SiH2 -]n ; ․    前驅物為[-N(SiH2 NnPr2 )-SiH2 ­CH2 ­CH2 ­SiH2 -]n ; ․    前驅物為[-N(SiH2 NMeEt)-SiH2 ­CH2 ­CH2 ­SiH2 -]n ; ․    前驅物為[-N(SiH(NMe2 )2 )-SiH2 ­CH2 ­CH2 ­SiH2 -]n ; ․    前驅物為[-N(SiH(NEt2 )2 )-SiH2 ­CH2 ­CH2 ­SiH2 -]n ; ․    R2 、R3 、R4 以及R5 = H以及R = R1’ R2’ R3’ Si(CH2 )b SiR4’ R5’ ,其中b = 1至2以及R1 ’、R2’ 、R3’ 、R4’ 以及R5’ =H或C1 -C6 烴; ․    前驅物為[–N(SiH3 -CH2 -SiH2 )–SiH2 –CH2 –CH2 –SiH2 –]n ; ․    前驅物為[–N(SiH3 -CH2 -CH2 -SiH2 )–SiH2 –CH2 –CH2 –SiH2 –]n ; ․    前驅物為[–N(SiMe3 -CH2 -SiMe2 )–SiH2 –CH2 –CH2 –SiH2 –]n ; ․    前驅物為[–N(SiMe3 -CH2 -CH2 -SiMe2 )–SiH2 –CH2 –CH2 –SiH2 –]n ; ․    前驅物為[–N(SiEt3 -CH2 -SiEt2 )–SiH2 –CH2 –CH2 –SiH2 –]n ; ․    前驅物為[–N(SiEt3 -CH2 -CH2 -SiEt2 )–SiH2 –CH2 –CH2 –SiH2 –]n ; ․    R、R3 、R4 以及R5 = H; ․    前驅物為[–NH–H2 Si–CH2 –CH2 –SiH(CH2 =CH2 )–]n ; ․    前驅物為[–NH–H2 Si–CH2 –CH2 –SiH(CH2 -CH2 =CH2 )–]n ; ․    前驅物為[–NH–H2 Si–CH2 –CH2 –SiH(NH2 )–]n ; ․    前驅物為[–NH–H2 Si–CH2 –CH2 –SiH(NMe2 )–]n ; ․    前驅物為[–NH–H2 Si–CH2 –CH2 –SiH(NMeEt)–]n ; ․    前驅物為[–NH–H2 Si–CH2 –CH2 –SiH(NEt2 )–]n ; ․    前驅物為[–NH–H2 Si–CH2 –CH2 –SiH(NnPr2 )–]n ; ․    前驅物為[–NH–H2 Si–CH2 –CH2 –SiH(NiPr2 )–]n ; ․    前驅物為[–NH–H2 Si–CH2 –CH2 –SiH(NBu2 )–]n ; ․    前驅物為[–NH–H2 Si–CH2 –CH2 –SiH(NiBu2 )–]n ; ․    前驅物為[–NH–H2 Si–CH2 –CH2 –SiH(NtBu2 )–]n ; ․    前驅物為[–NH–H2 Si–CH2 –CH2 –SiH(NAm2 )–]n ; ․    前驅物為[–NH–H2 Si–CH2 –CH2 –SiH(NCy戊基2 )–]n ; ․    前驅物為[–NH–H2 Si–CH2 –CH2 –SiH(N己基2 )–]n ; ․    前驅物為[–NH–H2 Si–CH2 –CH2 –SiH(NCyHex2 )–]n ; ․    前驅物為[–NH–H2 Si–CH2 –CH2 –SiH(NMeH)–]n ; ․    前驅物為[–NH–H2 Si–CH2 –CH2 –SiH(NEtH)–]n ; ․    前驅物為[–NH–H2 Si–CH2 –CH2 –SiH(NnPrH)–]n ; ․    前驅物為[–NH–H2 Si–CH2 –CH2 –SiH(NiPrH)–]n ; ․    前驅物為[–NH–H2 Si–CH2 –CH2 –SiH(NBuH)–]n ; ․    前驅物為[–NH–H2 Si–CH2 –CH2 –SiH(NiBuH)–]n ; ․    前驅物為[–NH–H2 Si–CH2 –CH2 –SiH(NtBuH)–]n ; ․    前驅物為[–NH–H2 Si–CH2 –CH2 –SiH(NAmH)–]n ; ․    前驅物為[–NH–H2 Si–CH2 –CH2 –SiH(吡啶)–]n ; ․    前驅物為[–NH–H2 Si–CH2 –CH2 –SiH(吡咯)–]n ; ․    前驅物為[–NH–H2 Si–CH2 –CH2 –SiH(吡咯啶)–]n ; ․    前驅物為[–NH–H2 Si–CH2 –CH2 –SiH(咪唑)–]n ; ․    前驅物為[–NH–H2 Si–CH2 –CH2 –SiH(哌啶)–]n ; ․    前驅物為[–NH–H2 Si–CH2 –CH2 –SiH(嘧啶)–]n ; ․    R、R4 以及R5 = H; ․    前驅物為[–NH–H2 Si–CH2 –CH2 –Si(CH2 =CH2 )2 –]n ; ․    前驅物為[–NH–H2 Si–CH2 –CH2 –Si(CH2 -CH2 =CH2 )2 –]n ; ․    前驅物為[–NH–H2 Si–CH2 –CH2 –Si(NH2 )2 –]n ; ․    前驅物為[–NH–H2 Si–CH2 –CH2 –Si(NMe2 )2 –]n ; ․    前驅物為[–NH–H2 Si–CH2 –CH2 –Si(NMeEt)2 –]n ; ․    前驅物為[–NH–H2 Si–CH2 –CH2 –Si(NEt2 )2 –]n ; ․    前驅物為[–NH–H2 Si–CH2 –CH2 –Si(NnPr2 )2 –]n ; ․    前驅物為[–NH–H2 Si–CH2 –CH2 –Si(NiPr2 )2 –]n ; ․    前驅物為[–NH–H2 Si–CH2 –CH2 –Si(NBu2 )2 –]n ; ․    前驅物為[–NH–H2 Si–CH2 –CH2 –Si(NiBu2 )2 –]n ; ․    前驅物為[–NH–H2 Si–CH2 –CH2 –Si(NtBu2 )2 –]n ; ․    前驅物為[–NH–H2 Si–CH2 –CH2 –Si(NAm2 )2 –]n ; ․    前驅物為[–NH–H2 Si–CH2 –CH2 –Si(NCy戊基2 )2 –]n ; ․    前驅物為[–NH–H2 Si–CH2 –CH2 –Si(N己基2 )2 –]n ; ․    前驅物為[–NH–H2 Si–CH2 –CH2 –Si(NCyHex2 )2 –]n ; ․    前驅物為[–NH–H2 Si–CH2 –CH2 –Si(NMeH)2 –]n ; ․    前驅物為[–NH–H2 Si–CH2 –CH2 –Si(NEtH)2 –]n ; ․    前驅物為[–NH–H2 Si–CH2 –CH2 –Si(NnPrH)2 –]n ; ․    前驅物為[–NH–H2 Si–CH2 –CH2 –Si(NiPrH)2 –]n ; ․    前驅物為[–NH–H2 Si–CH2 –CH2 –Si(NBuH)2 –]n ; ․    前驅物為[–NH–H2 Si–CH2 –CH2 –Si(NiBuH)2 –]n ; ․    前驅物為[–NH–H2 Si–CH2 –CH2 –Si(NtBuH)2 –]n ; ․    前驅物為[–NH–H2 Si–CH2 –CH2 –Si(NAmH)2 –]n ; ․    前驅物為[–NH–H2 Si–CH2 –CH2 –Si(吡啶)2 –]n ; ․    前驅物為[–NH–H2 Si–CH2 –CH2 –Si(吡咯)2 –]n ; ․    前驅物為[–NH–H2 Si–CH2 –CH2 –Si(吡咯啶)2 –]n ; ․    前驅物為[–NH–H2 Si–CH2 –CH2 –Si(咪唑)2 –]n ; ․    前驅物為[–NH–H2 Si–CH2 –CH2 –Si(哌啶)2 –]n ; ․    前驅物為[–NH–H2 Si–CH2 –CH2 –Si(嘧啶)2 –]n ; ․    R、R3 以及R5 = H; ․    前驅物為[–NH–SiH(CH2 =CH2 )–CH2 –CH2 –SiH(CH2 =CH2 )–]n ; ․    前驅物為[–NH–SiH(CH2 -CH2 =CH2 )–CH2 –CH2 –SiH(CH2 -CH2 =CH2 )–]n ; ․    前驅物為[–NH–SiH(NH2 )–CH2 –CH2 –SiH(NH2 )–]n ; ․    前驅物為[–NH–SiH(NMe2 )–CH2 –CH2 –SiH(NMe2 )–]n ; ․    前驅物為[–NH–SiH(NMeEt)–CH2 –CH2 –SiH(NMeEt)–]n ; ․    前驅物為[–NH–SiH(NEt2 )–CH2 –CH2 –SiH(NEt2 )–]n ; ․    前驅物為[–NH–SiH(NnPr2 )–CH2 –CH2 –SiH(NnPr2 )–]n ; ․    前驅物為[–NH–SiH(NiPr2 )–CH2 –CH2 –SiH(NiPr2 )–]n ; ․    前驅物為[–NH–SiH(NBu2 )–CH2 –CH2 –SiH(NBu2 )–]n ; ․    前驅物為[–NH–SiH(NiBu2 )–CH2 –CH2 –SiH(NiBu2 )–]n ; ․    前驅物為[–NH–SiH(NtBu2 )–CH2 –CH2 –SiH(NtBu2 )–]n ; ․    前驅物為[–NH–SiH(NAm2 )–CH2 –CH2 –SiH(NAm2 )–]n ; ․    前驅物為[–NH–SiH(NCy戊基2 )–CH2 –CH2 –SiH(NCy戊基2 )–]n ; ․    前驅物為[–NH–SiH(N己基2 )–CH2 –CH2 –SiH(N己基2 )–]n ; ․    前驅物為[–NH–SiH(NCyHex2 )–CH2 –CH2 –SiH(NCyHex2 )–]n ; ․    前驅物為[–NH–SiH(NMeH)–CH2 –CH2 –SiH(NMeH)–]n ; ․    前驅物為[–NH–SiH(NEtH)–CH2 –CH2 –SiH(NEtH)–]n ; ․    前驅物為[–NH–SiH(NnPrH)–CH2 –CH2 –SiH(NnPrH)–]n ; ․    前驅物為[–NH–SiH(NiPrH)–CH2 –CH2 –SiH(NiPrH)–]n ; ․    前驅物為[–NH–SiH(NBuH)–CH2 –CH2 –SiH(NBuH)–]n ; ․    前驅物為[–NH–SiH(NiBuH)–CH2 –CH2 –SiH(NiBuH)–]n ; ․    前驅物為[–NH–SiH(NtBuH)–CH2 –CH2 –SiH(NtBuH)–]n ; ․    前驅物為[–NH–SiH(NAmH)–CH2 –CH2 –SiH(NAmH)–]n ; ․    前驅物為[–NH–SiH(吡啶)–CH2 –CH2 –SiH(吡啶)–]n ; ․    前驅物為[–NH–SiH(吡咯)–CH2 –CH2 –SiH(吡咯)–]n ; ․    前驅物為[–NH–SiH(吡咯啶)–CH2 –CH2 –SiH(吡咯啶)–]n ; ․    前驅物為[–NH–SiH(咪唑)–CH2 –CH2 –SiH(咪唑)–]n ; ․    前驅物為[–NH–SiH(哌啶)–CH2 –CH2 –SiH(哌啶)–]n ; ․    前驅物為[–NH–SiH(嘧啶)–CH2 –CH2 –SiH(嘧啶)–]n ; ․    形成含矽膜之組成物包含約略0.1莫耳%及約略50莫耳%之間的前驅物; ․    形成含矽膜之組成物包含約略93%w/w至約略100%w/w之間的前驅物; ․    形成含矽膜之組成物包含約略99%w/w至約略100%w/w之間的前驅物; ․    形成含矽膜之組成物包含約略0 ppmw及200 ppmw之間的Cl; ․    形成含矽膜之組成物包含約略0 ppmw及50 ppmw之間的Cl; ․    進一步包含溶劑; ․    溶劑係選自由C1 -C16 烴、THF、DMO、醚、吡啶、酮、酯、以及其組合組成之群; ․    溶劑為C1 -C16 飽和或不飽和烴; ․    溶劑為四氫呋喃(THF); ․    溶劑為草酸二甲酯(DMO); ․    溶劑為醚; ․    溶劑為吡啶; ․    溶劑為甲基異丁基酮; ․    溶劑為環己酮; ․    溶劑為乙醇; ․    溶劑為異丙醇; ․    進一步包含催化劑或自由基產生劑; ․    自由基產生劑為光起始劑,諸如苯酮、奎寧、或茂金屬; ․    進一步包含熱自由基起始劑,諸如過氧化物或包含–N=N-單元的偶氮化合物;或 ․    形成含矽膜之組成物包含約略0 ppmw及100 ppb之間的每一選自鹼金屬、鹼土金屬、Al、以及過渡金屬的金屬(如國際純化學和應用化學聯盟(IUPAC)定義)。
也揭示將含矽層沉積在基材上的方法。將以上揭示任何形成含矽膜之組成物(但較佳為式(I))的蒸氣導入內部設置具有基材的反應器。使用氣相沉積方法將至少部分前驅物沉積至基材上以形成含矽層。所揭示方法可包括一或多種以下所述態樣: ․    將包含第二前驅物的蒸氣導入反應器; ․    第二前驅物,其包含選自由2族、13族、14族、過渡金屬、鑭系元素、以及其組合組成之群的元素; ․    該第二前驅物的元素係選自B、Zr、Hf、Ti、Nb、V、Ta、Al、Si、Ge; ․    將共反應物導入反應器; ․    該共反應物係選自由O2 、O3 、H2 O、H2 O2 、NO、NO2 、羧酸、醇、乙醇胺、其自由基、以及其組合組成之群; ․    該共反應物為經電漿處理的氧; ․    該共反應物為臭氧; ․    該含矽層為含有二氧化矽的層; ․    該共反應物為選自由以下組成之群:H2 、NH3 、(SiH3 )3 N、氫化矽烷(諸如SiH4 、Si2 H6 、Si3 H8 、Si4 H10 、Si5 H10 、Si6 H12 )、氯矽烷以及氯聚矽烷(諸如SiHCl3 、SiH2 Cl2 、SiH3 Cl、Si2 Cl6 、Si2 HCl5 、Si3 Cl8 )、烷基矽烷(諸如Me2 SiH2 、Et2 SiH2 、MeSiH3 、EtSiH3 )、聯胺(諸如N2 H4 、MeHNNH2 、MeHNNHMe)、有機胺(諸如NMeH2 、NEtH2 、NMe2 H、NEt2 H、NMe3 、NEt3 、(SiMe3 )2 NH)、二胺(諸如乙二胺、二甲乙二胺、四甲乙二胺)、吡唑啉、吡啶、含B分子(諸如B2 H6 、三甲基硼、三乙基硼、硼氮炔、經取代硼氮炔、二烷胺基硼烷)、烷基金屬(諸如三甲基鋁、三乙基鋁、二甲基鋅、二乙基鋅)、其自由基種類、以及其混合物。 ․    該共反應物係選自由H2 、NH3 、SiH4 、Si2 H6 、Si3 H8 、SiH2 Me2 、SiH2 Et2 、N(SiH3 )3 、其氫自由基、以及其混合物組成之群; ․    該共反應物為HCDS或PCDS; ․    該共反應物為飽和或不飽和、直鏈、分支鏈或環烴; ․    該共反應物為乙烯; ․    該共反應物為乙炔; ․    該共反應物為丙烯; ․    該共反應物為異戊二烯; ․    該共反應物為環己烷; ․    該共反應物為環己烯; ․    該共反應物為環己二烯; ․    該共反應物為戊烯; ․    該共反應物為戊炔; ․    該共反應物為環戊烷; ․    該共反應物為丁二烯; ․    該共反應物為環丁烷; ․    該共反應物為萜品烯; ․    該共反應物為辛烷; ․    該共反應物為辛烯; ․    該氣相沉積方法為化學氣相沉積方法; ․    該氣相沉積方法為原子層沉積(ALD)方法; ․    該氣相沉積方法為空間隔離ALD (spatial ALD)方法; ․    該氣相沉積方法為流動式化學氣相沉積方法(F-CVD); ․    該含矽層為SiO2 ; ․    該含矽層為SiC; ․    該含矽層為SiN; ․    該含矽層為SiON; ․    該含矽層為SiOC; ․    該含矽層為SiONC; ․    該含矽層為SiBN; ․    該含矽層為SiBCN; ․    該含矽層為SiCN; ․    該含矽層為SiMCO,其中M係選自Zr、Hf、Ti、Nb、V、Ta、Al、Ge;或 ․    進一步包含使該含矽層退火。
也揭示使用所揭示前驅物在基材上形成含矽膜的方法。以上所揭示任何形成含矽膜之組成物(但較佳為式(II))與基材接觸,且含矽膜經由旋轉塗佈、噴霧塗佈、浸漬塗佈、或狹縫塗佈技術而形成含矽膜。所揭示方法可包括以下態樣: ․    形成含矽膜之組成物進一步包含溶劑,該溶劑係選自由C5 -C16 分支鏈、直鏈、飽和或不飽和烴;THF;DMO;醚;吡啶;酮;酯;以及其組合組成之群; ․    該溶劑為C5 -C16 飽和或不飽和烴; ․    該溶劑為醚; ․    該溶劑為甲基異丁基酮; ․    該溶劑為環己酮; ․    形成含矽膜之組成物進一步包含催化劑或自由基產生劑; ․    該自由基產生劑為光起始劑; ․    該自由基產生劑為苯酮; ․    該自由基產生劑為奎寧; ․    該自由基產生劑為茂金屬; ․    該自由基產生劑為熱自由基起始劑; ․    該自由基產生劑為過氧化物; ․    該自由基產生劑為包含–N=N-單元的偶氮化合物; ․    該催化劑為路易士酸; ․    該催化劑為光酸產生劑; ․    該催化劑為矽氫化催化劑; ․    該路易士酸為三(五氟苯基)硼烷B(C6 F5 )3 ; ․    該路易士酸為衍生物; ․    該路易士酸為B(C6 F5 )3 與茂金屬化合物複合(complexation)形成的非配位性陰離子; ․    經由旋轉塗佈技術形成該含矽膜; ․    經由噴霧塗佈技術形成該含矽膜; ․    經由浸漬塗佈技術形成該含矽膜; ․    經由狹縫塗佈技術形成該含矽膜; ․    使含矽膜退火; ․    使該含矽膜UV硬化; ․    在反應環境下使含矽膜UV硬化以增進寡聚物的交聯;或 ․    以雷射處理該含矽膜。標號及命名
以下詳細說明以及申請專利範圍使用一般為此技藝習知的數種縮寫、符號、以及用語。雖然一般而言定義係以每一個首字母縮略語提供,為了方便起見,表1提供縮寫、符號、以及用語的列表以及其個別定義。 1
a或an 一或大於一
約略或約 所述值±10%
LCD-TFT 液晶顯示器–薄膜電晶體
TFT 薄膜電晶體
MIM 金屬-絕緣層-金屬
DRAM 動態隨機存取記憶體
CVD 化學氣相沉積
LPCVD 低壓化學氣相沉積
PCVD 脈衝化學氣相沉積
SACVD 次大氣壓化學氣相沉積
PECVD 電漿增強化學氣相沉積
APCVD 大氣壓化學氣相沉積
HWCVD 熱絲化學氣相沉積
f-CVD 流動式化學氣相沉積
f-PECVD 流動式電漿增強化學氣相沉積
MOCVD 金屬有機化學氣相沉積
ALD 原子層沉積
空間隔離ALD 空間隔離原子層沉積
HWALD 熱絲原子層沉積
PEALD 電漿增強原子層沉積
GCMS 氣相層析質譜
GPC 凝膠滲透層析
HCDS 六氯二矽烷(Si2 Cl6 )
PCDS 五氯二矽烷(Si2 HCl5 )
SRO 氧化鍶釕
LAH 鋁氫化鋰LiAlH4
THF 四氫呋喃
Me 甲基
Et 乙基
iPr 異丙基
nPr 正丙基
iBu 異丁基
tBu 第三丁基
本文使用元素周期表的元素標準縮寫。應理解元素為此等縮寫所指(例如Si指的是矽、N指的是氮、O指的是氧、C指的是碳等)。
如本文中所使用,術語「獨立地」當用於描述R之情形時應理解為表示標的R不僅相對於帶有相同或不同下標或上標之其他R獨立地選擇,而亦相對於任何其他種類之相同R獨立地選擇。舉例而言,在式MR1 x (NR2 R3 )(4-x) 中,其中x為2或3,兩個或三個R1 可(但無需)彼此相同或與R2 或R3 相同。此外,應理解除非以其他方式特定陳述,否則當用於不同式中時R之值彼此獨立。
如本文所用,「烴」用語指的是只含有碳以及氫原子的飽和或不飽和官能基。如本文所用,「烷基」用語指的是只含有碳以及氫原子的飽和官能基。烷基為一種烴。進一步,「烷基」用語指的是直鏈、分支鏈、或環烷基。直鏈烷基實例包括而不限於甲基、乙基、丙基、丁基等。分支鏈烷基實例包括而不限於第三丁基。環烷基實例包括而不限於環丙基、環戊基、環己基等。
如本文中所使用,「芳基」用語指芳環化合物,其中一個氫原子已自該環移除。
如本文所用,「雜環」用語指的是環狀化合物,其具有至少二種不同元素(不包括H),諸如C以及S及/或N的原子作為其環員。
如本文所用,「碳矽氮烷(carbosilazane)」用語指的是含有Si、C、以及N原子以及至少一個Si-N鍵的直鏈、分支鏈、或環分子;
如本文所用,首字母縮略語「DSP」代表二矽代丙烷(disilapropane),更特別是H3 Si-CH2 -SiH3 或其配位基類似物–H2 Si–CH2 –SiH3 或其單體類似物–H2 Si–CH2 –SiH2 -;首字母縮略語「DSB」代表二矽代丁烷(disilabutane),更特別的是H3 Si–CH2 -CH2 -SiH3 或其配位基類似物–H2 Si–CH2 –CH2 -SiH3 或其單體類似物–H2 Si–CH2 –CH2 -SiH2 -;以及縮寫「HNDSP2」、「RNDSP2」、「NDSP3」、「HNDSB2」、「RNDSB2」、以及「NDSB3」分別代表HN(DSP)2 、RN(DSP)2 、N(DSP)3 、HN(DSB)2 、RN(DSB)2 、以及N(DSB)3 ,其中R為所定義者。
如本文中所使用,縮寫「Me」指甲基;縮寫「Et」指乙基;縮寫「Pr」指任何丙基(亦即,正丙基或異丙基);縮寫「iPr」指異丙基;縮寫「Bu」指任何丁基(正丁基、異丁基、第三丁基、第二丁基);縮寫「tBu」指第三丁基;縮寫「sBu」指第二丁基;縮寫「iBu」指異丁基;縮寫「Ph」指苯基;縮寫「Am」指任何戊基(異戊基、第二戊基、第三戊基);縮寫「Cy」指環烴基(環丁基、環戊基、環己基等)。
如本文所用,「無鹵素」用語意義為X為0 ppmw至1000 ppmw、較佳0 ppmw至500 ppmw、以及更佳0 ppmw至100 ppmw之範圍,其中X =Cl、Br、或I)。
本文中使用來自元素週期表之元素的標準縮寫。應理解,可藉由此等縮寫來指代元素(例如Si指矽,N指氮,O指氧,C指碳等)。
請留意說明書以及申請專利範圍全中所列沉積的膜或層,諸如氧化矽,不參考其適當化學計量(亦即SiO2 )。該層可以包括純(Si)層、矽化物(Mo Sip )層、碳化物(Sio Cp )層、氮化物(Sik Nl )層、氧化物(Sin Om )層、或其混合物;其中M為元素且k、l、m、n、o、以及p包括1至6的範圍。例如,矽化鈷為Cok Sil ,其中k以及l每一個為0.5至5的範圍。同樣的,任何參考層也可包括氧化矽層Sin Om 、其中n為0.5至1.5的範圍以及m為1.5至3.5的範圍。更佳者,氧化矽層為SiO2 。氧化矽層可為基於氧化矽的介電材料,諸如基於有機物或基於氧化矽的低-k介電材料,諸如應用材料公司(Applied Materials, Inc)的Black Diamond II或III材料。可替代的,任何參考含矽層可以為純矽。任何含矽層也可以包括摻雜劑,諸如B、C、P、As及/或Ge。
本文所述任何以及所有範圍包括其端點(亦即,x=1至4包括x=1、x=4、以及x=任何在其中間的數目值),無論是否使用「包括性」用語。
揭示的形成含矽膜之組成物包含碳矽氮烷或聚碳矽氮烷(或聚有機矽氮烷)前驅物。也揭示合成碳矽氮烷或聚碳矽氮烷前驅物的方法以及使用彼等沉積含矽膜用於製造半導體的方法。
所揭示前驅物具有下式: Ra N(R4 R5 Si(CH2 )m SiR1 R2 R3 )3-a (I) 或具有下式的單元: [–NR–R4 R5 Si–(CH2 )t –SiR2 R3 –]n (II) 其中a = 0至1;m = 1至4;t = 1至4;n = 2至400; R1 、R2 、R3 、R4 、以及R5 獨立地為H、烴基(C1 至C6 )、或具有式NR”2 的烷胺基且及每一個R”獨立地為H、C1 -C6 烴基、C6 -C12 芳基、或NR”2 形成環胺基,且其限制條件為R1 、R2 、R3 、R4 、以及R5 中至少一者為H;以及 R為H;C1 -C6 烴基;具有式Six R’2x+1 的矽基,其中x = 1至4且每一個R’獨立地=H、C1 -C6 烴基、或具有式NR”2 的烷胺基且每一個R”獨立地為H、C1 -C6 基、C6 -C12 芳基、或NR”2 形成環胺基;或R1’ R2’ R3’ Si(CH2 )b SiR4’ R5’ 基,其中b = 1至2且R1’ 、R2’ 、R3’ 、R4’ 、以及R5’ 獨立地為H、C1 -C6 烴基、C6 -C12 芳基、或具有式NR”2 的烷胺基且每一個R”獨立地為H、C1 -C6 基、C6 -C12 芳基、或NR”2 形成環胺基;且其限制條件為R1’ 、R2’ 、R3’ 、R4’ 、以及R5’ 中至少一者為H。較佳者,m=1至2且t=1至2。烷胺基的R”可經連接而在N原子上形成環鏈。舉例而言,NR”2 可形成吡啶、吡咯、吡咯啶、或咪唑環結構。當前驅物在式(I)中含有6個H(亦即, R、R1 、R2 、R3 、R4 以及R5 每一個獨立地為H)或在式(II)中每一個N-Si-C-Si或N-Si-C-C-Si骨架單元含有5個H(亦即, R、R2 、R3 、R4 以及R5 每一個獨立地為H),前驅物可具有改良揮發性。
式(I)以及(II)顯示所揭示前驅物提供製造形成含矽膜之組成物的彈性,該組成物視應用而定特定地具有一種元素重量多於其餘元素重量。舉例而言,如果膜中以更多Si較佳,R可為具有式Six H2x+1 (x=1至4)的矽烷或R1’ R2’ R3’ Si(CH2 )b SiR4’ R5’ 基,其中b = 1至2。如果膜中以更多N較佳,R、R1 、R2 、R3 、R4 、以及R5 每一個獨立地為具有式NR”2 的烷胺基。如果膜中以更多C較佳,R、R1 、R2 、R3 、R4 、以及R5 可為烴基(C1至C12)或在骨架單元中形成長碳連接鏈,諸如N-Si-Cc -Si(c = 1至2)。
所揭示前驅物不含矽-鹵素鍵是很重要的,因為鹵素可能損害基材內其它層(例如低k層、銅互連層等)。所揭示形成含矽膜之組成物為無鹵素且能夠在半導體裝置、半導體元件、電子元件以及層狀材料之上形成全域平面化、熱穩定性以及黏著性介電層以及其它似介電層或材料。
揭示的前驅物可含有一或二個直接鍵結至Si原子的氫原子。所揭示前驅物的Si-H鍵可有助於提供相較於類似含矽-鹵素前驅物在ALD方法中每個循環更大的成長率,這是因為H原子占據較少表面積而造成基材表面更多分子的緣故。含有SiH鍵(亦即,氫化物官能基)可能產生較小的立體位阻效應,相較於不含有SiH鍵的前驅物,此可提供前驅物對基材較高反應性。此等Si-H鍵可有助於增加前驅物的揮發性,這對氣相沉積方法是重要的。結果,對氣相沉積方法而言,所揭示式(I)前驅物中,R1 、R2 、或R3 中至少一者較佳 = H且R4 或R5 中至少一者較佳 = H。
揭示的前驅物可含有一、二或三個直接鍵結於Si原子的胺基。此等Si-N鍵可有助於增加前驅物熱穩定性,這對氣相沉積方法也很重要。胺基也可有助於將N以及C原子併入所得膜中,可使所得膜對任何後續蝕刻方法更具耐受性。
一般技藝人士會理解,Si-H鍵提供的揮發性直接與胺基提供的熱穩定性競爭。申請人相信,至少HN(SiH(NiPr2 )­CH2 ­SiH3 )2 以及HN(SiH2 ­CH2 ­SiH2 (NiPr2 ))2 會成功地使該競爭性質達成平衡。
一般技藝人士會理解,其中m=1的具體實例可產生具有較高揮發性以及較低熔點的前驅物,適合用於氣相沉積。當所得含矽膜也含有碳,其中m=2的具體實例也適合用於氣相沉積。其中m=3或t=1-3的具體實例可適合用於鑄造沉積方法,諸如旋塗或浸漬塗佈。
一些所揭示形成含矽膜之組成物具有適合用於氣相沉積方法的性質,諸如高蒸氣壓、低熔點(較佳在室溫下為液體形式)、低昇華點、以及高熱穩定性。形成含矽膜之組成物較佳在產生1-5 Torr蒸氣壓溫度下係穩定的。適合氣相沉積的所揭示形成含矽膜之組成物中的碳矽氮烷或聚碳矽氮烷(或聚有機矽氮烷)前驅物典型地具有約略150至約略600、較佳約略200至約略400範圍的分子量。
一些所揭示形成含矽膜之組成物具有適合用於旋轉塗佈、噴霧塗佈、浸漬塗佈、或狹縫塗佈方法的性質,諸如低蒸氣壓、低熔點(較佳在室溫下為液體形式)、以及在習用塗佈方法的優良溶解度。適合用於此等沉積技術的所揭示形成含矽膜之組成物中碳矽氮烷或聚碳矽氮烷(或聚有機矽氮烷)前驅物典型地具有分子量約略500至約略1,000,000、較佳約略1,000至約略100,000、以及更佳3,000至50,000範圍的分子量。
當a=0,式(I)呈現的所揭示碳矽氮烷前驅物具有下式: N(SiR4 R5 (CH2 )m SiR1 R2 R3 )3 (III)。
當m = 1、以及R1 、R2 、R3 、R4 以及R5 = H時,所揭示式(III)代表的前驅物為三(1,3-二矽代丙烷)胺[N(SiH2 -CH2 -SiH3 )3 或NDSP3]。如以下實例所示,至少部分因為以上討論關於SiH鍵以及低分子量的好處之故,此液體前驅物適合用於氣相沉積應用。
式(III)中所呈現的示範性前驅物(其中m = 1,R1 、R2 、R3 以及R4 = H)包括,但不限於N(SiH(CH2 =CH)-CH2 -SiH3 )3 、N(SiH(CH2 =CH-CH2 )-CH2 -SiH3 )3 、N(SiH(NH2 )-CH2 -SiH3 )3 、N(SiH(NMe2 )­CH2 ­SiH3 )3 、N(SiH(NMeEt)­CH2 ­SiH3 )3 、N(SiH(NEt2 )­CH2 ­SiH3 )3 、N(SiH(NnPr2 )­CH2 ­SiH3 )3 、N(SiH(NiPr2 )­CH2 ­SiH3 )3 、N(SiH(NBu2 )­CH2 ­SiH3 )3 、N(SiH(NiBu2 )­CH2 ­SiH3 )3 、N(SiH(NtBu2 )­CH2 -SiH3 )3 、N(SiH(NAm2 )­CH2 ­SiH3 )3 、N(SiH(NCy戊基2 )-CH2 -SiH3 )3 、N(SiH(N己基2 )­CH2 ­SiH3 )3 、N(SiH(NCyHex2 )-CH2 -SiH3 )3 、N(SiH(NMeH)­CH2 ­SiH3 )3 、N(SiH(NEtH)-CH2 -SiH3 )3 、N(SiH(NnPrH)­CH2 ­SiH3 )3 、N(SiH(NiPrH)­CH2 -SiH3 )3 、N(SiH(NBuH)­CH2 ­SiH3 )3 、N(SiH(NiBuH)­CH2 -SiH3 )3 、N(SiH(NtBuH)­CH2 ­SiH3 )3 、N(SiH(NAmH)­CH2 -SiH3 )3 、N(SiH(吡啶)­CH2 -SiH3 )3 、N(SiH(吡咯)­CH2 -SiH3 )3 、N(SiH(吡咯啶)­CH2 -SiH3 )3 、以及N(SiH(咪唑)­CH2 -SiH3 )3
式(III)所呈現的示範性前驅物(其中m = 1,R2 、R3 、R4 以及R5 = H)包括,但不限於N(SiH2 -CH2 -SiH2 (CH2 =CH))3 、 N(SiH2 -CH2 -SiH2 (CH2 =CH-CH2 ))3 、N(SiH2 -CH2 -SiH2 (NH2 ))3 、N(SiH2 ­CH2 ­SiH2 (NMe2 ))3 、N(SiH2 ­CH2 ­SiH2 (NMeEt))3 、N(SiH2 ­CH2 ­SiH2 (NEt2 ))3 、N(SiH2 ­CH2 ­SiH2 (NnPr2 ))3 、N(SiH2 ­CH2 ­SiH2 (NiPr2 ))3 、N(SiH2 ­CH2 ­SiH2 (NBu2 ))3 、N(SiH2 ­CH2 ­SiH2 (NiBu2 ))3 、N(SiH2 ­CH2 -SiH2 (NtBu2 ))3 、N(SiH2 ­CH2 ­SiH2 (NAm2 ))3 、N(SiH2 -CH2 -SiH2 (NCy戊基2 ))3 、N(SiH2 ­CH2 ­SiH2 (N己基2 ))3 、N(SiH2 -CH2 -SiH2 (NCyHex2 ))3 、N(SiH2 ­CH2 ­SiH2 (NMeH))3 、N(SiH2 -CH2 -SiH2 (NEtH))3 、N(SiH2 ­CH2 ­SiH2 (NnPrH))3 、N(SiH2 ­CH2 -SiH2 (NiPrH))3 、N(SiH2 ­CH2 ­SiH2 (NBuH))3 、N(SiH2 ­CH2 -SiH2 (NiBuH))3 、N(SiH2 ­CH2 ­SiH2 (NtBuH))3 、N(SiH2 ­CH2 -SiH2 (NAmH))3 、N(SiH2 ­CH2 -SiH2 (吡啶))3 、N(SiH2 ­CH2 -SiH2 (吡咯))3 、N(SiH2 ­CH2 -SiH2 (吡咯啶))3 、以及N(SiH2 ­CH2 -SiH2 (咪唑))3 。至少部分因為以上討論關於SiH鍵以及低分子量的好處之故,此等前驅物適合用於氣相沉積。末端胺基配位基也可對所得膜提供改良的熱穩定性、以及額外的N以及/或C來源。
式(III)所呈現的示範性前驅物(其中m = 1,R1 、R2 以及R3 =H)包括但不限於N(Si(CH2 =CH)2 -CH2 -SiH3 )3 、N(Si(CH2 =CH-CH2 )2 -CH2 -SiH3 )3 、N(Si(NH2 )2 ­CH2 ­SiH3 )3 、N(Si(NMe2 )2 ­CH2 ­SiH3 )3 、N(Si(NMeEt)2 ­CH2 ­SiH3 )3 、N(SiNEt2 ­CH2 ­SiH3 )3 、N(Si(NnPr2 )2 ­CH2 ­SiH3 )3 、N(Si(NiPr2 )2 ­CH2 ­SiH3 )3 、N(Si(NBu2 )2 ­CH2 ­SiH3 )3 、N(Si(NiBu2 )2 ­CH2 ­SiH3 )3 、N(Si(NtBu2 )2 ­CH2 -SiH3 )3 、N(Si(NAm2 )2 ­CH2 ­SiH3 )3 、N(Si(NCy戊基2 )2 -CH2 -SiH3 )3 、N(Si(N己基2 )2 ­CH2 ­SiH3 )3 、N(Si(NCy己基2 )2 -CH2 -SiH3 )3 、N(Si(NMeH)2 ­CH2 ­SiH3 )3 、N(Si(NEtH)2 -CH2 -SiH3 )3 、N(Si(NnPrH)2 ­CH2 ­SiH3 )3 、N(Si(NiPrH)2 ­CH2 -SiH3 )3 、N(Si(NBuH)2 ­CH2 ­SiH3 )3 、N(Si(NiBuH)2 ­CH2 -SiH3 )3 、N(Si(NtBuH)2 ­CH2 ­SiH3 )3 、N(Si(NAmH)2 ­CH2 -SiH3 )3 、N(Si(吡啶)2 ­CH2 -SiH3 )3 、N(Si(吡咯)2 ­CH2 -SiH3 )3 、N(Si(吡咯啶)2 ­CH2 -SiH3 )3 、以及N(Si(咪唑)2 ­CH2 -SiH3 )3
式(III)所呈現的示範性前驅物(其中m = 1,R2 、R3 以及R4 = H)包括但不限於N(SiH(CH2 =CH)-CH2 -SiH2 (CH2 =CH))3 、N(SiH(CH2 =CH-CH2 )-CH2 -SiH2 (CH2 =CH-CH2 ))3 、N(SiH(NH2 )-CH2 -SiH2 (NH2 ))3 、N(SiH(NMe2 )­CH2 ­SiH2 (NMe2 ))3 、N(SiH(NMeEt)­CH2 ­SiH2 (NMeEt))3 、N(SiH(NEt2 )­CH2 ­SiH2 (NEt2 ))3 、N(SiH(NnPr2 )­CH2 ­SiH2 (NnPr2 ))3 、N(SiH(NiPr2 )­CH2 ­SiH2 (NiPr2 ))3 、N(SiH(NBu2 )­CH2 ­SiH2 (NBu2 ))3 、N(SiH(NiBu2 )­CH2 ­SiH2 (NiBu2 ))3 、N(SiH(NtBu2 )­CH2 -SiH2 (NtBu2 ))3 、N(SiH(NAm2 )­CH2 ­SiH2 (NAm2 ))3 、N(SiH(NCy戊基2 )-CH2 -SiH2 (NCy戊基2 ))3 、N(SiH(N己基2 )­CH2 ­SiH2 (N己基2 ))3 、N(SiH(NCy己基2 )-CH2 -SiH2 (NCy己基2 ))3 、N(SiH(NMeH)­CH2 ­SiH2 (NMeH))3 、N(SiH(NEtH)-CH2 -SiH2 (NEtH))3 、N(SiH(NnPrH)­CH2 ­SiH2 (NnPrH))3 、N(SiH(NiPrH)­CH2 -SiH2 (NiPrH))3 、N(SiH(NBuH)­CH2 ­SiH2 (NBuH))3 、N(SiH(NiBuH)­CH2 -SiH2 (NiBuH))3 、N(SiH(NtBuH)­CH2 ­SiH2 (NtBuH))3 、N(SiH(NAmH)­CH2 -SiH2 (NAmH))3 、N(SiH(吡啶)­CH2 -SiH2 (吡啶))3 、N(SiH(吡咯)­CH2 -SiH2 (吡咯))3 、N(SiH(吡咯啶)­CH2 -SiH2 (吡咯啶))3 、以及N(SiH(咪唑)­CH2 -SiH2 (咪唑))3
式(III)所呈現的示範性前驅物(其中m =1,R3 、R4 以及R5 = H)包括但不限於N(SiH2 -CH2 -SiH(CH2 =CH)2 )3 、N(SiH2 -CH2 -SiH(CH2 =CH-CH2 )2 )3 、N(SiH2 -CH2 -SiH(NH2 )2 )3 、N(SiH2 ­CH2 ­SiH(NMe2 )2 )3 、N(SiH2 ­CH2 ­SiH(NMeEt)2 )3 、N(SiH2 ­CH2 ­SiH(NEt2 )2 )3 、N(SiH2 ­CH2 ­SiH(NnPr2 )2 )3 、N(SiH2 ­CH2 ­SiH(NiPr2 )2 )3 、N(SiH2 ­CH2 ­SiH(NBu2 )2 )3 、N(SiH2 ­CH2 ­SiH(NiBu2 )2 )3 、N(SiH2 ­CH2 -SiH(NtBu2 )2 )3 、N(SiH2 ­CH2 ­SiH(NAm2 )2 )3 、N(SiH2 -CH2 -SiH(NCy戊基2 )2 )3 、N(SiH2 ­CH2 ­SiH(N己基2 )2 )3 、N(SiH2 -CH2 -SiH(NCy己基2 )2 )3 、N(SiH2 ­CH2 ­SiH(NMeH)2 )3 、N(SiH2 -CH2 -SiH(NEtH)2 )3 、N(SiH2 ­CH2 ­SiH(NnPrH)2 )3 、N(SiH2 ­CH2 -SiH(NiPrH)2 )3 、N(SiH2 ­CH2 ­SiH(NBuH)2 )3 、N(SiH2 ­CH2 -SiH(NiBuH)2 )3 、N(SiH2 ­CH2 ­SiH(NtBuH)2 )3 、N(SiH2 ­CH2 -SiH(NAmH)2 )3 、N(SiH2 ­CH2 -SiH(吡啶)2 )3 、N(SiH2 ­CH2 -SiH(吡咯)2 )3 、N(SiH2 ­CH2 -SiH(吡咯啶)2 )3 、以及N(SiH2 ­CH2 -SiH(咪唑)2 )3 。至少部分基於以上討論關於SiH鍵的好處之故,此等前驅物可適合用於氣相沉積或塗佈應用。末端胺基配位基也可對所得膜提供改良熱穩定性、以及額外的N及/或C來源。最後,所列具有較低的分子量以及較高蒸氣壓的前驅物較適合用於氣相沉積技術,而該等具有較高分子量者較佳適合用於塗佈技術。
式(III)所呈現的示範性前驅物(其中m = 1,R4 以及R5 =H)包括但不限於N(SiH2 -CH2 -Si(CH2 =CH)3 )3 、N(SiH2 -CH2 -Si(CH2 =CH-CH2 )3 )3 、N(SiH2 -CH2 -Si(NH2 )3 )3 、N(SiH2 ­CH2 ­Si(NMe2 )3 )3 、N(SiH2 ­CH2 ­Si(NMeEt)3 )3 、N(SiH2 ­CH2 ­Si(NEt2 )3 )3 、N(SiH2 ­CH2 ­Si(NnPr2 )3 )3 、N(SiH2 ­CH2 ­Si(NiPr2 )3 )3 、N(SiH2 ­CH2 ­Si(NBu2 )3 )3 、N(SiH2 ­CH2 ­Si(NiBu2 )3 )3 、N(SiH2 ­CH2 -Si(NtBu2 )3 )3 、N(SiH2 ­CH2 ­Si(NAm2 )3 )3 、N(SiH2 -CH2 -Si(NCy戊基2 )3 )3 、N(SiH2 ­CH2 ­Si(N己基2 )3 )3 、N(SiH2 -CH2 -Si(NCy己基2 )3 )3 、N(SiH2 ­CH2 ­Si(NMeH)3 )3 、N(SiH2 -CH2 -Si(NEtH)3 )3 、N(SiH2 ­CH2 ­Si(NnPrH)3 )3 、N(SiH2 ­CH2 -Si(NiPrH)3 )3 、N(SiH2 ­CH2 ­Si(NBuH)3 )3 、N(SiH2 ­CH2 -Si(NiBuH)3 )3 、N(SiH2 ­CH2 ­Si(NtBuH)3 )3 、N(SiH2 ­CH2 -Si(NAmH)3 )3 、N(SiH2 ­CH2 -Si(吡啶)3 )3 、N(SiH2 ­CH2 -Si(吡咯)3 )3 、N(SiH2 ­CH2 -Si(吡咯啶)3 )3 、以及N(SiH2 ­CH2 -Si(咪唑)3 )3 。至少部分基於以上討論關於SiH鍵的好處之故,此等前驅物可適合用於氣相沉積或塗佈應用。末端胺基配位基也可對所得膜提供改良熱穩定性、以及額外的N及/或C來源。最後,所列具有較低分子量以及較高蒸氣壓的前驅物較佳適合用於氣相沉積技術,而該等具有較高分子量者較佳適合用於塗佈技術。
當m = 2,R1 、R2 、R3 、R4 以及R5 = H,所揭示碳矽氮烷前驅物為三(1,4-二矽代丁烷)胺[N(SiH2 -CH2 -CH2 -SiH3)3 or NDSB3]。至少部分基於以上討論關於SiH鍵以及低分子量的好處之故,此液體前驅物適合用於氣相沉積。
式(III)所呈現的示範性前驅物(其中m =2,R1 、R2 、R3 以及R4 = H)包括但不限於N(SiH(CH2 =CH)-CH2 -CH2 -SiH3 )3 、N(SiH(CH2 =CH-CH2 )-CH2 -CH2 -SiH3 )3 、N(SiH(NH2 )-CH2 -CH2 -SiH3 )3 、N(SiH(NMe2 )­CH2 ­CH2 ­SiH3 )3 、N(SiH(NMeEt)­CH2 ­CH2 ­SiH3 )3 、N(SiH(NEt2 )­CH2 ­CH2 ­SiH3 )3 、N(SiH(NnPr2 )­CH2 ­CH2 ­SiH3 )3 、N(SiH(NiPr2 )­CH2 ­CH2 ­SiH3 )3 、N(SiH(NBu2 )­CH2 ­CH2 ­SiH3 )3 、N(SiH(NiBu2 )­CH2 ­CH2 ­SiH3 )3 、N(SiH(NtBu2 )­CH2 -CH2 ­SiH3 )3 、N(SiH(NAm2 )­CH2 ­CH2 ­SiH3 )3 、N(SiH(NCy戊基2 )-CH2 -CH2 ­SiH3 )3 、N(SiH(N己基2 )­CH2 ­CH2 ­SiH3 )3 、N(SiH(NCy己基2 )-CH2 -CH2 ­SiH3 )3 、N(SiH(NMeH)­CH2 ­CH2 ­SiH3 )3 、N(SiH(NEtH)-CH2 -CH2 ­SiH3 )3 、N(SiH(NnPrH)­CH2 ­CH2 ­SiH3 )3 、N(SiH(NiPrH)­CH2 -CH2 ­SiH3 )3 、N(SiH(NBuH)­CH2 ­CH2 ­SiH3 )3 、N(SiH(NiBuH)­CH2 -CH2 ­SiH3 )3 、N(SiH(NtBuH)­CH2 ­CH2 ­SiH3 )3 、N(SiH(NAmH)­CH2 -CH2 ­SiH3 )3 、N(SiH(吡啶)­CH2 -CH2 ­SiH3 )3 、N(SiH(吡咯)­CH2 -CH2 ­SiH3 )3 、N(SiH(吡咯啶)­CH2 -CH2 ­SiH3 )3 、以及N(SiH(咪唑)­CH2 -CH2 ­SiH3 )3
式(III)所呈現的示範性前驅物(其中m = 2,R2 、R3 、R4 以及R5 = H)包括但不限於N(SiH2 -CH2 -CH2 -SiH2 (CH2 =CH))3 、N(SiH2 -CH2 -CH2 -SiH2 (CH2 =CH-CH2 ))3 、N(SiH2 -CH2 -CH2 -SiH2 (NH2 ))3 、N(SiH2 ­CH2 ­CH2 -SiH2 (NMe2 ))3 、N(SiH2 ­CH2 ­CH2 -SiH2 (NMeEt))3 、N(SiH2 ­CH2 ­CH2 -SiH2 (NEt2 ))3 、N(SiH2 ­CH2 ­CH2 -SiH2 (NnPr2 ))3 、N(SiH2 ­CH2 ­CH2 -SiH2 (NiPr2 ))3 、N(SiH2 ­CH2 ­CH2 -SiH2 (NBu2 ))3 、N(SiH2 ­CH2 ­CH2 -SiH2 (NiBu2 ))3 、N(SiH2 ­CH2 -CH2 -SiH2 (NtBu2 ))3 、N(SiH2 ­CH2 ­CH2 -SiH2 (NAm2 ))3 、N(SiH2 -CH2 -CH2 -SiH2 (NCy戊基2 ))3 、N(SiH2 ­CH2 ­CH2 -SiH2 (N己基2 ))3 、N(SiH2 -CH2 -CH2 -SiH2 (NCy己基2 ))3 、N(SiH2 ­CH2 ­CH2 -SiH2 (NMeH))3 、N(SiH2 -CH2 -CH2 -SiH2 (NEtH))3 、N(SiH2 ­CH2 ­CH2 -SiH2 (NnPrH))3 、N(SiH2 ­CH2 -CH2 -SiH2 (NiPrH))3 、N(SiH2 ­CH2 ­CH2 -SiH2 (NBuH))3 、N(SiH2 ­CH2 -CH2 -SiH2 (NiBuH))3 、N(SiH2 ­CH2 ­CH2 -SiH2 (NtBuH))3 、N(SiH2 ­CH2 -CH2 -SiH2 (NAmH))3 、N(SiH2 ­CH2 -CH2 -SiH2 (吡啶))3 、N(SiH2 ­CH2 -CH2 -SiH2 (吡咯))3 、N(SiH2 ­CH2 -CH2 -SiH2 (吡咯啶))3 、以及N(SiH2 ­CH2 -CH2 -SiH2 (咪唑))3 。至少部分基於以上討論關於SiH鍵以及低分子量的好處,此等前驅物可適合用於氣相沉積應用。末端胺基配位基也可對所得膜提供改良熱穩定性、以及額外的N及/或C來源。
式(III)代表的示範性前驅物(其中m = 2,R1 、R2 以及R3 = H)其包括但不限於N(Si(CH2 =CH)2 -CH2 -CH2 -SiH3 )3 、N(Si(CH2 =CH-CH2 )2 -CH2 -CH2 -SiH3 )3 、N(Si(NH2 )2 ­CH2 ­CH2 ­SiH3 )3 、N(Si(NMe2 )2 ­CH2 ­CH2 ­SiH3 )3 、N(Si(NMeEt)2 ­CH2 ­CH2 ­SiH3 )3 、N(SiNEt2 ­CH2 ­CH2 ­SiH3 )3 、N(Si(NnPr2 )2 ­CH2 ­CH2 ­SiH3 )3 、N(Si(NiPr2 )2 ­CH2 ­CH2 ­SiH3 )3 、N(Si(NBu2 )2 ­CH2 ­CH2 ­SiH3 )3 、N(Si(NiBu2 )2 ­CH2 ­CH2 ­SiH3 )3 、N(Si(NtBu2 )2 ­CH2 -CH2 ­SiH3 )3 、N(Si(NAm2 )2 ­CH2 ­CH2 ­SiH3 )3 、N(Si(NCy戊基2 )2 -CH2 -CH2 ­SiH3 )3 、N(Si(N己基2 )2 ­CH2 ­CH2 ­SiH3 )3 、N(Si(NCy己基2 )2 -CH2 -CH2 ­SiH3 )3 、N(Si(NMeH)2 ­CH2 ­CH2 ­SiH3 )3 、N(Si(NEtH)2 -CH2 -CH2 ­SiH3 )3 、N(Si(NnPrH)2 ­CH2 ­CH2 ­SiH3 )3 、N(Si(NiPrH)2 ­CH2 -CH2 ­SiH3 )3 、N(Si(NBuH)2 ­CH2 ­CH2 ­SiH3 )3 、N(Si(NiBuH)2 ­CH2 -CH2 ­SiH3 )3 、N(Si(NtBuH)2 ­CH2 ­CH2 ­SiH3 )3 、N(Si(NAmH)2 ­CH2 -CH2 ­SiH3 )3 、N(Si(吡啶)2 ­CH2 -CH2 ­SiH3 )3 、N(Si(吡咯)2 ­CH2 -CH2 ­SiH3 )3 、N(Si(吡咯啶)2 ­CH2 -CH2 ­SiH3 )3 、以及N(Si(咪唑)2 ­CH2 -CH2 ­SiH3 )3
式(III)所呈現的示範性前驅物(其中m = 2,R2 、R3 以及R4 = H)包括但不限於N(SiH(CH2 =CH)-CH2 -CH2 -SiH2 (CH2 =CH))3 、N(SiH(CH2 =CH-CH2 )-CH2 -CH2 -SiH2 (CH2 =CH-CH2 ))3 、N(SiH(NH2 )-CH2 -CH2 -SiH2 (NH2 ))3 、N(SiH(NMe2 )­CH2 ­CH2 -SiH2 (NMe2 ))3 、N(SiH(NMeEt)­CH2 ­CH2 -SiH2 (NMeEt))3 、N(SiH(NEt2 )­CH2 ­CH2 -SiH2 (NEt2 ))3 、N(SiH(NnPr2 )­CH2 ­CH2 -SiH2 (NnPr2 ))3 、N(SiH(NiPr2 )­CH2 ­CH2 -SiH2 (NiPr2 ))3 、N(SiH(NBu2 )­CH2 ­CH2 -SiH2 (NBu2 ))3 、N(SiH(NiBu2 )­CH2 ­CH2 -SiH2 (NiBu2 ))3 、 N(SiH(NtBu2 )­CH2 -CH2 -SiH2 (NtBu2 ))3 、N(SiH(NAm2 )­CH2 ­CH2 -SiH2 (NAm2 ))3 、N(SiH(NCy戊基2 )-CH2 -CH2 -SiH2 (NCy戊基2 ))3 、N(SiH(N己基2 ) ­CH2 ­CH2 -SiH2 (N己基2 ))3 、N(SiH(NCy己基2 )-CH2 -CH2 -SiH2 (NCy己基2 ))3 、N(SiH(NMeH)­CH2 ­CH2 -SiH2 (NMeH))3 、N(SiH(NEtH)-CH2 -CH2 -SiH2 (NEtH))3 、N(SiH(NnPrH)­CH2 ­CH2 -SiH2 (NnPrH))3 、N(SiH(NiPrH)­CH2 -CH2 -SiH2 (NiPrH))3 、N(SiH(NBuH)­CH2 ­CH2 -SiH2 (NBuH))3 、N(SiH(NiBuH)­CH2 -CH2 -SiH2 (NiBuH))3 、N(SiH(NtBuH)­CH2 ­CH2 -SiH2 (NtBuH))3 、N(SiH(NAmH)­CH2 -CH2 -SiH2 (NAmH))3 、N(SiH(吡啶)­CH2 -CH2 -SiH2 (吡啶))3 、N(SiH(吡咯)­CH2 -CH2 -SiH2 (吡咯))3 、N(SiH(吡咯啶)­CH2 -CH2 -SiH2 (吡咯啶))3 、以及N(SiH(咪唑)­CH2 -CH2 -SiH2 (咪唑))3
式(III)所呈現的示範性前驅物(其中m = 2,R3 、R4 以及R5 = H)包括但不限於N(SiH2 -CH2 -CH2 -SiH(CH2 =CH)2 )3 、N(SiH2 -CH2 -CH2 -SiH(CH2 =CH-CH2 )2 )3 、N(SiH2 -CH2 -CH2 -SiH(NH2 )2 )3 、N(SiH2 ­CH2 ­CH2 -SiH(NMe2 )2 )3 、N(SiH2 ­CH2 ­CH2 -SiH(NMeEt)2 )3 、N(SiH2 ­CH2 ­CH2 -SiH(NEt2 )2 )3 、N(SiH2 ­CH2 ­CH2 -SiH(NnPr2 )2 )3 、N(SiH2 ­CH2 ­CH2 -SiH(NiPr2 )2 )3 、N(SiH2 ­CH2 ­CH2 -SiH(NBu2 )2 )3 、N(SiH2 ­CH2 ­CH2 -SiH(NiBu2 )2 )3 、N(SiH2 ­CH2 -CH2 -SiH(NtBu2 )2 )3 、N(SiH2 ­CH2 ­CH2 -SiH(NAm2 )2 )3 、N(SiH2 -CH2 -CH2 -SiH(NCy戊基2 )2 )3 、N(SiH2 ­CH2 ­CH2 -SiH(N己基2 )2 )3 、N(SiH2 -CH2 -CH2 -SiH(NCy己基2 )2 )3 、N(SiH2 ­CH2 ­CH2 -SiH(NMeH)2 )3 、N(SiH2 -CH2 -CH2 -SiH(NEtH)2 )3 、N(SiH2 ­CH2 ­CH2 -SiH(NnPrH)2 )3 、N(SiH2 ­CH2 -CH2 -SiH(NiPrH)2 )3 、N(SiH2 ­CH2 ­CH2 -SiH(NBuH)2 )3 、N(SiH2 ­CH2 -CH2 -SiH(NiBuH)2 )3 、N(SiH2 ­CH2 ­CH2 -SiH(NtBuH)2 )3 、N(SiH2 ­CH2 -CH2 -SiH(NAmH)2 )3 、N(SiH2 ­CH2 -CH2 -SiH(吡啶)2 )3 、N(SiH2 ­CH2 -CH2 -SiH(吡咯)2 )3 、N(SiH2 ­CH2 -CH2 -SiH(吡咯啶)2 )3 、以及N(SiH2 ­CH2 -CH2 -SiH(咪唑)2 )3 。至於部分基於以上討論關於SiH鍵的好處之故,此等前驅物可適合用於氣相沉積或塗佈應用。末端胺基配位基也可對所得膜提供以上討論的改良熱穩定性、以及額外的N及/或C來源。最後,所列具有較低分子量以及較高蒸氣壓的前驅物較佳適合用於氣相沉積技術,而該等具有較高分子量者較佳適合用於塗佈技術。
式(III)所呈現的示範性前驅物(其中m = 2,R4 以及R5 = H)包括但不限於N(SiH2 -CH2 -CH2 -Si(CH2 =CH)3 )3 、N(SiH2 -CH2 -CH2 -Si(CH2 =CH-CH2 )3 )3 、N(SiH2 -CH2 -CH2 -Si(NH2 )3 )3 、N(SiH2 ­CH2 ­CH2 -Si(NMe2 )3 )3 、N(SiH2 ­CH2 ­CH2 -Si(NMeEt)3 )3 、N(SiH2 ­CH2 ­CH2 -Si(NEt2 )3 )3 、N(SiH2 ­CH2 ­CH2 -Si(NnPr2 )3 )3 、N(SiH2 ­CH2 ­CH2 -Si(NiPr2 )3 )3 、N(SiH2 ­CH2 ­CH2 -Si(NBu2 )3 )3 、N(SiH2 ­CH2 ­CH2 -Si(NiBu2 )3 )3 、N(SiH2 ­CH2 -CH2 -Si(NtBu2 )3 )3 、N(SiH2 ­CH2 ­CH2 -Si(NAm2 )3 )3 、N(SiH2 -CH2 -CH2 -Si(NCy戊基2 )3 )3 、N(SiH2 ­CH2 ­CH2 -Si(N己基2 )3 )3 、N(SiH2 -CH2 -CH2 -Si(NCy己基2 )3 )3 、N(SiH2 ­CH2 ­CH2 -Si(NMeH)3 )3 、N(SiH2 -CH2 -CH2 -Si(NEtH)3 )3 、N(SiH2 ­CH2 ­CH2 -Si(NnPrH)3 )3 、N(SiH2 ­CH2 -CH2 -Si(NiPrH)3 )3 、N(SiH2 ­CH2 ­CH2 -Si(NBuH)3 )3 、N(SiH2 ­CH2 -CH2 -Si(NiBuH)3 )3 、N(SiH2 ­CH2 ­CH2 -Si(NtBuH)3 )3 、N(SiH2 ­CH2 -CH2 -Si(NAmH)3 )3 、N(SiH2 ­CH2 -CH2 -Si(吡啶)3 )3 、N(SiH2 ­CH2 -CH2 -Si(吡咯)3 )3 、N(SiH2 ­CH2 -CH2 -Si(吡咯啶)3 )3 、以及N(SiH2 ­CH2 -CH2 -Si(咪唑)3 )3 。至少部分基於以上討論關於SiH鍵的好處,此等前驅物適合用於塗佈應用。末端胺基配位基也可對所得膜提供額外的N及/或C來源。
當a = 1,式(I)呈現的所揭示碳矽氮烷前驅物具有下式: RN(SiR4 R5 (CH2 )m SiR1 R2 R3 )2 (IV)
當m = 1且R、R1 、R2 、R3 、R4 以及R5 = H,式(IV)所呈現揭示的前驅物為雙(1,3-二矽代丙烷)胺[HN(SiH2 -CH2 -SiH3 )2 或NDSP2]。NDSP2為揮發性且含有許多Si-H鍵,使其對基材表面更具反應性。結果,此前驅物適合用於氣相沉積方法以及、更特別的是,用於ALD方法。申請人相信,此前驅物在使用N2 的PEALD方法中可甚至有足夠反應性而接附至Si-Cl封端或甚至Si封端基材表面。
當m = 1;R1 、R2 、R3 、R4 以及R5 = H;以及R = Six H2x+1 (x = 1至4),式(IV)呈現的所揭示碳矽氮烷前驅物為SiH3 N(SiH2 -CH2 -SiH3 )2 、Si2 H5 N(SiH2 -CH2 -SiH3 )2 、Si3 H7 N(SiH2 -CH2 -SiH3 )2 、以及Si4 H9 N(SiH2 -CH2 -SiH3 )2 。至少部分基於以上討論關於SiH鍵的好處,此等前驅物可適合用於氣相沉積應用。額外的N-Si鍵使此等前驅物比該等具有N-H鍵者更穩定,但是比該等具有N-C鍵者更具反應性。結果,當聚合反應需要中等條件時,此等前驅物可能為所欲的。無碳Six H2x+1 也可能比其中R=H或烷基的相應分子造成更多Si。
當m = 1;R1 、R2 、R3 、R4 以及R5 = H;以及R = SiHz (Cy H2y+1 )3-z ( y = 1至6、z= 0至2),式(IV)呈現的所揭示碳矽氮烷前驅物包括但不限於(SiMe3 )N(SiH2 ­CH2 ­SiH3 )2 、(SiEt3 )N(SiH2 ­CH2 ­SiH3 )2 、Si(iPr)3 N(SiH2 -CH2 -SiH3 )2 、Si(nPr)3 N(SiH2 -CH2 -SiH3 )2 、Si(Bu)3 N(SiH2 -CH2 -SiH3 )2 、Si(iBu)3 N(SiH2 -CH2 -SiH3 )2 、Si(tBu)3 N(SiH2 -CH2 -SiH3 )2 、Si(戊基)3 N(SiH2 -CH2 -SiH3 )2 、Si(己基)3 N(SiH2 -CH2 -SiH3 )2 、(SiHMe2 )N(SiH2 ­CH2 ­SiH3 )2 、(SiHEt2 )N(SiH2 ­CH2 ­SiH3 )2 、SiH(iPr)2 N(SiH2 -CH2 -SiH3 )2 、SiH(nPr)2 N(SiH2 -CH2 -SiH3 )2 、SiH(Bu)2 N(SiH2 -CH2 -SiH3 )2 、SiH(iBu)2 N(SiH2 -CH2 -SiH3 )2 、SiH(tBu)2 N(SiH2 -CH2 -SiH3 )2 、SiH(戊基)2 N(SiH2 -CH2 -SiH3 )2 、SiH(己基)2 N(SiH2 -CH2 -SiH3 )2 、(SiH2 Me)N(SiH2 ­CH2 ­SiH3 )2 、(SiH2 Et)N(SiH2 ­CH2 ­SiH3 )2 、SiH2 (iPr)N(SiH2 -CH2 -SiH3 )2 、SiH2 (nPr)N(SiH2 -CH2 -SiH3 )2 、SiH2 (Bu)N(SiH2 -CH2 -SiH3 )2 、SiH2 (iBu)N(SiH2 -CH2 -SiH3 )2 、SiH2 (tBu)N(SiH2 -CH2 -SiH3 )2 、SiH2 (戊基)N(SiH2 -CH2 -SiH3 )2 、以及SiH2 (己基)N(SiH2 -CH2 -SiH3 )2 。額外的N-Si鍵使此等前驅物比該等具有N-H鍵者更穩定,但是比該等具有N-C鍵者更具反應性。結果,當聚合反應需要中等條件時,此等前驅物可能為所欲的。可以選定碳鏈長度以提供膜中所欲碳量。最後,具有較低分子量以及較高蒸氣壓的所列前驅物較佳適合用於氣相沉積技術,而該等具有較高分子量者較佳適合用於塗佈技術。
當m = 1;R1 、R2 、R3 、R4 以及R5 = H;以及R =R1’ R2’ R3’ Si(CH2 )b SiR4’ R5’ ,其中b = 1至2且R1’ 、R2’ 、R3’ 、R4’ 、以及R5’ 獨立地為H或C1 -C6 烴基,式(IV)呈現的所揭示碳矽氮烷前驅物,包括但不限於(SiH3 -CH2 -CH2 -SiH2 )N(SiH2 -CH2 -SiH3 )2 、(SiMe3 -CH2 -SiMe2 )N(SiH2 -CH2 -SiH3 )2 、(SiMe3 -CH2 -CH2 -SiMe2 )N(SiH2 -CH2 -SiH3 )2 、(SiEt3 -CH2 -SiEt2 )N(SiH2 -CH2 -SiH3 )2 或(SiEt3 -CH2 -CH2 -SiEt2 )N(SiH2 -CH2 -SiH3 )2
當m = 1;R1 、R2 、R3 、R4 以及R5 = H;以及R = Cy H2y+1 ,其中y = 1至6,式(IV)呈現所揭示碳矽氮烷前驅物包括(Me)N(SiH2 -CH2 -SiH3 )2 、(Et)N(SiH2 -CH2 -SiH3 )2 、(nPr)N(SiH2 -CH2 -SiH3 )2 、(iPr)N(SiH2 -CH2 -SiH3 )2 、(Bu)N(SiH2 -CH2 -SiH3 )2 、(iBu)N(SiH2 -CH2 -SiH3 )2 、(tBu)N(SiH2 -CH2 -SiH3 )2 、(戊基)N(SiH2 -CH2 -SiH3 )2 、以及(己基)N(SiH2 -CH2 -SiH3 )2 。此化合物家族可用於具有碳含量諸如SiOC或SiNC之膜之氣相沉積,因為Si-C鍵(對Si-R而言)並非高度反應性且可能在沉積方法期間原封不動。結果,為了避免太多C沉積,y較佳為1至3。此等前驅物也比DSP3類似物更容易合成,因為對Et、Pr、Bu、戊基、以及己基而言,RNHR2 反應物為為液體。
當m = 1;R1 、R2 、R3 、R4 以及R5 = H;且R = Cx H2x-y ,其中x = 2至6,當x=2-6時y=0或當x=3-6時y=2或當x=4-6時y=4,式(IV)呈現的所揭示碳矽氮烷前驅物包括(乙烯基)N(SiH2 -CH2 -SiH3 )2 、(烯丙基)N(SiH2 -CH2 -SiH3 )2 、(丙二烯)N(SiH2 -CH2 -SiH3 )2 、(丁烯)N(SiH2 -CH2 -SiH3 )2 、(丁二烯)N(SiH2 -CH2 -SiH3 )2 、(丁三烯)N(SiH2 -CH2 -SiH3 )2 、或(己二烯)N(SiH2 -CH2 -SiH3 )2 。此化合物家族也可用於具有碳含量之膜的氣相沉積。額外的,不飽和烴提供化學或物理吸附前驅物之間交聯機會。
當m = 1;R1 、R2 、R3 、R4 以及R5 = H;以及R = SiHx (NR’R”)3-x ,其中x=1或2且R’以及R”獨立地為Me、Et、iPr、或nPr,式(IV)呈現的所揭示碳矽氮烷前驅物包括但不限於(SiH2 NMe2 )N(SiH2 ­CH2 ­SiH3 )2 、(SiH2 NEt2 )N(SiH2 ­CH2 ­SiH3 )2 、(SiH2 NiPr2 )N(SiH2 ­CH2 ­SiH3 )2 、(SiH2 NnPr2 )N(SiH2 ­CH2 ­SiH3 )2 、(SiH2 NMeEt)N(SiH2 ­CH2 ­SiH3 )2 、(SiH(NMe2 )2 )N(SiH2 ­CH2 ­SiH3 )2 、以及SiH(NEt2 )2 )N(SiH2 ­CH2 ­SiH3 )2
式(IV)呈現的示範性碳矽氮烷前驅物,其中m = 1;R1 、R2 、R3 以及R4 = H;以及R = H、Cu H2u+1 、或Siv H2v-1 ,其中 u =1-6以及v = 1-4,其包括但不限於RN(SiH(CH2 =CH)-CH2 -SiH3 )2 、RN(SiH(CH2 =CH-CH2 )-CH2 -SiH3 )2 、RN(SiH(NH2 )-CH2 -SiH3 )2 、RN(SiH(NMe2 )­CH2 ­SiH3 )2 、RN(SiH(NMeEt)­CH2 ­SiH3 )2 、RN(SiH(NEt2 )­CH2 ­SiH3 )2 、RN(SiH(NnPr2 )­CH2 ­SiH3 )2 、RN(SiH(NiPr2 )­CH2 ­SiH3 )2 、RN(SiH(NBu2 )­CH2 ­SiH3 )2 、RN(SiH(NiBu2 )­CH2 ­SiH3 )2 、RN(SiH(NtBu2 )­CH2 -SiH3 )2 、RN(SiH(NAm2 )­CH2 ­SiH3 )2 、RN(SiH(NCy戊基2 )-CH2 -SiH3 )2 、RN(SiH(N己基2 ) ­CH2 ­SiH3 )2 、RN(SiH(NCy己基2 )-CH2 -SiH3 )2 、RN(SiH(NMeH)­CH2 ­SiH3 )2 、RN(SiH(NEtH)-CH2 -SiH3 )2 、RN(SiH(NnPrH)­CH2 ­SiH3 )2 、RN(SiH(NiPrH)­CH2 -SiH3 )2 、RN(SiH(NBuH)­CH2 ­SiH3 )2 、RN(SiH(NiBuH)­CH2 -SiH3 )2 、RN(SiH(NtBuH)­CH2 ­SiH3 )2 、RN(SiH(NAmH)­CH2 -SiH3 )2 、RN(SiH(吡啶)­CH2 -SiH3 )2 、RN(SiH(吡咯)­CH2 -SiH3 )2 、RN(SiH(吡咯啶)­CH2 -SiH3 )2 、以及RN(SiH(咪唑)­CH2 -SiH3 )2
式(IV)呈現的示範性碳矽氮烷前驅物,其中m = 1;R2 、R3 、R4 以及R5 = H;以及R = H、Cu H2u+1 、或Siv H2v-1 ,其中 u =1-6以及 v = 1-4,其包括但不限於RN(SiH2 -CH2 -SiH2 (CH2 =CH))2 、RN(SiH2 -CH2 -SiH2 (CH2 =CH-CH2 ))2 、RN(SiH2 -CH2 -SiH2 (NH2 ))2 、RN(SiH2 ­CH2 ­SiH2 (NMe2 ))2 、RN(SiH2 ­CH2 ­SiH2 (NMeEt))2 、RN(SiH2 ­CH2 ­SiH2 (NEt2 ))2 、RN(SiH2 ­CH2 ­SiH2 (NnPr2 ))2 、RN(SiH2 ­CH2 ­SiH2 (NiPr2 ))2 、RN(SiH2 ­CH2 ­SiH2 (NBu2 ))2 、RN(SiH2 ­CH2 ­SiH2 (NiBu2 ))2 、RN(SiH2 ­CH2 -SiH2 (NtBu2 ))2 、RN(SiH2 ­CH2 ­SiH2 (NAm2 ))2 、RN(SiH2 -CH2 -SiH2 (NCy戊基2 ))2 、RN(SiH2 ­CH2 ­SiH2 (N己基2 ))2 、RN(SiH2 -CH2 -SiH2 (NCy己基2 ))2 、RN(SiH2 ­CH2 ­SiH2 (NMeH))2 、RN(SiH2 -CH2 -SiH2 (NEtH))2 、RN(SiH2 ­CH2 ­SiH2 (NnPrH))2 、RN(SiH2 ­CH2 -SiH2 (NiPrH))2 、RN(SiH2 ­CH2 ­SiH2 (NBuH))2 、RN(SiH2 ­CH2 -SiH2 (NiBuH))2 、RN(SiH2 ­CH2 ­SiH2 (NtBuH))2 、RN(SiH2 ­CH2 -SiH2 (NAmH))2 、RN(SiH2 ­CH2 -SiH2 (吡啶))2 、RN(SiH2 ­CH2 -SiH2 (吡咯))2 、RN(SiH2 ­CH2 -SiH2 (吡咯啶))2 、以及RN(SiH2 ­CH2 -SiH2 (咪唑))2 。至少部分基於以上討論關於SiH鍵的好處之故,此等前驅物可適合用於氣相沉積或塗佈應用。如以上討論,末端胺基配位基也可提供所得膜改良熱穩定性、以及額外的N及/或C來源。最後,具有較低分子量以及較高蒸氣壓的所列前驅物較佳適合用於氣相沉積技術,而該等具有較高分子量者較佳適合用於塗佈技術。
式(IV)呈現的示範性碳矽氮烷前驅物,其中m =1;R1 、R2 以及R3 = H;以及R = H、Cu H2u+1 、或Siv H2v-1 ,其中u =1-6以及v =1-4,其包括但不限於RN(Si(CH2 =CH)2 -CH2 -SiH3 )2 、RN(Si(CH2 =CH-CH2 )2 -CH2 -SiH3 )2 、RN(Si(NH2 )2 ­CH2 ­SiH3 )2 、RN(Si(NMe2 )2 ­CH2 ­SiH3 )2 、RN(Si(NMeEt)2 ­CH2 ­SiH3 )2 、RN(SiNEt2 ­CH2 ­SiH3 )2 、RN(Si(NnPr2 )2 ­CH2 ­SiH3 )2 、RN(Si(NiPr2 )2 ­CH2 ­SiH3 )2 、RN(Si(NBu2 )2 ­CH2 ­SiH3 )2 、RN(Si(NiBu2 )2 ­CH2 ­SiH3 )2 、RN(Si(NtBu2 )2 ­CH2 -SiH3 )2 、RN(Si(NAm2 )2 ­CH2 ­SiH3 )2 、RN(Si(NCy戊基2 )2 -CH2 -SiH3 )2 、RN(Si(N己基2 )2 ­CH2 ­SiH3 )2 、RN(Si(NCy己基2 )2 -CH2 -SiH3 )2 、RN(Si(NMeH)2 ­CH2 ­SiH3 )2 、RN(Si(NEtH)2 -CH2 -SiH3 )2 、RN(Si(NnPrH)2 ­CH2 ­SiH3 )2 、RN(Si(NiPrH)2 ­CH2 -SiH3 )2 、RN(Si(NBuH)2 ­CH2 ­SiH3 )2 、RN(Si(NiBuH)2 ­CH2 -SiH3 )2 、RN(Si(NtBuH)2 ­CH2 ­SiH3 )2 、RN(Si(NAmH)2 ­CH2 -SiH3 )2 、RN(Si(吡啶)2 ­CH2 -SiH3 )2 、RN(Si(吡咯)2 ­CH2 -SiH3 )2 、RN(Si(吡咯啶)2 ­CH2 -SiH3 )2 、以及RN(Si(咪唑)2 ­CH2 -SiH3 )2
式(IV)呈現的示範性碳矽氮烷前驅物,其中m =1;R2 、R3 以及R4 =H;以及R = H、Cu H2u+1 、或Siv H2v-1 ,其中u =1-6以及v = 1-4,其包括RN(SiH(CH2 =CH)-CH2 -SiH2 (CH2 =CH))2 、RN(SiH(CH2 =CH-CH2 )-CH2 -SiH2 (CH2 =CH-CH2 ))2 、RN(SiH(NH2 )-CH2 -SiH2 (NH2 ))2 、RN(SiH(NMe2 )­CH2 ­SiH2 (NMe2 ))2 、RN(SiH(NMeEt)­CH2 ­SiH2 (NMeEt))2 、RN(SiH(NEt2 )­CH2 ­SiH2 (NEt2 ))2 、RN(SiH(NnPr2 )­CH2 ­SiH2 (NnPr2 ))2 、RN(SiH(NiPr2 )­CH2 ­SiH2 (NiPr2 ))2 、RN(SiH(NBu2 )­CH2 ­SiH2 (NBu2 ))2 、RN(SiH(NiBu2 )­CH2 ­SiH2 (NiBu2 ))2 、RN(SiH(NtBu2 )­CH2 -SiH2 (NtBu2 ))2 、RN(SiH(NAm2 )­CH2 ­SiH2 (NAm2 ))2 、RN(SiH(NCy戊基2 )-CH2 -SiH2 (NCy戊基2 ))2 、RN(SiH(N己基2 )­CH2 ­SiH2 (N己基2 ))2 、RN(SiH(NCy己基2 )-CH2 -SiH2 (NCy己基2 ))2 、 RN(SiH(NMeH)­CH2 ­SiH2 (NMeH))2 、RN(SiH(NEtH)-CH2 -SiH2 (NEtH))2 、RN(SiH(NnPrH)­CH2 ­SiH2 (NnPrH))2 、RN(SiH(NiPrH)­CH2 -SiH2 (NiPrH))2 、RN(SiH(NBuH)­CH2 ­SiH2 (NBuH))2 、RN(SiH(NiBuH)­CH2 -SiH2 (NiBuH))2 、RN(SiH(NtBuH)­CH2 ­SiH2 (NtBuH))2 、RN(SiH(NAmH)­CH2 -SiH2 (NAmH))2 、 RN(SiH(吡啶)­CH2 -SiH2 (吡啶))2 、RN(SiH(吡咯)­CH2 -SiH2 (吡咯))2 、RN(SiH(吡咯啶)­CH2 -SiH2 (吡咯啶))2 、以及RN(SiH(咪唑)­CH2 -SiH2 (咪唑))2
式(IV)呈現的示範性碳矽氮烷前驅物,其中m = 1;R3 、R4 以及R5 = H;R = H、Cu H2u+1 、或Siv H2v-1 ,其中u =1-6以及v = 1-4,其包括但不限於RN(SiH2 -CH2 -SiH(CH2 =CH)2 )2 、RN(SiH2 -CH2 -SiH(CH2 =CH-CH2 )2 )2 、RN(SiH2 -CH2 -SiH(NH2 )2 )2 、RN(SiH2 ­CH2 ­SiH(NMe2 )2 )2 、RN(SiH2 ­CH2 ­SiH(NMeEt)2 )2 、RN(SiH2 ­CH2 ­SiH(NEt2 )2 )2 、RN(SiH2 ­CH2 ­SiH(NnPr2 )2 )2 、RN(SiH2 ­CH2 ­SiH(NiPr2 )2 )2 、RN(SiH2 ­CH2 ­SiH(NBu2 )2 )2 、RN(SiH2 ­CH2 ­SiH(NiBu2 )2 )2 、RN(SiH2 ­CH2 -SiH(NtBu2 )2 )2 、RN(SiH2 ­CH2 ­SiH(NAm2 )2 )2 、RN(SiH2 -CH2 -SiH(NCy戊基2 )2 )2 、RN(SiH2 ­CH2 ­SiH(N己基2 )2 )2 、RN(SiH2 -CH2 -SiH(NCy己基2 )2 )2 、RN(SiH2 ­CH2 ­SiH(NMeH)2 )2 、RN(SiH2 -CH2 -SiH(NEtH)2 )2 、RN(SiH2 ­CH2 ­SiH(NnPrH)2 )2 、RN(SiH2 ­CH2 -SiH(NiPrH)2 )2 、RN(SiH2 ­CH2 ­SiH(NBuH)2 )2 、RN(SiH2 ­CH2 -SiH(NiBuH)2 )2 、RN(SiH2 ­CH2 ­SiH(NtBuH)2 )2 、RN(SiH2 ­CH2 -SiH(NAmH)2 )2 、RN(SiH2 ­CH2 -SiH(吡啶)2 )2 、RN(SiH2 ­CH2 -SiH(吡咯)2 )2 、RN(SiH2 ­CH2 -SiH(吡咯啶)2 )2 、以及RN(SiH2 ­CH2 -SiH(咪唑)2 )2 。至少部分基於以上討論關於SiH鍵的好處之故,此等前驅物適合用於氣相沉積或塗佈應用。如以上討論,末端胺基配位基也可以提供最後膜的熱穩定性以及額外的N及/或C來源。最後,具有較低分子量以及較高蒸氣壓的所列前驅物較佳適合用於氣相沉積技術,而該等具有較高分子量較佳適合用於塗佈技術。
式(IV)呈現的示範性碳矽氮烷前驅物(其中m = 1;R4 以及R5 = H;以及R = H、Cu H2u+1 、或Siv H2v-1 ,其中u =1-6以及v =1-4)包括但不限於RN(SiH2 -CH2 -Si(CH2 =CH)3 )2 、RN(SiH2 -CH2 -Si(CH2 =CH-CH2 )3 )2 、RN(SiH2 -CH2 -Si(NH2 )3 )2 、RN(SiH2 ­CH2 ­Si(NMe2 )3 )2 、RN(SiH2 ­CH2 ­Si(NMeEt)3 )2 、RN(SiH2 ­CH2 ­Si(NEt2 )3 )2 、RN(SiH2 ­CH2 ­Si(NnPr2 )3 )2 、RN(SiH2 ­CH2 ­Si(NiPr2 )3 )2 、RN(SiH2 ­CH2 ­Si(NBu2 )3 )2 、RN(SiH2 ­CH2 ­Si(NiBu2 )3 )2 、RN(SiH2 ­CH2 -Si(NtBu2 )3 )2 、RN(SiH2 ­CH2 ­Si(NAm2 )3 )2 、RN(SiH2 -CH2 -Si(NCy戊基2 )3 )2 、RN(SiH2 ­CH2 ­Si(N己基2 )3 )2 、RN(SiH2 -CH2 -Si(NCy己基2 )3 )2 、RN(SiH2 ­CH2 ­Si(NMeH)3 )2 、RN(SiH2 -CH2 -Si(NEtH)3 )2 、RN(SiH2 ­CH2 ­Si(NnPrH)3 )2 、RN(SiH2 ­CH2 -Si(NiPrH)3 )2 、RN(SiH2 ­CH2 ­Si(NBuH)3 )2 、RN(SiH2 ­CH2 -Si(NiBuH)3 )2 、RN(SiH2 ­CH2 ­Si(NtBuH)3 )2 、RN(SiH2 ­CH2 -Si(NAmH)3 )2 、RN(SiH2 ­CH2 -Si(吡啶)3 )2 、RN(SiH2 ­CH2 -Si(吡咯)3 )2 、RN(SiH2 ­CH2 -Si(吡咯啶)3 )2 、以及RN(SiH2 ­CH2 -Si(咪唑)3 )2 。至少部分基於以上討論關於SiH鍵的好處之故,此等前驅物可適合用於氣相沉積或塗佈應用。末端胺基配位基也可對所得莫提供如上討論的改良熱穩定性、以及額外的N及/或C來源。最後,具有較低分子量以及較高蒸氣壓所列前驅物較佳適合用於氣相沉積技術,而該等具有較高分子量者較佳適合用於塗佈技術。
當m = 2以及R、R1 、R2 、R3 、R4 以及R5 = H,所揭示碳矽氮烷前驅物為HN(SiH2 -CH2 -CH2 -SiH3 )2 (HNDSB2)。HNDSB2為揮發性且含有許多Si-H鍵,使其對基材表面更具反應性。結果,此前驅物可適合用於氣相沉積方法以及、更特別的,適合用於ALD方法。申請人相信,此前驅物在使用N2 的PEALD方法中可甚至有足夠反應性而接附至Si-Cl封端或甚至Si封端基材表面。
當m = 2;R1 、R2 、R3 、R4 以及R5 = H;以及R = Six H2x+1 (其中x = 1至4),式(IV)呈現的所揭示碳矽氮烷前驅物為SiH3 N(SiH2 -CH2 -CH2 -SiH3 )2 、Si2 H5 N(SiH2 -CH2 -CH2 -SiH3 )2 、Si3 H7 N(SiH2 -CH2 -CH2 -SiH3 )2 、以及Si4 H9 N(SiH2 -CH2 -CH2 -SiH3 )2 。至少部分基於以上討論關於SiH鍵的好處,此等前驅物可適合用於氣相沉積應用。額外的N-Si鍵使此等前驅物比該等具有N-H鍵者更穩定,但是比該等具有N-C鍵者更具反應性。結果,當聚合反應需要中等條件時,此等前驅物可能為所欲的。無碳Six H2x+1 也可能比其中R=H或烷基的相應分子造成更多Si。
當m = 2;R1 、R2 、R3 、R4 以及R5 =H;以及R = SiHz (Cy H2y+1 )3-z (其中y = 1至6、z= 0至2),式(IV)呈現的所揭示碳矽氮烷前驅物包括但不限於(SiMe3 )N(SiH2 ­CH2 ­CH2 ­SiH3 )2 、(SiEt3 )N(SiH2 ­CH2 ­CH2 ­SiH3 )2 、Si(iPr)3 N(SiH2 -CH2 -CH2 ­SiH3 )2 、Si(nPr)3 N(SiH2 -CH2 -CH2 ­SiH3 )2 、Si(Bu)3 N(SiH2 -CH2 -CH2 ­SiH3 )2 、Si(iBu)3 N(SiH2 -CH2 -CH2 ­SiH3 )2 、Si(tBu)3 N(SiH2 -CH2 -CH2 ­SiH3 )2 、Si(戊基)3 N(SiH2 -CH2 -CH2 ­SiH3 )2 、Si(己基)3 N(SiH2 -CH2 -CH2 ­SiH3 )2 、(SiHMe2 )N(SiH2 ­CH2 ­CH2 ­SiH3 )2 、(SiHEt2 )N(SiH2 ­CH2 ­CH2 ­SiH3 )2 、SiH(iPr)2 N(SiH2 -CH2 -CH2 ­SiH3 )2 、SiH(nPr)2 N(SiH2 -CH2 -CH2 ­SiH3 )2 、SiH(Bu)2 N(SiH2 -CH2 -CH2 ­SiH3 )2 、SiH(iBu)2 N(SiH2 -CH2 -CH2 ­SiH3 )2 、SiH(tBu)2 N(SiH2 -CH2 -CH2 ­SiH3 )2 、SiH(戊基)2 N(SiH2 -CH2 -CH2 ­SiH3 )2 、SiH(己基)2 N(SiH2 -CH2 -CH2 ­SiH3 )2 、(SiH2 Me2 )N(SiH2 ­CH2 ­CH2 ­SiH3 )2 、(SiH2 Et2 )N(SiH2 ­CH2 ­CH2 ­SiH3 )2 、SiH2 (iPr)N(SiH2 -CH2 -CH2 ­SiH3 )2 、SiH2 (nPr)N(SiH2 -CH2 -CH2 ­SiH3 )2 、SiH2 (Bu)N(SiH2 -CH2 -CH2 ­SiH3 )2 、SiH2 (iBu)N(SiH2 -CH2 -CH2 ­SiH3 )2 、SiH2 (tBu)N(SiH2 -CH2 -CH2 ­SiH3 )2 、SiH2 (戊基)N(SiH2 -CH2 -CH2 ­SiH3 )2 、以及SiH2 (己基)N(SiH2 -CH2 -CH2 ­SiH3 )2 。額外的N-Si鍵使此等前驅物比該等具有N-H鍵者更穩定,但是比該等具有N-C鍵者更具反應性。結果,當聚合反應需要中等條件時,此等前驅物可能為所欲的。可選定碳鏈長度以提供所欲膜中碳量。最後,具有較低分子量以及較高蒸氣壓的所列前驅物較佳適合用於氣相沉積技術,而該等具有較高分子量者較佳適合用於塗佈技術。
當m= 2;R1 、R2 、R3 、R4 以及R5 = H;以及R=R1’ R2’ R3’ Si(CH2 )b SiR4’ R5’ ,其中b = 1至2以及R1’ 、R2’ 、R3’ 、R4’ 、以及R5’ 獨立地為H或C1 -C6 烴基,式(IV)呈現的所揭示碳矽氮烷前驅物包括但不限於(SiH3 -CH2 -SiH2 )N(SiH2 -CH2 -CH2 -SiH3 )2 、(SiH3 -CH2 -CH2 -SiH2 )N(SiH2 -CH2 - CH2 -SiH3 )2 、(SiMe3 -CH2 -SiMe2 )N(SiH2 -CH2 -CH2 -SiH3 )2 、(SiMe3 -CH2 -CH2 -SiMe2 ) N(SiH2 -CH2 -CH2 -SiH3 )2 、(SiEt3 -CH2 -SiEt2 )N(SiH2 -CH2 -CH2 -SiH3 )2 、以及(SiEt3 -CH2 -CH2 -SiEt2 )N(SiH2 -CH2 -CH2 -SiH3 )2
當m = 2;R1 、R2 、R3 、R4 以及R5 = H;以及R = Cy H2y+1 (其中y =1至6),式(IV)呈現的所揭示碳矽氮烷前驅物包括但不限於(Me)N(SiH2 -CH2 -CH2 -SiH3 )2 、(Et)N(SiH2 -CH2 -CH2 -SiH3 )2 、(nPr)N(SiH2 -CH2 -CH2 -SiH3 )2 、(iPr)N(SiH2 -CH2 -CH2 -SiH3 )2 、(Bu)N(SiH2 -CH2 -CH2 -SiH3 )2 、(iBu)N(SiH2 -CH2 -CH2 -SiH3 )2 、(tBu)N(SiH2 -CH2 -CH2 -SiH3 )2 、(戊基)N(SiH2 -CH2 -CH2 -SiH3 )2 、以及(己基)N(SiH2 -CH2 -CH2 -SiH3 )2 。此族化合物可用於具有碳含量之膜(諸如SiOC或SiNC)的氣相沉積,因為Si-C鍵(對Si-R而言)反應性不高且可能在沉積方法期間原封不等。結果,為了避免太多C沉積,y較佳為1至3。此等前驅物也比DSB3類似物更容易合成,因為RNHR2 反應物在為Et、Pr、Bu、戊基、以及己基時為液體。
當m = 2;R1 、R2 、R3 、R4 以及R5 = H;以及R = SiHx (NR’R”)3-x (其中x=1或2且R’以及R”獨立地為Me、Et、iPr、nPr),式(IV)呈現的所揭示碳矽氮烷前驅物包括但不限於(SiH2 NMe2 )N(SiH2 ­CH2 ­CH2 ­SiH3 )2 、(SiH2 NEt2 )N(SiH2 ­CH2 ­CH2 ­SiH3 )2 、(SiH2 NiPr2 )N(SiH2 ­CH2 ­CH2 ­SiH3 )2 、(SiH2 NnPr2 )N(SiH2 ­CH2 ­CH2 ­SiH3 )2 、(SiH2 NMeEt)N(SiH2 ­CH2 ­CH2 ­SiH3 )2 、(SiH(NMe2 )2 )N(SiH2 ­CH2 ­CH2 ­SiH3 )2 、以及SiH(NEt2 )2 )N(SiH2 ­CH2 ­CH2 ­SiH3 )2
式(IV)呈現的示範性碳矽氮烷前驅物(其中m =2;R1 、R2 、R3 以及R4 = H;以及R= H、Cu H2u+1 、或Siv H2v-1 ,其中u =1-6以及v = 1-4)包括但不限於RN(SiH(CH2 =CH)-CH2 -CH2 -SiH3 )2 、RN(SiH(CH2 =CH-CH2 )-CH2 -CH2 -SiH3 )2 、RN(SiH(NH2 )-CH2 -CH2 -SiH3 )2 、RN(SiH(NMe2 )­CH2 ­CH2 ­SiH3 )2 、RN(SiH(NMeEt)­CH2 ­CH2 ­SiH3 )2 、RN(SiH(NEt2 )­CH2 ­CH2 ­SiH3 )2 、RN(SiH(NnPr2 )­CH2 ­CH2 ­SiH3 )2 、RN(SiH(NiPr2 )­CH2 ­CH2 ­SiH3 )2 、RN(SiH(NBu2 )­CH2 ­CH2 ­SiH3 )2 、RN(SiH(NiBu2 )­CH2 ­CH2 ­SiH3 )2 、RN(SiH(NtBu2 )­CH2 -CH2 ­SiH3 )2 、RN(SiH(NAm2 )­CH2 ­CH2 ­SiH3 )2 、RN(SiH(NCy戊基2 )-CH2 -CH2 ­SiH3 )2 、RN(SiH(N己基2 )­CH2 ­CH2 ­SiH3 )2 、RN(SiH(NCy己基2 )-CH2 -CH2 ­SiH3 )2 、RN(SiH(NMeH)­CH2 ­CH2 ­SiH3 )2 、RN(SiH(NEtH)-CH2 -CH2 ­SiH3 )2 、RN(SiH(NnPrH)­CH2 ­CH2 ­SiH3 )2 、RN(SiH(NiPrH)­CH2 -CH2 ­SiH3 )2 、RN(SiH(NBuH)­CH2 ­CH2 ­SiH3 )2 、RN(SiH(NiBuH)­CH2 -CH2 ­SiH3 )2 、RN(SiH(NtBuH)­CH2 ­CH2 ­SiH3 )2 、RN(SiH(NAmH)­CH2 -CH2 ­SiH3 )2 、RN(SiH(吡啶)­CH2 -CH2 ­SiH3 )2 、RN(SiH(吡咯)­CH2 -CH2 ­SiH3 )2 、RN(SiH(吡咯啶)­CH2 -CH2 ­SiH3 )2 、以及RN(SiH(咪唑)­CH2 -CH2 ­SiH3 )2
式(IV)呈現的示範性碳矽氮烷前驅物(其中m =2;R2 、R3 、R4 以及R5 = H;以及R = H、Cu H2u+1 、或Siv H2v-1 ,其中u =1-6以及v = 1-4)包括但不限於RN(SiH2 -CH2 -CH2 -SiH2 (CH2 =CH))2 、RN(SiH2 -CH2 -CH2 -SiH2 (CH2 =CH-CH2 ))2 、RN(SiH2 -CH2 -CH2 -SiH2 (NH2 ))2 、RN(SiH2 ­CH2 ­CH2 -SiH2 (NMe2 ))2 、RN(SiH2 ­CH2 ­CH2 -SiH2 (NMeEt))2 、RN(SiH2 ­CH2 ­CH2 -SiH2 (NEt2 ))2 、RN(SiH2 ­CH2 ­CH2 -SiH2 (NnPr2 ))2 、RN(SiH2 ­CH2 ­CH2 -SiH2 (NiPr2 ))2 、RN(SiH2 ­CH2 ­CH2 -SiH2 (NBu2 ))2 、RN(SiH2 ­CH2 ­CH2 -SiH2 (NiBu2 ))2 、RN(SiH2 ­CH2 -CH2 -SiH2 (NtBu2 ))2 、RN(SiH2 ­CH2 ­CH2 -SiH2 (NAm2 ))2 、RN(SiH2 -CH2 -CH2 -SiH2 (NCy戊基2 ))2 、RN(SiH2 ­CH2 ­CH2 -SiH2 (N己基2 ))2 、RN(SiH2 -CH2 -CH2 -SiH2 (NCy己基2 ))2 、RN(SiH2 ­CH2 ­CH2 -SiH2 (NMeH))2 、RN(SiH2 -CH2 -CH2 -SiH2 (NEtH))2 、RN(SiH2 ­CH2 ­CH2 -SiH2 (NnPrH))2 、RN(SiH2 ­CH2 -CH2 -SiH2 (NiPrH))2 、RN(SiH2 ­CH2 ­CH2 -SiH2 (NBuH))2 、RN(SiH2 ­CH2 -CH2 -SiH2 (NiBuH))2 、RN(SiH2 ­CH2 ­CH2 -SiH2 (NtBuH))2 、RN(SiH2 ­CH2 -CH2 -SiH2 (NAmH))2 、RN(SiH2 ­CH2 -CH2 -SiH2 (吡啶))2 、RN(SiH2 ­CH2 -CH2 -SiH2 (吡咯))2 、RN(SiH2 ­CH2 -CH2 -SiH2 (吡咯啶))2 、以及RN(SiH2 ­CH2 -CH2 -SiH2 (咪唑))2 。至少部分基於以上討論關於SiH鍵的好處,此等前驅物適合用於氣相沉積或塗佈應用。末端胺基配位基也可提供最後膜如以上討論的改良熱穩定性、以及額外的N及/或C來源。最後,具有較低分子量以及較高蒸氣壓的所列前驅物較佳適合用於氣相沉積技術,而該等具有較高分子量者較佳適合用於塗佈技術。
式(IV)呈現的示範性碳矽氮烷前驅物(其中m = 2;R1 、R2 以及R3 = H;以及R = H、Cu H2u+1 、或Siv H2v-1 ,其中u = 1-6以及v =1-4)包括但不限於RN(Si(CH2 =CH)2 -CH2 -CH2 -SiH3 )2 、RN(Si(CH2 =CH-CH2 )2 -CH2 -CH2 -SiH3 )2 、RN(Si(NH2 )2 ­CH2 ­CH2 ­SiH3 )2 、RN(Si(NMe2 )2 ­CH2 ­CH2 ­SiH3 )2 、RN(Si(NMeEt)2 ­CH2 ­CH2 ­SiH3 )2 、RN(Si(NEt2 )2 ­CH2 ­CH2 ­SiH3 )2 、RN(Si(NnPr2 )2 ­CH2 ­CH2 ­SiH3 )2 、RN(Si(NiPr2 )2 ­CH2 ­CH2 ­SiH3 )2 、RN(Si(NBu2 )2 ­CH2 ­CH2 ­SiH3 )2 、RN(Si(NiBu2 )2 ­CH2 ­CH2 ­SiH3 )2 、RN(Si(NtBu2 )2 ­CH2 -CH2 ­SiH3 )2 、RN(Si(NAm2 )2 ­CH2 ­CH2 ­SiH3 )2 、RN(Si(NCy戊基2 )2 -CH2 -CH2 ­SiH3 )2 、RN(Si(N己基2 )2 ­CH2 ­CH2 ­SiH3 )2 、RN(Si(NCy己基2 )2 -CH2 -CH2 ­SiH3 )2 、RN(Si(NMeH)2 ­CH2 ­CH2 ­SiH3 )2 、RN(Si(NEtH)2 -CH2 -CH2 ­SiH3 )2 、RN(Si(NnPrH)2 ­CH2 ­CH2 ­SiH3 )2 、RN(Si(NiPrH)2 ­CH2 -CH2 ­SiH3 )2 、RN(Si(NBuH)2 ­CH2 ­CH2 ­SiH3 )2 、RN(Si(NiBuH)2 ­CH2 -CH2 ­SiH3 )2 、RN(Si(NtBuH)2 ­CH2 ­CH2 ­SiH3 )2 、RN(Si(NAmH)2 ­CH2 -CH2 ­SiH3 )2 、RN(Si(吡啶)2 ­CH2 -CH2 ­SiH3 )2 、RN(Si(吡咯)2 ­CH2 -CH2 ­SiH3 )2 、RN(Si(吡咯啶)2 ­CH2 -CH2 ­SiH3 )2 、以及RN(Si(咪唑)2 ­CH2 -CH2 ­SiH3 )2
式(IV)呈現的示範性碳矽氮烷前驅物(其中m=2;R2 、R3 以及R4 =H;以及R = H、Cu H2u+1 、或Siv H2v-1 ,其中u =1-6以及v =1-4)包括但不限於RN(SiH(CH2 =CH)-CH2 -CH2 -SiH2 (CH2 =CH))2 、RN(SiH(CH2 =CH-CH2 )-CH2 -CH2 -SiH2 (CH2 =CH-CH2 ))2 、RN(SiH(NH2 )-CH2 -CH2 -SiH2 (NH2 ))2 、RN(SiH(NMe2 )­CH2 ­CH2 -SiH2 (NMe2 ))2 、RN(SiH(NMeEt)­CH2 ­CH2 -SiH2 (NMeEt))2 、RN(SiH(NEt2 )­CH2 ­CH2 -SiH2 (NEt2 ))2 、RN(SiH(NnPr2 )­CH2 ­CH2 -SiH2 (NnPr2 ))2 、RN(SiH(NiPr2 )­CH2 ­CH2 -SiH2 (NiPr2 ))2 、RN(SiH(NBu2 )­CH2 ­CH2 -SiH2 (NBu2 ))2 、RN(SiH(NiBu2 )­CH2 ­CH2 -SiH2 (NiBu2 ))2 、RN(SiH(NtBu2 )­CH2 -CH2 -SiH2 (NtBu2 ))2 、RN(SiH(NAm2 )­CH2 ­CH2 -SiH2 (NAm2 ))2 、RN(SiH(NCy戊基2 )-CH2 -CH2 -SiH2 (NCy戊基2 ))2 、RN(SiH(N己基2 ) ­CH2 ­CH2 -SiH2 (N己基2 ))2 、RN(SiH(NCy己基2 )-CH2 -CH2 -SiH2 (NCy己基2 ))2 、RN(SiH(NMeH)­CH2 ­CH2 -SiH2 (NMeH))2 、RN(SiH(NEtH)-CH2 -CH2 -SiH2 (NEtH))2 、RN(SiH(NnPrH)­CH2 ­CH2 -SiH2 (NnPrH))2 、RN(SiH(NiPrH)­CH2 -CH2 -SiH2 (NiPrH))2 、RN(SiH(NBuH)­CH2 ­CH2 -SiH2 (NBuH))2 、RN(SiH(NiBuH)­CH2 -CH2 -SiH2 (NiBuH))2 、RN(SiH(NtBuH)­CH2 ­CH2 -SiH2 (NtBuH))2 、RN(SiH(NAmH)­CH2 -CH2 -SiH2 (NAmH))2 、RN(SiH(吡啶)­CH2 -CH2 -SiH2 (吡啶))2 、RN(SiH(吡咯)­CH2 -CH2 -SiH2 (吡咯))2 、RN(SiH(吡咯啶)­CH2 -CH2 -SiH2 (吡咯啶))2 、以及RN(SiH(咪唑)­CH2 -CH2 -SiH2 (咪唑))2
式(IV)呈現的示範性碳矽氮烷前驅物(其中m =2;R3 、R4 以及R5 =H;以及R = H、Cu H2u+1 、或Siv H2v-1 ,其中u =1-6以及v =1-4)包括但不限於RN(SiH2 -CH2 -CH2 -SiH(CH2 =CH)2 )2 、RN(SiH2 -CH2 -CH2 -SiH(CH2 =CH-CH2 )2 )2 、RN(SiH2 -CH2 -CH2 -SiH(NH2 )2 )2 、RN(SiH2 ­CH2 ­CH2 -SiH(NMe2 )2 )2 、RN(SiH2 ­CH2 ­CH2 -SiH(NMeEt)2 )2 、RN(SiH2 ­CH2 ­CH2 -SiH(NEt2 )2 )2 、RN(SiH2 ­CH2 ­CH2 -SiH(NnPr2 )2 )2 、RN(SiH2 ­CH2 ­CH2 -SiH(NiPr2 )2 )2 、RN(SiH2 ­CH2 ­CH2 -SiH(NBu2 )2 )2 、RN(SiH2 ­CH2 ­CH2 -SiH(NiBu2 )2 )2 、RN(SiH2 ­CH2 -CH2 -SiH(NtBu2 )2 )2 、RN(SiH2 ­CH2 ­CH2 -SiH(NAm2 )2 )2 、RN(SiH2 -CH2 -CH2 -SiH(NCy戊基2 )2 )2 、RN(SiH2 ­CH2 ­CH2 -SiH(N己基2 )2 )2 、RN(SiH2 -CH2 -CH2 -SiH(NCy己基2 )2 )2 、RN(SiH2 ­CH2 ­CH2 -SiH(NMeH)2 )2 、RN(SiH2 -CH2 -CH2 -SiH(NEtH)2 )2 、RN(SiH2 ­CH2 ­CH2 -SiH(NnPrH)2 )2 、RN(SiH2 ­CH2 -CH2 -SiH(NiPrH)2 )2 、RN(SiH2 ­CH2 ­CH2 -SiH(NBuH)2 )2 、RN(SiH2 ­CH2 -CH2 -SiH(NiBuH)2 )2 、RN(SiH2 ­CH2 ­CH2 -SiH(NtBuH)2 )2 、RN(SiH2 ­CH2 -CH2 -SiH(NAmH)2 )2 、RN(SiH2 ­CH2 -CH2 -SiH(吡啶)2 )2 、RN(SiH2 ­CH2 -CH2 -SiH(吡咯)2 )2 、RN(SiH2 ­CH2 -CH2 -SiH(吡咯啶)2 )2 、以及RN(SiH2 ­CH2 -CH2 -SiH(咪唑)2 )2 。至少部分基於以上討論關於SiH鍵的好處,此等前驅物適合用於氣相沉積或塗佈應用。末端胺基配位基也可提供最後膜如以上討論的改良熱穩定性、以及額外的N及/或C來源。最後,具有較低分子量以及較高蒸氣壓的所列前驅物較佳適合用於氣相沉積技術,而該等具有較高分子量者較佳適合用於塗佈技術。
式(IV)呈現的示範性碳矽氮烷前驅物(其中m =2;R4 以及R5 = H;以及R = H、Cu H2u+1 、或Siv H2v-1 、其中u =1-6以及v = 1-4)包括但不限於RN(SiH2 -CH2 -CH2 -Si(CH2 =CH)3 )2 、RN(SiH2 -CH2 -CH2 -Si(CH2 =CH-CH2 )3 )2 、RN(SiH2 -CH2 -CH2 -Si(NH2 )3 )2 、RN(SiH2 ­CH2 ­CH2 -Si(NMe2 )3 )2 、RN(SiH2 ­CH2 ­CH2 -Si(NMeEt)3 )2 、RN(SiH2 ­CH2 ­CH2 -Si(NEt2 )3 )2 、RN(SiH2 ­CH2 ­CH2 -Si(NnPr2 )3 )2 、RN(SiH2 ­CH2 ­CH2 -Si(NiPr2 )3 )2 、RN(SiH2 ­CH2 ­CH2 -Si(NBu2 )3 )2 、RN(SiH2 ­CH2 ­CH2 -Si(NiBu2 )3 )2 、RN(SiH2 ­CH2 -CH2 -Si(NtBu2 )3 )2 、RN(SiH2 ­CH2 ­CH2 -Si(NAm2 )3 )2 、RN(SiH2 -CH2 -CH2 -Si(NCy戊基2 )3 )2 、RN(SiH2 ­CH2 ­CH2 -Si(N己基2 )3 )2 、RN(SiH2 -CH2 -CH2 -Si(NCy己基2 )3 )2 、RN(SiH2 ­CH2 ­CH2 -Si(NMeH)3 )2 、RN(SiH2 -CH2 -CH2 -Si(NEtH)3 )2 、RN(SiH2 ­CH2 ­CH2 -Si(NnPrH)3 )2 、RN(SiH2 ­CH2 -CH2 -Si(NiPrH)3 )2 、RN(SiH2 ­CH2 ­CH2 -Si(NBuH)3 )2 、RN(SiH2 ­CH2 -CH2 -Si(NiBuH)3 )2 、RN(SiH2 ­CH2 ­CH2 -Si(NtBuH)3 )2 、RN(SiH2 ­CH2 -CH2 -Si(NAmH)3 )2 、RN(SiH2 ­CH2 -CH2 -Si(吡啶)3 )2 、RN(SiH2 ­CH2 -CH2 -Si(吡咯)3 )2 、RN(SiH2 ­CH2 -CH2 -Si(吡咯啶)3 )2 、以及RN(SiH2 ­CH2 -CH2 -Si(咪唑)3 )2 。至部分基於以上討論關於SiH鍵的好處,此等前驅物適合用於氣相沉積或塗佈應用。末端胺基配位基也可對所得膜提供如以上討論的改良熱穩定性、以及額外的N及/或C來源。最後,具有較低分子量以及較高蒸氣壓的所列前驅物較佳適合用於氣相沉積技術,而該對具有較高分子量者較佳適合用於塗佈技術。
回到式(II),當t = 1且R、R2 、R3 、R4 以及R5 =H,呈現的所揭示聚碳矽氮烷前驅物含有具有式[–NH–SiH2 –CH2 –SiH2 –]n (亦即 [-NH-DSP-]n )的單元。 [-NH-DSP-]n 含有多個Si-H鍵,使其對基材表面更具反應性。結果,此前驅物可適合用於對沉積方法的旋塗。申請人相信,此前驅物在CVD或ALD方法中可甚至有足夠反應性而接附至Si-Cl封端或Si-OH封端或甚至Si封端基材表面。
當t =1;R2 、R3 、R4 以及R5 =H;以及R=Six H2x+1 (其中x=1至4),所揭示前驅物含有具有式[–N(SiH3 )–SiH2 –CH2 –SiH2 –]n 、[–N(Si2 H5 )–SiH2 –CH2 –SiH2 –]n 、[–N(Si3 H7 )–SiH2 –CH2 –SiH2 –]n 、[–N(Si4 H9 )–SiH2 –CH2 –SiH2 –]n 的單元。矽基配位基的選擇可以有助於提供具有所欲矽含量的腊膜。換言之,Si4 H9 配位基所製備膜所具有的Si比由SiH3 配位基製備的還多。
當t =1;R2 、R3 、R4 以及R5 =H;以及R= SiHz (Cy H2y+1 )3-z (其中y =1至6、z=0至2),所揭示前驅物含有具有下式的單元,其包括但不限於[–N(Si(Me)3 )-SiH2 –CH2 –SiH2 –]n 、[–N(Si(Et)3 )-SiH2 –CH2 –SiH2 –]n 、[–N(Si(iPr)3 )-SiH2 –CH2 –SiH2 –]n 、[–N(Si(nPr)3 )-SiH2 –CH2 –SiH2 –]n 、[–N(Si(Bu)3 )-SiH2 –CH2 –SiH2 –]n 、[–N(Si(iBu)3 )-SiH2 –CH2 –SiH2 –]n 、[–N(Si(tBu)3 )-SiH2 –CH2 –SiH2 –]n 、[–N(Si(戊基)3 )-SiH2 –CH2 –SiH2 –]n 、[–N(Si(己基)3 )-SiH2 –CH2 –SiH2 –]n 、[–N(SiH(Me)2 )-SiH2 –CH2 –SiH2 –]n 、[–N(SiH(Et)2 )-SiH2 –CH2 –SiH2 –]n 、[–N(SiH(iPr)2 )-SiH2 –CH2 –SiH2 –]n 、[–N(SiH(nPr)2 )-SiH2 –CH2 –SiH2 –]n 、[–N(SiH(Bu)2 )-SiH2 –CH2 –SiH2 –]n 、[–N(SiH(iBu)2 )-SiH2 –CH2 –SiH2 –]n 、[–N(SiH(tBu)2 )-SiH2 –CH2 –SiH2 –]n 、[–N(SiH(戊基)2 )-SiH2 –CH2 –SiH2 –]n 、[–N(SiH(己基)2 )-SiH2 –CH2 –SiH2 –]n 、[–N(SiH2 (Me))–SiH2 –CH2 –SiH2 –]n 、[–N(SiH2 (Et))–SiH2 –CH2 –SiH2 –]n 、[–N(SiH2 (iPr))–SiH2 –CH2 –SiH2 –]n 、[–N(SiH2 (nPr))–SiH2 –CH2 –SiH2 –]n 、[–N(SiH2 (Bu))–SiH2 –CH2 –SiH2 –]n 、[–N(SiH2 (iBu))–SiH2 –CH2 –SiH2 –]n 、[–N(SiH2 (tBu))–SiH2 –CH2 –SiH2 –]n 、[–N(SiH2 (戊基))–SiH2 –CH2 –SiH2 –]n 、以及[–N(SiH2 (己基))–SiH2 –CH2 –SiH2 –]n
當t=1;R2 、R3 、R4 以及R5 =H;以及R=R1’ R2’ R3’ Si(CH2 )b SiR4’ R5’ (其中b =1至2且R1’ 、R2’ 、R3’ 、R4’ 、以及R5’ 獨立地為H或C1 -C6 烴基),所揭示前驅物含有具有下式的單元,包括但不限於[–N(SiH3 -CH2 -SiH2 )–SiH2 –CH2 –SiH2 –]n 、[–N(SiH3 -CH2 -CH2 - SiH2 )–SiH2 –CH2 –SiH2 –]n 、[–N(SiMe3 -CH2 -SiMe2 )–SiH2 –CH2 –SiH2 –]n 、[–N(SiMe3 -CH2 -CH2 -SiMe2 )–SiH2 –CH2 –SiH2 –]n 、[–N(SiEt3 -CH2 -SiEt2 )–SiH2 –CH2 –SiH2 –]n 、以及[–N(SiEt3 -CH2 -CH2 -SiEt2 )–SiH2 –CH2 –SiH2 –]n
當t=1;R2 、R3 、R4 以及R5 =H;以及R=Cy H2y+1 (其中y=1至6),所揭示聚碳矽氮烷前驅物含有具有下式的單元,包括但不限於[–N(Me)–SiH2 –CH2 –SiH2 –]n 、[–N(Et)–SiH2 –CH2 –SiH2 –]n 、[–N(iPr)–SiH2 –CH2 –SiH2 –]n 、[–N(nPr)–SiH2 –CH2 –SiH2 –]n 、[–N(Bu)–SiH2 –CH2 –SiH2 –]n 、[–N(iBu)–SiH2 –CH2 –SiH2 –]n 、[–N(tBu)–SiH2 –CH2 –SiH2 –]n 、–N(戊基)–SiH2 –CH2 –SiH2 –]n 、以及[–N(己基)–SiH2 –CH2 –SiH2 –]n 。此族化合物可用於具有碳含量之膜(諸如SiOC或SiNC)的沉積,因為Si-C鍵(對Si-R)並非高度反應以及可能在沉積方法期間原封不動。結果,為了避免太多C沉積,y較佳為1至3。此等前驅物也比[-NH-DSP-]n 類似物更容易合成,因為RNHR2 反應物對Et、Pr、Bu、戊基、以及己基而言為液體。
當t=1;R2 、R3 、R4 以及R5 =H;以及R=R1’ R2’ R3’ Si(CH2 )b SiR4’ R5’ (其中b =1至2且R1 ’、R2’ 、R3’ 、R4’ 以及R5’ =H),所揭示聚碳矽氮烷前驅物含有具有下式的單元,其包括但不限於[–N(–SiH2 –CH2 –SiH3 )–SiH2 –CH2 –SiH2 –]n (亦即 [-N(DSP)-DSP-]n )或[–N(–SiH2 –CH2 –CH2 –SiH3 )–SiH2 –CH2 –SiH2 –]n (亦即 [-N(DSB)-DSP-]n )。
當t =1;R2 、R3 、R4 以及R5 = H;以及R =SiHx (NR’R”)3-x (其中x=1或2且R’以及R”獨立地為Me、Et、iPr、nPr),所揭示碳矽氮烷前驅物含有具有下式的單元,其包括但不限於[-N(SiH2 NMe2 )-SiH2 ­CH2 ­SiH2 -]n 、[-N(SiH2 NEt2 )-SiH2 ­CH2 ­SiH2 -]n 、[-N(SiH2 NiPr2 )-SiH2 ­CH2 ­SiH2 -]n 、[-N(SiH2 NnPr2 )-SiH2 ­CH2 ­SiH2 -]n 、[-N(SiH2 NMeEt)-SiH2 ­CH2 ­SiH2 -]n 、[-N(SiH(NMe2 )2 )-SiH2 ­CH2 ­SiH2 -]n 、以及[-N(SiH(NEt2 )2 )-SiH2 ­CH2 ­SiH2 -]n
式(II)呈現的示範性聚碳矽氮烷前驅物(其中t=1以及R、R3 、R4 以及R5 =H)含有具有下式的單元,其包括但不限於[–NH–H2 Si–CH2 –SiH(CH2 =CH2 )–]n 、[–NH–H2 Si–CH2 –SiH(CH2 -CH2 =CH2 )–]n 、[–NH–H2 Si–CH2 –SiH(NH2 )–]n 、[–NH–H2 Si–CH2 –SiH(NMe2 )–]n 、[–NH–H2 Si–CH2 –SiH(NMeEt)–]n 、[–NH–H2 Si–CH2 –SiH(NEt2 )–]n 、[–NH–H2 Si–CH2 –SiH(NnPr2 )–]n 、[–NH–H2 Si–CH2 –SiH(NiPr2 )–]n 、[–NH–H2 Si–CH2 –SiH(NBu2 )–]n 、[–NH–H2 Si–CH2 –SiH(NiBu2 )–]n 、[–NH–H2 Si–CH2 –SiH(NtBu2 )–]n 、[–NH–H2 Si–CH2 –SiH(NAm2 )–]n 、[–NH–H2 Si–CH2 –SiH(NCy戊基2 )–]n 、[–NH–H2 Si–CH2 –SiH(N己基2 )–]n 、[–NH–H2 Si–CH2 –SiH(NCy己基2 )–]n 、[–NH–H2 Si–CH2 –SiH(NMeH)–]n 、[–NH–H2 Si–CH2 –SiH(NEtH)–]n 、[–NH–H2 Si–CH2 –SiH(NnPrH)–]n 、[–NH–H2 Si–CH2 –SiH(NiPrH)–]n 、[–NH–H2 Si–CH2 –SiH(NBuH)–]n 、[–NH–H2 Si–CH2 –SiH(NiBuH)–]n 、[–NH–H2 Si–CH2 –SiH(NtBuH)–]n 、[–NH–H2 Si–CH2 –SiH(NAmH)–]n 、[–NH–H2 Si–CH2 –SiH(吡啶)–]n 、[–NH–H2 Si–CH2 –SiH(吡咯)–]n 、[–NH–H2 Si–CH2 –SiH(吡咯啶)–]n 、以及[–NH–H2 Si–CH2 –SiH(咪唑)–]n 。至少部分基於以上討論關於SiH鍵的好處,此等前驅物適合用於旋塗佈應用。胺基配位基也提供所得膜如以上討論的改良熱穩定性,以及額外的N及/或C來源。
式(II)呈現的示範性聚碳矽氮烷前驅物(其中t=1以及R、R4 以及R5 =H)含有具下式的單元,其包括但不限於[–NH–H2 Si–CH2 –Si(CH2 =CH2 )2 –]n 、[–NH–H2 Si–CH2 –Si(CH2 -CH2 =CH2 )2 –]n 、[–NH–H2 Si–CH2 –Si(NH2 )2 –]n 、[–NH–H2 Si–CH2 –Si(NMe2 )2 –]n 、[–NH–H2 Si–CH2 –Si(NMeEt)2 –]n 、[–NH–H2 Si–CH2 –Si(NEt2 )2 –]n 、[–NH–H2 Si–CH2 –Si(NnPr2 )2 –]n 、[–NH–H2 Si–CH2 –Si(NiPr2 )2 –]n 、[–NH–H2 Si–CH2 –Si(NBu2 )2 –]n 、[–NH–H2 Si–CH2 –Si(NiBu2 )2 –]n 、[–NH–H2 Si–CH2 –Si(NtBu2 )2 –]n 、[–NH–H2 Si–CH2 –Si(NAm2 )2 –]n 、[–NH–H2 Si–CH2 –Si(NCy戊基2 )2 –]n 、[–NH–H2 Si–CH2 –Si(N己基2 )2 –]n 、[–NH–H2 Si–CH2 –Si(NCy己基2 )2 –]n 、[–NH–H2 Si–CH2 –Si(NMeH)2 –]n 、[–NH–H2 Si–CH2 –Si(NEtH)2 –]n 、[–NH–H2 Si–CH2 –Si(NnPrH)2 –]n 、[–NH–H2 Si–CH2 –Si(NiPrH)2 –]n 、[–NH–H2 Si–CH2 –Si(NBuH)2 –]n 、[–NH–H2 Si–CH2 –Si(NiBuH)2 –]n 、[–NH–H2 Si–CH2 –Si(NtBuH)2 –]n 、[–NH–H2 Si–CH2 –Si(NAmH)2 –]n 、[–NH–H2 Si–CH2 –Si(吡啶)2 –]n 、[–NH–H2 Si–CH2 –Si(吡咯)2 –]n 、[–NH–H2 Si–CH2 –Si(吡咯啶)2 –]n 、以及[–NH–H2 Si–CH2 –Si(咪唑)2 –]n 。至少部分基於以上討論關於SiH鍵的好處,此等前驅物適合用於旋塗應用。末端胺基配位基也可提供所得膜如以上討論的改良熱穩定性,以及額外的N及/或C來源。
式(II)呈現的示範性聚碳矽氮烷前驅物(其中t=1以及R、R3 以及R5 =H)含有具有下式單元,其包括但不限於[–NH–SiH(CH2 =CH2 )–CH2 –SiH(CH2 =CH2 )–]n 、[–NH–SiH(CH2 -CH2 =CH2 )–CH2 –SiH(CH2 -CH2 =CH2 )–]n 、[–NH–SiH(NH2 )–CH2 –SiH(NH2 )–]n 、[–NH–SiH(NMe2 )–CH2 –SiH(NMe2 )–]n 、[–NH–SiH(NMeEt)–CH2 –SiH(NMeEt)–]n 、[–NH–SiH(NEt2 )–CH2 –SiH(NEt2 )–]n 、[–NH–SiH(NnPr2 )–CH2 –SiH(NnPr2 )–]n 、[–NH–SiH(NiPr2 )–CH2 –SiH(NiPr2 )–]n 、[–NH–SiH(NBu2 )–CH2 –SiH(NBu2 )–]n 、[–NH–SiH(NiBu2 )–CH2 –SiH(NiBu2 )–]n 、[–NH–SiH(NtBu2 )–CH2 –SiH(NtBu2 )–]n 、[–NH–SiH(NAm2 )–CH2 –SiH(NAm2 )–]n 、[–NH–SiH(NCy戊基2 )–CH2 –SiH(NCy戊基2 )–]n 、[–NH–SiH(N己基2 )–CH2 –SiH(N己基2 )–]n 、[–NH–SiH(NCy己基2 )–CH2 –SiH(NCy己基2 )–]n 、[–NH–SiH(NMeH)–CH2 –SiH(NMeH)–]n 、[–NH–SiH(NEtH)–CH2 –SiH(NEtH)–]n 、[–NH–SiH(NnPrH)–CH2 –SiH(NnPrH)–]n 、[–NH–SiH(NiPrH)–CH2 –SiH(NiPrH)–]n 、[–NH–SiH(NBuH)–CH2 –SiH(NBuH)–]n 、[–NH–SiH(NiBuH)–CH2 –SiH(NiBuH)–]n 、[–NH–SiH(NtBuH)–CH2 –SiH(NtBuH)–]n 、[–NH–SiH(NAmH)–CH2 –SiH(NAmH)–]n 、[–NH–SiH(吡啶)–CH2 –SiH(吡啶)–]n 、[–NH–SiH(吡咯)–CH2 –SiH(吡咯)–]n 、[–NH–SiH(吡咯啶)–CH2 –SiH(吡咯啶)–]n 、以及[–NH–SiH(咪唑)–CH2 –SiH(咪唑)–]n 。至少部分基於以上討論關於SiH鍵的好處,此等前驅物適合用於旋塗應用。末端胺基配位基也可以對所得膜提供如以上討論的改良熱穩定性,以及額外的N及/或C來源。
當t =2以及R、R2 、R3 、R4 以及R5 =H,所揭示聚碳矽氮烷前驅物含有具有下式單元[–NH–SiH2 –CH2 –CH2 –SiH2 –]n (亦即 [-NH-DSB-]n )。[-NH-DSB-]n 含有多個Si-H鍵,使其對基材表面更具反應性。結果,此前驅物可適合用於沉積方法的旋塗。申請人相信,此前驅物甚至有足夠反應性而接附至Si-Cl封端或甚至Si封端基材表面。
當t=2;R2 、R3 、R4 以及R5 =H;以及R=Six H2x+1 (其中x=1至4),所揭示聚碳矽氮烷前驅物含有具有下式單元[–N(SiH3 )–SiH2 –CH2 –CH2 –SiH2 –]n 、[–N(Si2 H5 )–SiH2 –CH2 –CH2 –SiH2 –]n 、[–N(Si3 H7 )–SiH2 –CH2 –CH2 –SiH2 –]n 、及/或[–N(Si4 H9 )–SiH2 –CH2 –CH2 –SiH2 –]n 。矽基配位基的選擇可有助於提供具有所欲矽含量的膜。換言之,Si4 H9 配位基所製備膜所具有的Si比由SiH3 配位基製備的還多
當t =2;R1 、R2 、R3 、R4 以及R5 =H;以及R=SiHz (Cy H2y+1 )3-z (其中y=1至6且z=0至2),所揭示碳矽氮烷前驅物含有具有下式的單元,其包括但不限於[–N(Si(Me)3 )-SiH2 –CH2 –CH2 –SiH2 –]n 、[–N(Si(Et)3 )-SiH2 –CH2 –CH2 –SiH2 –]n 、[–N(Si(iPr)3 )-SiH2 –CH2 –CH2 –SiH2 –]n 、[–N(Si(nPr)3 )-SiH2 –CH2 –CH2 –SiH2 –]n 、[–N(Si(Bu)3 )-SiH2 –CH2 –CH2 –SiH2 –]n 、[–N(Si(iBu)3 )-SiH2 –CH2 –CH2 –SiH2 –]n 、[–N(Si(tBu)3 )-SiH2 –CH2 –CH2 –SiH2 –]n 、[–N(Si(戊基)3 )-SiH2 –CH2 –CH2 –SiH2 –]n 、[–N(Si(己基)3 )-SiH2 –CH2 –CH2 –SiH2 –]n 、[–N(SiH(Me)2 )-SiH2 –CH2 –CH2 –SiH2 –]n 、[–N(SiH(Et)2 )-SiH2 –CH2 –CH2 –SiH2 –]n 、[–N(SiH(iPr)2 )-SiH2 –CH2 –CH2 –SiH2 –]n 、[–N(SiH(nPr)2 )-SiH2 –CH2 –CH2 –SiH2 –]n 、[–N(SiH(Bu)2 )-SiH2 –CH2 –CH2 –SiH2 –]n 、[–N(SiH(iBu)2 )-SiH2 –CH2 –CH2 –SiH2 –]n 、[–N(SiH(tBu)2 )-SiH2 –CH2 –CH2 –SiH2 –]n 、[–N(SiH(戊基)2 )-SiH2 –CH2 –CH2 –SiH2 –]n 、[–N(SiH(己基)2 )-SiH2 –CH2 –CH2 –SiH2 –]n 、[–N(SiH2 (Me))–SiH2 –CH2 –CH2 –SiH2 –]n 、[–N(SiH2 (Et))–SiH2 –CH2 –CH2 –SiH2 –]n 、[–N(SiH2 (iPr))–SiH2 –CH2 –CH2 –SiH2 –]n 、[–N(SiH2 (nPr))–SiH2 –CH2 –CH2 –SiH2 –]n 、[–N(SiH2 (Bu))–SiH2 –CH2 –CH2 –SiH2 –]n 、[–N(SiH2 (iBu))–SiH2 –CH2 CH2 –SiH2 –]n 、[–N(SiH2 (tBu))–SiH2 –CH2 –CH2 –SiH2 –]n 、以及[–N(SiH2 (戊基))–SiH2 –CH2 –CH2 –SiH2 –]n 、以及[–N(SiH2 (己基))–SiH2 –CH2 –CH2 –SiH2 –]n
當t=2,R=Cy H2y+1 (y =1至6),以及R2 、R3 、R4 以及R5 =H,所揭示聚碳矽氮烷前驅物含有具有下式的單元,其包括但不限於[–N(Me)–SiH2 –CH2 –CH2 –SiH2 –]n 、[–N(Et)–SiH2 –CH2 –CH2 –SiH2 –]n 、[–N(iPr)–SiH2 –CH2 –CH2 –SiH2 –]n 、[–N(nPr)–SiH2 –CH2 –CH2 –SiH2 –]n 、[–N(Bu)–SiH2 –CH2 –CH2 –SiH2 –]n 、[–N(iBu)–SiH2 –CH2 –CH2 –SiH2 –]n 、[–N(tBu)–SiH2 –CH2 –CH2 –SiH2 –]n 、[–N(戊基)–SiH2 –CH2 –CH2 –SiH2 –]n 、以及[–N(己基)–SiH2 –CH2 –CH2 –SiH2 –]n 。此族化合物可用於具有碳含量的膜(諸如SiOC或SiNC)的沉積,因為Si-C鍵(對Si-R而言)反應性不高且可能在沉積方法期間原封不動。結果,為了避免太多C沉積,y較佳為1至3。此等前驅物也比[-NH-DSB-]n 類似物更容易合成,因為RNHR2 反應物在Et、Pr、Bu、戊基、以及己基時為液體。
當t=2;R2 、R3 、R4 以及R5 =H;以及R=R1’ R2’ R3’ Si(CH2 )b SiR4’ R5’ (其中b=1至2且R1’ 、R2’ 、R3’ 、R4’ 、以及R5’ 獨立地為H或C1 -C6 烴基),所揭示聚碳矽氮烷前驅物含有具有下式的單元,其包括但不限於[–N(SiH3 -CH2 -SiH2 )–SiH2 –CH2 –CH2 –SiH2 –]n 、[–N(SiH3 -CH2 -CH2 -SiH2 )–SiH2 –CH2 –CH2 –SiH2 –]n 、[–N(SiMe3 -CH2 -SiMe2 )–SiH2 –CH2 –CH2 –SiH2 –]n 、[–N(SiMe3 -CH2 -CH2 -SiMe2 )–SiH2 –CH2 –CH2 –SiH2 –]n 、[–N(SiEt3 -CH2 -SiEt2 )–SiH2 –CH2 –CH2 –SiH2 –]n 、以及[–N(SiEt3 -CH2 -CH2 -SiEt2 )–SiH2 –CH2 –CH2 –SiH2 –]n
當t=2;R2 、R3 、R4 以及R5 =H;以及R=R1’ R2’ R3’ Si(CH2 )b SiR4’ R5’ (其中b=1至2且R1 ’、R2’ 、R3’ 、R4’ 以及R5’ =H),所揭示聚碳矽氮烷前驅物含有具有下式的單元[–N(–SiH2 –CH2 –SiH3 )–SiH2 –CH2 –CH2 –SiH2 –]n (亦即、 [-N(DSP)-DSB-]n )或[–N(–SiH2 –CH2 –CH2 –SiH3 )–SiH2 –CH2 –CH2 –SiH2 –]n (亦即、 [-N(DSB)-DSB-]n )。[-N(DSP)-DSB-]n 以及[-N(DSB)-DSB-]n 含有多個Si-H鍵,使其對基材表面更具反應性。結果,此前驅物可適合用於沉積方法的旋塗。申請人相信,此前驅物甚至有足夠反應性而接附至Si-Cl封端或甚至Si封端基材表面。
當t=2;R2 、R3 、R4 以及R5 =H;以及R=SiHx (NR’R”)3-x (其中x=1或2且R’以及R”獨立地為Me、Et、iPr、nPr),所揭示碳矽氮烷前驅物含有具有下式的單元,其包括但不限於[-N(SiH2 NMe2 )-SiH2 ­CH2 ­CH2 ­SiH2 -]n 、[-N(SiH2 NEt2 )-SiH2 ­CH2 ­CH2 ­SiH2 -]n 、[-N(SiH2 NiPr2 )-SiH2 ­CH2 ­CH2 ­SiH2 -]n 、[-N(SiH2 NnPr2 )-SiH2 ­CH2 ­CH2 ­SiH2 -]n 、[-N(SiH2 NMeEt)-SiH2 ­CH2 ­CH2 ­SiH2 -]n 、[-N(SiH(NMe2 )2 )-SiH2 ­CH2 ­CH2 ­SiH2 -]n 、以及[-N(SiH(NEt2 )2 )-SiH2 ­CH2 ­CH2 ­SiH2 -]
式(II)呈現的示範性聚碳矽氮烷前驅物(其中t=2以及R、R3 、R4 以及R5 =H)含有具有下式的單元,其包括但不限於[–NH–H2 Si–CH2 –CH2 –SiH(CH2 =CH2 )–]n 、[–NH–H2 Si–CH2 –CH2 –SiH(CH2 -CH2 =CH2 )–]n 、[–NH–H2 Si–CH2 –CH2 –SiH(NH2 )–]n 、[–NH–H2 Si–CH2 –CH2 –SiH(NMe2 )–]n 、[–NH–H2 Si–CH2 –CH2 –SiH(NMeEt)–]n 、[–NH–H2 Si–CH2 –CH2 –SiH(NEt2 )–]n 、[–NH–H2 Si–CH2 –CH2 –SiH(NnPr2 )–]n 、[–NH–H2 Si–CH2 –CH2 –SiH(NiPr2 )–]n 、[–NH–H2 Si–CH2 –CH2 –SiH(NBu2 )–]n 、[–NH–H2 Si–CH2 –CH2 –SiH(NiBu2 )–]n 、[–NH–H2 Si–CH2 –CH2 –SiH(NtBu2 )–]n 、[–NH–H2 Si–CH2 –CH2 –SiH(NAm2 )–]n 、[–NH–H2 Si–CH2 –CH2 –SiH(NCy戊基2 )–]n 、[–NH–H2 Si–CH2 –CH2 –SiH(N己基2 )–]n 、[–NH–H2 Si–CH2 –CH2 –SiH(NCy己基2 )–]n 、[–NH–H2 Si–CH2 –CH2 –SiH(NMeH)–]n 、[–NH–H2 Si–CH2 –CH2 –SiH(NEtH)–]n 、[–NH–H2 Si–CH2 –CH2 –SiH(NnPrH)–]n 、[–NH–H2 Si–CH2 –CH2 –SiH(NiPrH)–]n 、[–NH–H2 Si–CH2 –CH2 –SiH(NBuH)–]n 、[–NH–H2 Si–CH2 –CH2 –SiH(NiBuH)–]n 、[–NH–H2 Si–CH2 –CH2 –SiH(NtBuH)–]n 、[–NH–H2 Si–CH2 –CH2 –SiH(NAmH)–]n 、[–NH–H2 Si–CH2 –CH2 –SiH(吡啶)–]n 、[–NH–H2 Si–CH2 –CH2 –SiH(吡咯)–]n 、[–NH–H2 Si–CH2 –CH2 –SiH(吡咯啶)–]n 、以及[–NH–H2 Si–CH2 –CH2 –SiH(咪唑)–]n 。至少部分基於以上討論關於SiH鍵的好處,此等前驅物適合用於旋塗應用。胺基配位基也可對最後膜提供以上討論的改良熱穩定性,以及額外的N及/或C來源。
式(II)呈現的示範性聚碳矽氮烷前驅物(其中t=2以及R、R4 以及R5 =H)含有具有下式的單元,其包括但不限於[–NH–H2 Si–CH2 –CH2 –Si(CH2 =CH2 )2 –]n 、[–NH–H2 Si–CH2 –CH2 –Si(CH2 -CH2 =CH2 )2 –]n 、[–NH–H2 Si–CH2 –CH2 –Si(NH2 )2 –]n 、[–NH–H2 Si–CH2 –CH2 –Si(NMe2 )2 –]n 、[–NH–H2 Si–CH2 –CH2 –Si(NMeEt)2 –]n 、[–NH–H2 Si–CH2 –CH2 –Si(NEt2 )2 –]n 、[–NH–H2 Si–CH2 –CH2 –Si(NnPr2 )2 –]n 、[–NH–H2 Si–CH2 –CH2 –Si(NiPr2 )2 –]n 、[–NH–H2 Si–CH2 –CH2 –Si(NBu2 )2 –]n 、[–NH–H2 Si–CH2 –CH2 –Si(NiBu2 )2 –]n 、[–NH–H2 Si–CH2 –CH2 –Si(NtBu2 )2 –]n 、[–NH–H2 Si–CH2 –CH2 –Si(NAm2 )2 –]n 、[–NH–H2 Si–CH2 –CH2 –Si(NCy戊基2 )2 –]n 、[–NH–H2 Si–CH2 –CH2 –Si(N己基2 )2 –]n 、[–NH–H2 Si–CH2 –CH2 –Si(NCy己基2 )2 –]n 、[–NH–H2 Si–CH2 –CH2 –Si(NMeH)2 –]n 、[–NH–H2 Si–CH2 –CH2 –Si(NEtH)2 –]n 、[–NH–H2 Si–CH2 –CH2 –Si(NnPrH)2 –]n 、[–NH–H2 Si–CH2 –CH2 –Si(NiPrH)2 –]n 、[–NH–H2 Si–CH2 –CH2 –Si(NBuH)2 –]n 、[–NH–H2 Si–CH2 –CH2 –Si(NiBuH)2 –]n 、[–NH–H2 Si–CH2 –CH2 –Si(NtBuH)2 –]n 、[–NH–H2 Si–CH2 –CH2 –Si(NAmH)2 –]n 、[–NH–H2 Si–CH2 –CH2 –Si(吡啶)2 –]n 、[–NH–H2 Si–CH2 –CH2 –Si(吡咯)2 –]n 、[–NH–H2 Si–CH2 –CH2 –Si(吡咯啶)2 –]n 、以及[–NH–H2 Si–CH2 –CH2 –Si(咪唑)2 –]n 。至少部分基於以上討論關於SiH鍵的好處,此等前驅物適合用於旋塗應用。胺基配位基也對所得膜提供如以上討論的改良熱穩定性,以及額外的N及/或C來源。
式(II)呈現的示範性聚碳矽氮烷前驅物(其中t=2以及R、R3 以及R5 =H)含有具有下式的單元,其包括但不限於[–NH–SiH(CH2 =CH2 )–CH2 –CH2 –SiH(CH2 =CH2 )–]n 、[–NH–SiH(CH2 -CH2 =CH2 )–CH2 –CH2 –SiH(CH2 -CH2 =CH2 )–]n 、[–NH–SiH(NH2 )–CH2 –CH2 –SiH(NH2 )–]n 、[–NH–SiH(NMe2 )–CH2 –CH2 –SiH(NMe2 )–]n 、[–NH–SiH(NMeEt)–CH2 –CH2 –SiH(NMeEt)–]n 、[–NH–SiH(NEt2 )–CH2 –CH2 –SiH(NEt2 )–]n 、[–NH–SiH(NnPr2 )–CH2 –CH2 –SiH(NnPr2 )–]n 、[–NH–SiH(NiPr2 )–CH2 –CH2 –SiH(NiPr2 )–]n 、[–NH–SiH(NBu2 )–CH2 –CH2 –SiH(NBu2 )–]n 、[–NH–SiH(NiBu2 )–CH2 –CH2 –SiH(NiBu2 )–]n 、[–NH–SiH(NtBu2 )–CH2 –CH2 –SiH(NtBu2 )–]n 、[–NH–SiH(NAm2 )–CH2 –CH2 –SiH(NAm2 )–]n 、[–NH–SiH(NCy戊基2 )–CH2 –CH2 –SiH(NCy戊基2 )–]n 、[–NH–SiH(N己基2 )–CH2 –CH2 –SiH(N己基2 )–]n 、[–NH–SiH(NCy己基2 )–CH2 –CH2 –SiH(NCy己基2 )–]n 、[–NH–SiH(NMeH)–CH2 –CH2 –SiH(NMeH)–]n 、[–NH–SiH(NEtH)–CH2 –CH2 –SiH(NEtH)–]n 、[–NH–SiH(NnPrH)–CH2 –CH2 –SiH(NnPrH)–]n 、[–NH–SiH(NiPrH)–CH2 –CH2 –SiH(NiPrH)–]n 、[–NH–SiH(NBuH)–CH2 –CH2 –SiH(NBuH)–]n 、[–NH–SiH(NiBuH)–CH2 –CH2 –SiH(NiBuH)–]n 、[–NH–SiH(NtBuH)–CH2 –CH2 –SiH(NtBuH)–]n 、[–NH–SiH(NAmH)–CH2 –CH2 –SiH(NAmH)–]n 、[–NH–SiH(吡啶)–CH2 –CH2 –SiH(吡啶)–]n 、[–NH–SiH(吡咯)–CH2 –CH2 –SiH(吡咯)–]n 、[–NH–SiH(吡咯啶)–CH2 –CH2 –SiH(吡咯啶)–]n 、以及[–NH–SiH(咪唑)–CH2 –CH2 –SiH(咪唑)–]n 。至少部分基於以上討論關於SiH鍵的好處,此等前驅物適合用於旋塗應用。胺基配位基也對所得膜提供如以上討論的改良熱穩定性,以及額外的N及/或C來源。
一個示範性合成方法中,所揭示前驅物可使用含有鹵素的反應物合成。第二示範性合成方法中,不需要含有鹵素的反應物。二種揭示合成方法皆可提供高產量。所揭示合成方法比習用合成方法更具選擇性(亦即,可能產生比先前技藝方法更多所欲前驅物)。無鹵素合成方法可用於製造與對鹵化物敏感的基材一起使用的前驅物。
申請人已發現,特定溶劑極性的選擇有助於減少合成不要的副產物。舉例而言,在非極性溶劑中,可以選擇性製備RN(R4 R5 Si(CH2 )m SiR1 R2 R3 )2 ,而製備最小量的副產物N(R4 R5 Si(CH2 )m SiR1 R2 R3 )3 。相反的,在極性溶劑中,可以選擇性製備含有N(R4 R5 Si(CH2 )m SiR1 R2 R3 )3 的化合物而產生最小量的RN(R4 R5 Si(CH2 )m SiR1 R2 R3 )2 副產物。
所揭示合成方法可以被放大倍數而製備大量產物。舉例而言,放大至約略1 kg至約略100 kg。
示範性無鹵素合成路徑中,具有式H3 Si(CH2 )SiH3 (亦即,DSP)的起始反應物在基於過渡金屬的異質或均質催化劑存在下於壓力反應器中與氨反應。該反應可為無溶劑或使用溶劑。示範性催化劑包括但不限於Ru、Pt、Pd。如果使用溶劑,該溶劑可選自烴、胺、醚。該反應可產生二取代或三取代產物的混合物(例如,HNDSP2以及NDSP3)、或N-DSP的直鏈或分支鏈寡聚物(亦即,含有具有式[-NR-DSP-]n 單元的前驅物,其中R係定義同上)。可將反應參數最適化以產生所欲前驅物。示範性反應參數包括反應溫度、化學計量以及反應時間。
以DSB起始反應物取代上述DSP起始反應物產生HNDSB2或NDSB3。反應式如下所示。H3 Si-CH2 -CH2 -SiH3 + NH3 → HNDSB2;H3 Si-CH2 -CH2 -SiH3 + NH3 → NDSB3
含有N-DSB的寡聚物[–NH–DSB–]n 以及 [–N(DSB)–DSB–]n (n= 2至400)可在在基於過渡金屬的異質催化劑(如但不限於Ru、Pt、Pd)以及基於過渡金屬的均質催化劑存在下於壓力反應器中藉以DSB取代DSP經由無鹵素路徑以及在20-150°C加熱該混合物而合成。H3 Si-CH2 -CH2 -SiH3 + NH3 → HN(DSB)2 → [–NH–DSB–]n ;H3 Si-CH2 -CH2 -SiH3 + NH3 → N(DSB)3 → [–N(DSB)–DSB–]n
起始DSP或DSB反應物可藉由使LiAlH4 (LAH)與在二甘醇二甲醚(diglyme)(H3 COC2 H4 OC2 H4 OCH3 )中的SiCl3 CH2 SiCl3 或在二-n-丁醚(H9 C4 OC4 H9 )中的SiCl3 CH2 CH2 SiCl3 反應而合成。3LiAlH4 + 2SiCl3 CH2 SiCl3 → 2DSP + 3LiAlCl4 or 3LiAlH4 + 2SiCl3 CH2 CH2 SiCl3 → 2DSB + 3LiAlCl4
可替代的,氨反應物可被具有式R-NH2 的胺(其中R為C1 -C6 直鏈、分支鏈、飽和或不飽和烴)取代。此無鹵素反應產生(-DSP-NR-)或(-DSB-NR-)。
RN(-SiR4 R5 -CH2 -SiR1 R2 R3 )2 可在壓力反應器中在基於過渡金屬的異質或均質催化劑存在下、有或無溶劑之下、藉由混合HN(-SiR4 R5 -CH2 -SiR1 R2 R3 )2 與碳矽烷(例如,H3 SiCn H2n SiH3 )或相應含有R的化合物而形成。示範性催化劑包括但不限於Ru、Pt、Pd。混合物經加熱至20-150°C之間溫度範圍。反應產生含有RNDSP2、NDSP3以及N-DSP組合的寡聚物。純含有RNDSP2、NDSP3或N-DSP的寡聚物可藉由適當蒸餾或分離方法而獲得。舉例而言,(H3 Si-CH2 -SiH2 -)2 -N-SiH2 -Cn H2n -SiH3 可在壓力反應器中藉著使HN(DSP)2 與碳矽烷H3 SiCn H2n SiH3 於催化劑(諸如Ru/C、Pt/C、Pd/C)存在下反應而合成。
HN(-SiR4 R5 -CH2 -SiR1 R2 R3 )2 可與具有式Six R’2x+2 (x=1-4)的矽烷反應而製備[Six R’2x+1 ]-N(-SiR4 R5 -CH2 -SiR1 R2 R3 )2 。更特別的,HNDSP2與SiH4 反應而製備(SiH3 )N(DSP)2 。在壓力反應器中於基於過渡金屬的異均催化劑(諸如Ru、Pt、Pd)以及基於過渡金屬的均質催化劑存在下發生脫氫耦合反應(無鹵素路徑)。合成可在有或無溶劑下進行。混合物經加熱至20-150°C之間的溫度。HN(DSP)2 + Sin H2n+2 → RN(DSP)2 ,R= Sin H2n+1 ;n=1至4。當n=1,也可以製備(DSP)-N(SiH3 )-(DSP)。當n=2,也可以製備(DSP)-N(Si2 H5 )-(DSP)。
另一個實例,HN(-SiR4 R5 -CH2 -SiR1 R2 R3 )2 可與具有式SiH3 Cx H2x+1 (x = 1-4)的碳矽烷反應而製備(SiH2 Cx H2x+1 )N(-SiR4 R5 -CH2 -SiR1 R2 R3 )2 。更特別的是,HN(DSP)2 與SiH3 Me反應而製備(MeSiH2 )N(DSP)2
(DSP)2 N-(SiH2 (CH2 )n SiH3 )或(DSB)2 N-(SiH2 (CH2 )n SiH3 )(其中n=1至2)可藉由使HN(DSP)2 或HN(DSB)2 與(H3 SiCn H2n SiH3 ) (n = 1至2)在壓力反應器中藉脫氫耦合(無鹵素路徑)於催化劑如Ru/C、Pt/C、Pd/C存在下反應而合成,其具有以下反應式:(DSP)2 N-H + H3 SiCn H2n SiH3 = (DSP)2 N-SiH2 Cn H2n SiH3 + H2 ,其中n=1至2。
可替代的,RNDSP2或RNDSB2產物可經由鹵化路徑合成。HNDSP2與在烷溶劑中的相應鹵化烷、矽烷、或碳矽混合。合適的溶劑包括烴或醚溶劑如二乙醚、四氫呋喃(THF)、乙二醇二甲基醚或苯甲醚(anisole)。因為HCl為此反應的副產物,所以需要HCl去除劑。示範性HCl去除劑包括任何胺,但是較佳為第三胺。舉例而言,(H3 Si-CH2 -SiH2 -)2 -N-SiH2 -Cn H2n -SiH3 (n=1至2)可藉由使HN(DSP)2 或HN(DSB)2 以及相應鹵化碳矽烷(X-H2 SiCn H2n SiH3 ;X=Cl、Br、I、n=1至2)在有或無溶劑反應而合成。示範性溶劑包括烴或芳香族溶劑如苯、甲苯、第三胺等。
HN(-SiR4 R5 -CH2 -SiR1 R2 R3 )2 或N(-SiR4 R5 -CH2 -SiR1 R2 R3 )3 可藉由在溶劑中混合X-N(-SiR4 R5 -CH2 -SiR1 R2 R3 )2 以及NH3 選擇性地合成。如果使用非極性溶劑(諸如甲苯),則產生HN(-SiR4 R5 -CH2 -SiR1 R2 R3 )2 。如果使用極性溶劑,則產生N(-SiR4 R5 -CH2 -SiR1 R2 R3 )3 。示範性但非限制性極性溶劑包括醚溶劑諸如二乙醚、THF、乙二醇二甲基醚(glymes)或苯甲醚。舉例而言,NDSP3可藉由在醚溶劑中混合DSP-Cl以及氨選擇性地合成。可替代的,HNDSP2可藉由在甲苯中混合DSP-Cl以及氨選擇性地合成。因為HCl為此等反應的副產物,所以需要HCl去除劑。示範性HCl去除劑包括但不限於胺以及較佳為第三胺或過量氨,視所欲產物而定。
HN(-SiR4 R5 -CH2 -SiR1 R2 R3 )2 可與具有式R-X的鹵化烷(其中X為Cl、Br、或I且R=Cx H2x+2 )反應而產生(Cx H2x+1 )N(-SiR4 R5 -CH2 -SiR1 R2 R3 )2 。舉例而言,HN(DSP)2 與CH3 Cl反應而產生(Me)N(DSP)2
(SiH2 NMe2 )N(DSP)2 可藉HN(DSP)2 以及X-SiH2 NMe2 在有或無溶劑下反應而合成。溶劑可為烴溶劑、第三胺等。
(DSP)2 N-(SiH2 (CH2 )n SiH3 )或(DSB)2 N-(SiH2 (CH2 )n SiH3 )可藉HN(DSP)2 或HN(DSB)2 與相應鹵化碳矽烷(X-H2 SiCn H2n SiH3 ;X=Cl、Br、I)在有或無溶劑下反應而合成。示範性非限制性溶劑包括烴溶劑、芳香族溶劑如苯、甲苯等、第三胺等。
所揭示碳矽氮烷RN(DSP)2 或RN(DSB)2 可藉由混合(DSP)2 NH與nBuLi(直鏈或分支鏈烷基鋰)而合成。(DSP)2 NH上的酸性質子可藉由與nBuLi反應、接著藉由在烴溶劑(諸如但不限制於戊烷、己烷等)或醚溶劑(諸如但不限制於二乙醚、THF、乙二醇二甲基醚或苯甲醚)中混合具有式R-X(其中X=Cl、Br或I;R為烷、矽烷、碳矽烷、苯基)的鹵化化合物或矽胺基(SiNR’2 或SiNR’R”,其中R’以及R”每一個獨立地為H、烴(C1至C12)而萃取。舉例而言,HNDSP2與SiMe3 X反應而產生(SiMe3 )N(DSP)2 ;HNDSP2與CH3 X反應而產生(Me)N(DSP)2 。(DSP)2 N-[CH2 ]n H(其中n=1-6)可藉由使HNDSP2與nBuLi反應,接著在烴溶劑(如戊烷、己烷等)或醚溶劑(如二乙醚、THF等、芳香族溶劑如苯、甲苯等)中與烷基鹵化物(烷基=Cn H2n+1 且鹵化物=Cl、Br、I)反應而合成,其具有反應式:HN(DSP)2 +R-X → RN(DSP)2 + HCl,其中X=Cl、Br或I;R=Cn H2n+1 ;n=1-6。
可替代的,HN(DSB)2 以及N(DSB)3 也可藉由以DSB-Cl取代DSP-Cl在用於產生N(DSP)2 以及N(DSP)3 的上述涉及鹵素路徑選擇性地產生。ClSiH2 -CH2 -CH2 -SiH3 +NH3 → HN(DSB)2 +HCl;ClSiH2 -CH2 -CH2 -SiH3 + NH3 → N(DSB)3 +HCl。在非極性溶劑中,可以選擇性地產生HN(DSB)2 。以極性溶劑取代非極性溶劑,N(DSB)3 可在使用涉及鹵素路徑選擇性地產生。
一般技藝人士會認知,經取代的DSP以及DSB反應物可分別使用HSiR2 -CH2 -SiR3 或ClSiR2 -CH2 -SiR3 以及脫氫耦合或Cl交換路徑而合成。
為了確保方法可靠性,所得形成含矽膜之組成物在使用前可藉連續或分批蒸餾或昇華被純化至約略90% w/w至約略100% w/w範圍、較佳在約略99% w/w至約略100% w/w範圍的純度。形成含矽膜之組成物可含有任何以下雜質:不要的同源種類;溶劑;氯化金屬化合物;或其它反應產物。較佳者,此等雜質總量低於0.1% w/w。
經純化材料中每一個溶劑(諸如甲苯、己烷、經取代己烷、戊烷、經取代戊烷、二乙醚、THF、乙二醇二甲基醚、二甲氧基醚、或苯甲醚的濃度可為約略0% w/w至約略5% w/w、較佳約略0% w/w至約略0.1% w/w的範圍。溶劑可用於組成物的合成。如果二種溶劑具有類似沸點,很難從組成物分離溶劑。冷卻混合物可產生在液體溶劑中的固態前驅物,可藉過濾予以分離。只要前驅物產物不被加熱至約略高於其分解點,也可以使用真空蒸餾。
一個具體實例中,所揭示形成含矽膜之組成物含有小於5% v/v、較佳小於1% v/v、更佳小於0.1% v/v、以及甚至更佳小於0.01% v/v的任何其不要的同源種類、反應物、或其它反應產物。此具體實例可提供較佳的方法再現性。此具體實例可藉由形成含矽膜之組成物的蒸餾而產生。在可替代具體實例中,特別是當混合物提供改良方法參數或分離標的前驅物太困難或太昂貴時,所揭示形成含矽膜之組成物可含有5% v/v以及50% v/v之間的碳矽氮烷或聚碳矽氮烷前驅物。舉例而言,反應產物混合物可產生適合用於旋塗或氣相沉積的穩定液體混合物。
形成含矽膜之組成物中每一種微量金屬以及類金屬濃度可為約略0 ppbw至約略500 ppbw、較佳約略0 ppbw至約略100 ppbw、以及更佳約略0 ppbw至約略10 ppbw範圍。一般技藝人士會認知,使用反應物(諸如氫氟酸、硝酸或硫酸)萃取、以及藉原子吸收光譜分析、或使用類似分析技術測定微量金屬以及類金屬濃度。一般技藝人士會進一步認知,用於氣相沉積前驅物所需濃度可低於用於聚合物前驅物者。
經純化的形成含矽膜之組成物中的鹵素濃度可為約略0 ppmw至約略1000 ppmw、較佳0 ppmw至500 ppmw、以及更佳0 ppmw至100 pppmw的範圍。鹵素濃度可藉氣相層析原子發射光譜法(GC-AES)或為此技藝習知的其它技術加以測定。此等分析技術提供共價鍵結鹵素-矽烷鹵素以及鹵化物離子二者的總濃度。可替代的,鹵化物濃度可藉離子層析加以測定。一般技藝人士會認知,特別當前驅物包括Si-鹵素鍵時,鹵化物濃度可低於相同前驅物的鹵素濃度。鹵化物濃度可為約略0 ppmw至約略500 ppmw、較佳約略0 ppmw至約略250 ppmw、以及更佳約略0 ppmw至約略75 ppmw範圍。
也揭示使用揭示的式I或式II前驅物供氣相沉積方法的方法。為了適合用於氣相沉積方法,所揭示前驅物應具有約略150至約略600、較佳約略200至約略400範圍的分子量。所揭示方法提供形成含矽膜之組成物在沉積含矽膜的用途。所揭示方法可用於製備半導體、光伏、LCD-TFT、或平坦面板型裝置。該方法包括:將所揭示形成含矽膜之組成物的蒸氣導入內部配置基材的反應器:以及經由沉積方法將至少部分所揭示碳矽氮烷或聚碳矽氮烷前驅物沉積至基材上而形成含矽層。
所揭示方法也提供使用氣相沉積方法在基材上形成含雙金屬層以及、更特別的用於SiMOx 膜沉積,其中x可為0-4以及M為Ta、Hf、Nb、Mg、Al、Sr、Y、Ba、Ca、As、Sb、Bi、Sn、Pb、Co、鑭系元素(諸如Er)、或其組合。
所揭示在基材上形成含矽層的方法可用於製造半導體、光伏、LCD-TFT、或平坦面板型裝置。使用為此技藝習知的任何氣相沉積方法,所揭示形成含矽膜之組成物可沉積含矽膜。適合的氣相沉積方法實例包括化學氣相沉積(CVD)或原子層沉積(ALD)。示範性的CVD方法包括熱CVD、電漿增強CVD(PECVD)、脈衝CVD(PCVD)、低壓CVD(LPCVD)、次大氣壓CVD(SACVD)或大氣壓CVD(APCVD)、流動式CVD(f-CVD)、金屬有機化學氣相沉積(MOCVD)、熱絲CVD(HWCVD(也稱為cat-CVD),其中熱絲作為沉積方法的能量來源)、併有自由基之CVD、以及其組合。示範性ALD方法包括熱ALD、電漿增強ALD(PEALD)、空間隔離ALD、熱絲ALD(HWALD)、併有自由基之ALD、以及其組合。也可以使用超臨界流體沉積。為了提供合適步驟覆蓋以及膜厚度控制,沉積方法較佳為ALD、空間隔離ALD、或PE-ALD。
將形成含矽膜之組成物的蒸氣導入含有基材的反應腔室。反應腔室內的溫度以及壓力以及基材溫度經維持在適合至少部分碳矽氮烷前驅物氣相沉積至基材上的條件。換言之,將氣化組成物導入腔室內後,腔室內條件使得至少部分氣化前驅物沉積至基材上而形成含矽膜。也可以使用共反應物協助形成該含矽層。
反應腔室可為裝置的任何殼體或腔室,在其中產生沉積方法,諸如而不限於平行板型反應器、冷壁型反應器、熱壁型反應器、單晶圓反應器、多晶圓反應器、或其它此種型號沉積系統。所有此等示範性反應腔室能夠作為ALD反應腔室。反應腔室可維持在約0.5 mTorr至約20 Torr範圍的壓力。此外,反應腔室內溫度可在約20°C至約600°C範圍。一般技藝人士會認知,經由實驗可將溫度最適化而達到所要結果。
反應器溫度可藉由控制基材固定器溫度或控制反應器壁溫度加以控制。用於加熱基材的裝置為此技藝習知者。反應器壁經加熱至獲得在足夠生長率以及所欲物理狀態以及組成物的所欲膜的足夠溫度。反應器壁可被加熱的非限制示範性溫度範圍包括約略20°C至約略600°C。當使用電漿沉積方法時,沉積溫度可為約略20°C至約略550°C範圍。可替代的,當進行熱方法時,沉積溫度可為約略300°C至約略600°C範圍。
可替代的,基材可經加熱至獲得在足夠生長率以及所欲物理狀態以及組成物的所欲膜的足夠溫度。基材可被加熱的非限制示範性溫度範圍包括150°C至600°C。較佳者,基材溫度維持小於或等於500°C。
含矽膜將沉積在其上的基材種類會視最終所欲用途而改變。一般而言,基材係定義為在其上進行方法的材料。基材可為用於半導體、光伏、平板、或LCD-TFT裝置之製造的任何合適基材。合適基材的實例包括晶圓,諸如矽、二氧化矽、玻璃、Ge、或GaAs晶圓。晶圓可具有沉積在其上的來自先前製造步驟的一或多層不同材料。舉例而言,晶圓可包括矽層(結晶、非結晶、多孔等)、二氧化矽層、氮化矽層、氮化矽氧層、摻雜碳的二氧化矽(SiCOH)層、或其組合。額外的,晶圓可包括銅層、鎢層或金屬層(例如鉑、鈀、鎳、銠、或金)。晶圓可包括阻障層,諸如錳、氧化錳、鉭、氮化鉭等。也可以使用塑膠層,諸如聚(3,4-伸乙二氧基噻吩)聚(苯乙烯磺酸酯)[PEDOT:PSS]。該層可為平面或圖案化。在一些具體實例中,基材可為由氫化碳製成的圖案化光阻膜,舉例而言CHx ,其中x大於0(例如,x ≤4)。在一些具體實例中,基材可包括氧化物層,係作為介電材料MIM、DRAM、或FeRam技術(例如,基於ZrO2 的材料、基於HfO2 的材料、基於TiO2 的材料、基於稀土族氧化物的材料、基於三元氧化物的材料等)或來自基於氮化物的膜(例如,TaN),係作為銅與低-k層之間的氧阻障。所揭示方法可將含矽層直接沉積於晶圓上或直接沉積在晶圓上一層或大於一層上(當圖案化層形成該基材)。再者,一般技藝人士會認知,本文所用「膜」或「層」用語指的是塗抺或散佈於表面上的一些材料厚度且該表面可為溝槽或線條。說明書以及申請專利範圍全文中,晶圓以及任何其之上相關層稱為基材。所用實際基材也可視所用特定前驅物具體實例而定。在許多情況下,所用較佳基材將選自氫化碳、TiN、SRO、Ru、以及Si型基材,諸如聚矽或結晶矽基材。
基板可圖案化為包括具有高縱橫比之通孔或溝槽。舉例而言,諸如SiO2 之保形含矽膜可使用任何ALD技術沉積於縱橫比在約略20:1至約略100:1範圍內之穿矽通孔(TSV)上。在另一個實例中,溝槽可藉由流動式CVD被填充聚矽氮烷或聚碳矽氮烷以及藉退火或UV硬化被轉換成硬膜。如果在氧化大氣中進行退火或UV硬化,該膜可被轉換成含氧化矽膜。可替代的,如果在惰性、氮化大氣(NH3 、肼、胺、NO)或碳化大氣退火或UV硬化,膜可被轉換成含氮化矽或氮碳化矽膜。
形成含矽膜之組成物可以純淨態供應。可替代的,形成含矽膜之組成物可進一步包含適合氣相沉積的溶劑。溶劑可選自C1 -C16 飽和或不飽和烴、四氫呋喃(THF)、草酸二甲酯(DMO)、醚、吡啶、甲基異丁基酮、環己酮、乙醇、四丙醇、或其組合。
對氣相沉積而言,藉由習用方式諸如管式及/或流量計將呈蒸氣形式的形成含矽膜之組成物導入反應器。可藉由習知氣化步驟(諸如直接氣化、蒸餾、或藉由鼓泡或藉由使用昇華器(諸如Xu等人之PCT公開案WO2009/087609中所揭示之昇華器))氣化組成物來產生呈氣相形式之組成物。組成物可以液態形式被送至氣化器,組成物在導入反應器之前在此被氣化。可替代的,藉由使載體氣體通過含有前驅物的容器或藉由將載體氣體鼓泡入前驅物,可將組成物氣化。載體氣體可包括但不限於Ar、He、或N2 、以及其混合物。使用載體氣體鼓泡也可以移除任何存在於組成物的溶解氧。然後,載體氣體以及前驅物以蒸氣形式被被導入反應器。
若有需要,容器可被加熱至容許形成含矽膜之組成物呈液相以及具有足夠蒸氣壓的溫度。容器可被維持在舉例而言,0-150°C範圍的溫度。熟習此項技術者會認知,容器溫度可以習知方式被調整以控制氣化的形成含矽膜之組成物氣體之量。
除了所揭示組成物,反應氣體也可被導入反應器。反應氣體可為氧化劑諸如O2 ;O3 ;H2 O;H2 O2 ;含氧自由基諸如O· 或OH· ;NO;NO2 ;羧酸諸如甲酸、乙酸、丙酸;NO、NO2 、或羧酸的自由基種類;多聚甲醛;以及其混合物。較佳者,氧化劑係選自由O2 、O3 、H2 O、H2 O2 、其含氧自由基諸如O· 或OH· 、以及其混合物組成之群。較佳者,當進行ALD方法時,共反應物為經電漿處理的氧、臭氧、或其組合。當使用氧化氣體時,所得含矽膜也會含有氧。
可替代的,反應氣體可為諸如以下中之一者的還原劑:H2 ;NH3 ;(SiH3 )3 N;氫化矽烷(諸如SiH4 、Si2 H6 、Si3 H8 、Si4 H10 、Si5 H10 、Si6 H12 );氯矽烷及氯聚矽烷(諸如SiHCl3 、SiH2 Cl2 、SiH3 Cl、Si2 Cl6 、Si2 HCl5 、Si3 Cl8 );烷基矽烷(諸如Me2 SiH2 、Et2 SiH2 、MeSiH3 、EtSiH3 );聯胺(諸如N2 H4 、MeHNNH2 、MeHNNHMe);有機胺(諸如NMeH2 、NEtH2 、NMe2 H、NEt2 H、NMe3 、NEt3 、(SiMe3 )2 NH);吡唑啉;吡啶;含B分子(諸如B2 H6 、三甲基硼、三乙基硼、硼氮炔、經取代硼氮炔、二烷基胺基硼烷);烷基金屬(諸如三甲基鋁、三乙基鋁、二甲基鋅、二乙基鋅);其自由基物質;或其混合物。當使用還原劑時,所得含矽膜可為純Si。
可替代的,反應氣體可選自由H2 、NH3 、SiH4 、Si2 H6 、Si3 H8 、SiH2 Me2 、SiH2 Et2 、N(SiH3 )3 、基氫自由基、以及其混合物組成之群。
可替代的,反應氣體可為HCDS或PCDS。
可替代的,反應氣體可為烴、飽和或不飽和、直鏈、分支鏈或環狀,諸如但不限於乙烯、乙炔、丙烯、異戊二烯、環己烷、環己烯、環己二烯、戊烯、戊炔、環戊烷、丁二烯、環丁烷、萜品烯、辛烷、辛烷、或其組合。
反應氣體可經電漿處理,以便使反應氣體分解成其自由基形式。當經電漿處理時,N2 亦可用作還原劑。舉例而言,可在約50 W至約500 W,較佳約100 W至約200 W範圍內之功率下產生電漿。電漿可產生或存在於反應器自身內。或者,電漿一般可在一個位置處,例如在遠端定位電漿系統中自反應器移除。熟習此項技術者將認識到適合於此類電漿處理之方法及裝置。
所欲含矽膜也含有另外元素,諸如,舉例而言以及不受限於B、Zr、Hf、Ti、Nb、V、Ta、Al、Si、Ge。
所揭示形成含矽膜之組成物亦可與鹵代矽烷或聚鹵代二矽烷或聚鹵代三矽烷一起使用,諸如六氯二矽烷、五氯二矽烷或四氯二矽烷或八氯三矽烷及一或多種共反應物氣體以形成SiN或SiCN膜,如PCT公開案第WO2011/123792號中所揭示,該公開案之全部內容以全文引用的方式併入本文中。
可將形成含矽膜之組成物及一或多種共反應物的蒸氣同時(化學氣相沉積)、依次(原子層沉積)或以其他組合形式引入反應腔室中。舉例而言,形成含矽膜之組成物可在一個脈衝中引入且兩種其他金屬源可在單獨的脈衝中一起引入(改良的原子層沉積)。可替代的,反應腔室可在引入形成含矽膜之組成物之前已含有共反應物。共反應物可穿過位於反應腔室處或遠離反應腔室的電漿系統,且分解成自由基,如在流動式CVD組態中。可替代的,形成含矽膜之組成物可連續引入反應腔室中,同時其他前驅物或反應物藉由脈衝(脈衝-化學氣相沉積)引入。在另一替代方案中,形成含矽膜之組成物及一或多種共反應物可同時自噴灑頭噴灑,其下固持若干晶圓之晶座旋轉(空間隔離ALD)。
在一個非限制性示範性原子層沉積方法中,將形成含矽膜之組成物之蒸氣相引入反應腔室中,其中其與適合基板接觸。隨後,可藉由吹洗及/或抽空反應腔室自反應腔室移除過量的組成物。將氧源引入反應腔室中,其中其以自我限制方式與經吸收之碳矽氮烷或聚碳矽氮烷前驅物反應。藉由吹洗及/或抽空反應腔室自反應腔室移除任何過量的氧源。若所需膜為氧化矽膜,則此兩步法可提供所需膜厚度或可重複直至已獲得具有所需厚度之膜。
可替代的,若所需膜為矽金屬/類金屬氧化膜(亦即,SiMOx ,其中x可為0至4且M為B、Zr、Hf、Ti、Nb、V、Ta、Al、Si、Ge、或其組合),則上述兩步驟法之後可將含金屬或含類金屬前驅物之蒸氣引入反應腔室中。含金屬或含類金屬前驅物將基於沉積之矽金屬/類金屬氧化膜之性質選擇。引入反應腔室中之後,使含金屬或含類金屬前驅物與基板接觸。藉由吹洗及/或抽空反應腔室自反應腔室移除任何過量的含金屬或含類金屬前驅物。可再將氧源引入反應腔室中以使其與含金屬或含類金屬前驅物反應。藉由吹洗及/或抽空反應腔室自反應腔室移除過量的氧源。若已獲得所需膜厚度,則可終止方法。然而,若需要較厚的膜,則可重複整個四步驟方法。藉由交替提供形成含矽之膜組成物、含金屬或含類金屬前驅物及氧源,可沉積具有所需組成及厚度之膜。
另外,藉由改變脈衝之數目,可獲得具有所需化學計量M:Si比之膜。舉例而言,SiMO2 膜可藉由具有一個形成含矽膜之組成物脈衝及一個含金屬或含類金屬前驅物之脈衝(其中各脈衝之後為氧源脈衝)獲得。然而,一般技藝人士將認知,獲得所需膜需要的脈衝之數目可不等於所得膜之化學計量比
另一個替代方案中,可使用所揭示組成物以及含N共反應物如氨、N2 、N2 /H2 混合物、或胺經由ALD或改良電漿-增強ALD方法沉積Si或緻密SiCN膜。對N2 以及N2 /H2 混合物而言,共反應物必須藉電漿以直接(腔室內)或遠端方式活化。
又另一個替代方案中,可藉由U.S.專利申請公開號2014/0051264揭示的流動式PECVD(f-PECVD)方法且使用揭示的形成含矽膜之組成物以及自由基含氮或含氧共反應物而沉積含矽膜。自由基含氮或含氧共反應物,諸如分別為NH3 或H2 O,係在遠端電漿系統生成。所揭示組成物的自由基共反應物以及蒸氣相經導入反應腔室,其在此處反應以及將起始流動膜沉積在基材上。申請人相信,所揭示化合物的氮原子有助於進一步改良沉積膜的流動性,造成膜具有相較於該等由其它前驅物製成者較少孔隙。申請人相信,使用所揭示形成含矽膜之組成物於具有NH3 電漿的流動式CVD方法中的沉積膜將會製成具有所欲關於氧化矽膜的蝕刻選擇性的SiCN膜,因為前驅物的Si-C-Si骨架提供膜具有足夠的C含量。
又另一個替代方案中,可單獨藉由冷凝(熱流動式CVD、或T-FCVD)使晶圓維持在低於腔室內前驅物分壓下前驅物露點的溫度,使流動膜沉積。對此種應用而言,具有低蒸氣壓前驅物(一般而言,在室溫下< 50 torr,以及又較佳在室溫下 < 10 torr)對在不使晶圓急冷至非常低溫度下便利前驅物冷凝是有益的。經取代或未經取代的N(DSP)3 以及RN(DSP)2 族分子具有合適的揮發性範圍。然後可以藉由一種或幾種各種方式實現此種膜的交聯,該方式包括但不限於將沉積的膜暴露於反應性氣體、電漿、光子、電子束、中性粒子束或催化劑。催化劑可經預沉積、共沉積或後沉積,且可藉由諸如加熱或光子暴露之方式活化。以化學方式而言,此交聯可藉由不限於以下之各種化學反應達成:Si-H/N-H H2 去除、矽氫化、藉胺基縮合形成矽氮烷、藉矽醇基縮合形成團矽氧烷、開環聚合反應、及/或脫氫耦合。
也揭示將式(I)或(II)呈現的所揭示前驅物用於塗佈沉積方法(諸如旋轉塗佈、噴霧塗佈、浸漬塗佈或狹縫塗佈技術)的方法。要適合用於塗佈方法,所揭示前驅物應該具有約略500至約略1,000,000、較佳約略1,000至約略100,000、以及更佳約略3,000至約略50,000範圍的分子量。所揭示方法提供形成含矽膜之組成物在含矽膜沉積的用途。所揭示方法可用於製備半導體、光伏、LCD-TFT、光學塗佈、或平坦面板型裝置。該方法包括:將所揭示形成含矽膜之組成物之液體形式施用於基材上以及使其硬化而在基材上形成含矽層。
如以上所討論者,所揭示形成含矽膜之組成物之液體形式可為前驅物的純淨溶液或為前驅物與揮發性溶劑以及視需要的交聯起始劑諸如自由基產生劑(熱或光啟始)以及催化劑的混合物。熱活化(過氧化物或氮雜化合物)或UV啟始(例如苯酮、或醌)自由基起始劑可包括於形成含矽膜之組成物中。在UV活化或/以及加熱下促進膜交聯的催化劑也可以包括於形成含矽膜之組成物中。此種催化劑包括光酸產生劑、路易士酸以及典型矽氫化催化劑。此種化合物當中,B(C6 F5 )3 為特別的化合物,因為它為路易士酸且為強脫氫催化劑。
示範性塗佈沉積方法包括旋塗。圖1 提供示範性旋塗方法的流程圖。一般技藝人士會認知,在不偏離本文教示情況下,比 1 所提供者少或多步驟者也可以進行。舉例而言,R&D設定中所用的特徵步驟在商業操作中可能是不必要的。一般技藝人士會進一步認知,該方法較佳在惰性大氣中進行以避免產生不想要的膜氧化及/或在淨室中進行以有助於避免污染而防止膜的粒子污染。
含矽膜即將沉積在其上的平面或圖案化基材可被製備供步驟1-4的沉積方法使用。製備方法使用高純度氣體以及溶劑。一般而言,氣體為半導體等級且沒有粒子污染。對半導體用途而言,溶劑應該不含粒子,一般而言小於100粒子/毫升(0.5 µm 粒子,更佳小於10粒子/毫升),且不含會造成表面污染的非揮發性殘留物。建議半導體等級溶劑具有小於50 ppb 金屬污染(對每一元素而言,較佳小於5 ppb)。
步驟1中,基材在室溫下(介於約略20°C及約略25°C之間)於丙酮中經超音波處理約略60秒至約略120秒,較佳約略90秒。步驟2中,平面或圖案化基材在室溫下於異丙醇(IPA)中經超音波處理約略60秒至約略120秒、較佳約略90秒。一般技藝人士會認知,此等步驟可在相同或不同的超音波儀中進行。不同的超音波儀需要更多設備,但提供更簡易的方法。如果供二者使用以避免任何基材污染,超音波儀必須在步驟1及2之間徹底清潔。適合用於所揭示方法的示範性超音波儀包括Leela Electronics Leela Sonic Models 50、60、100、150、200、250、或500或Branson的B系列。步驟3中,從IPA超音波儀取出基材,以新鮮IPA清洗。步驟4中,使用惰性氣體(諸如N2 或Ar)使經清洗的基材乾燥。一般技藝人士會認知,步驟1至4提供一種示範性晶圓的製備方法。存在多重晶圓製備方法,在不偏離本文教示之下也可供使用。參見,例如Handbook of Silicon Wafer Cleaning Technology, 第3版, 2017 (William Andrew)。舉例而言,如果想要更具親水性表面,也可以使用UV/臭氧方法。一般技藝人士也可以至少基於所需要基材材料以及清潔度決定合宜的晶圓製備方法。
在此4步驟之製備後,將基材移往旋塗機。示範性的合適旋塗機包括Brewer Science’s Cee® Precision spin coaters、Laurell’s 650 series spin coaters、Specialty Coating System’s G3 spin coaters、或Tokyo Electron’s CLEAN TRACK ACT設備系列。在步驟5中,將任何以上所揭示之形成含矽膜之組成物,但較佳為該等式II,分配於基材上,並且在步驟6中使晶圓旋轉。一般技藝人士會認知,步驟5以及步驟6可依序進行(靜態模式)或同時進行(動態模式)。步驟5係使用手動或自動分配裝置(諸如吸管、注射器、或液體流量計)進行。當步驟5以及步驟6係同時進行時,起始旋轉速率緩慢(亦即,介於約略5 rpm至約略999 rpm間、較佳介於約略5 rpm至約略300 rpm間)。在所有形成含矽膜之組成物被分配之後(亦即,當步驟5以靜態或動態模式完成),旋轉速率範圍介於約略1000 rpm至約略4000 rpm間。使晶圓旋轉,直到整個基材達到均質塗佈,一般而言要花約略10秒及3約略分鐘之間。步驟5以及步驟6產生在晶圓上的含矽膜。一般技藝人士會認知,所需要的旋轉塗佈方法期間、加速速率、溶劑蒸發速率等為可調整的參數,需要對每一個新穎調配物最適化,以獲得標目膜厚度以及均勻性(參見,例如University of Louisville, Micro/Nano Technology Center–Spin Coating Theory, October 2013)。
在形成含矽膜之後,在步驟7使晶圓預烤或軟烤以除去形成含矽膜之組成物的任何殘留揮發性有機成分及/或旋塗方法的副產物。步驟7可在約略25°C至約略200°C範圍溫度的熱腔室中或熱板上進行約略1分鐘至約略120分鐘範圍的期間。示範性熱板包括Brewer Science’s Cee® Model 10或11或Polos的精密烤板。
步驟8中,基材經硬化而產生所欲電子材料。3種非限制性的選項顯示於圖1。任何該3種選項可在使用惰性或反應性氣體之下進行。示範性惰性氣體包括N2 、Ar、He、KR、Xe等。可使用反應性氣體將氧、氮、或碳導入膜。將氧導入膜的示範性反應性氣體包括含氧氣體(諸如O2 、O3 、空氣、H2 O、H2 O2 等)。將氮導入膜的示範性反應性氣體包括含氮氣體(諸如NH3 ;NR3 ,其中R為C1-C4烴等)。將碳導入膜的示範性反應性氣體包括含碳氣體,特別是不飽和含碳氣體,諸如烯類(alcenes)以及炔類(alcynes)(乙烯、乙炔、丙烯等)。
步驟8a中,基材在惰性或反應性氣體中於約略101°C至約略1,000°C範圍的溫度(較佳約略200°C至約略800°C)進行熱硬化。爐或快速熱處理器可用於進行熱硬化方法。示範性爐包括ThermoFisher Lindberg/Blue MTM 管爐(tube furnace)、Thermo Scientific Thermolyne™ 平台頂端(benchtop)管爐或高溫爐(muffle furnace)、Inseto平台頂端石英管爐、NeyTech Vulcan平台頂端爐、Tokyo Electron TELINDYTM 熱加工設備、或ASM International ADVANCE® 垂直爐。示範性快速熱處理器包括Solaris 100、ULVAC RTP-6、或Annealsys As-one 100。
可替代的,步驟8b中,基材在使用單色或多色光源於約略190 nm至約略400 nm範圍波長進行UV-硬化。適合進行步驟8b的示範性VUV-或UV-硬化系統包括但不限於Nordson Coolwaves® 2 UV硬化系統、Heraeus Noblelight Light Hammer® 10產物平台、或Radium Xeradex® 燈。
另一替代方案中,熱以及UV方法二者可在步驟8a以及步驟8b特定的相同溫度以及波長標準進行。一般技藝人士會認知,硬化方法以及條件的選擇將由所欲標的含矽膜決定。
步驟9中,硬化膜的特徵在於使用標準分析工具。示範性工具包括但不限於分光橢圓偏光儀(ellipsometers)、X射線光電子分光法(x-ray photoelectron spectroscopy)、原子力顯微鏡(atomic force microscopy)、螢光x-射線、傅立葉轉換紅外線光譜技術(fourier-transform infrared spectroscopy)、掃描電子顯微術(scanning electron microscopy)、次級離子質譜分析(SIMS)、盧瑟福反向散射能譜法(Rutherford backscattering spectrometry)(RBS)、供應力分析用的表面輪廓儀(profilometer)、或其組合。
可將所揭示形成含矽膜之組成物的液體形式直接施用於基材中央,然後藉旋轉分散於整個基材,或藉噴塗施用於整個基材。當直接施用於基材中央,可將基材旋轉以利用離心力將組成物均勻地分佈於基材上。可替代的,可將基材浸漬於形成含矽膜之組成物。所得膜可在室溫下乾燥一段時間,使膜的溶劑或揮發性成分蒸發,或藉強力乾燥或烘烤加以乾燥或使用任何以下合適方法的組合,包括熱硬化以及照射(諸如離子照射、電子照射、UV及/或可見光照射等。
所揭示在形成含矽膜之組成物的碳矽氮烷前驅物可證明可作為合成含有碳矽氮烷聚合物的單體。形成含矽膜之組成物可用於形成旋塗介電膜調配物,供微影應用(例如色調反轉層)、或抗反射膜。舉例而言,所揭示形成含矽膜之組成物可包括於溶劑中且施用於基材而形成膜。若有需要,可使基材旋轉以均勻地將形成含矽膜之組成物分佈於整個基材。一般技藝人士會認知,形成含矽膜之組成物的黏度會有助於決定是否基材有需要旋轉。所得膜可在惰性氣體(諸如氬、氦、或氮)及/或在減壓下加熱。可替代的,所得膜反應性氣體如NH3 或聯胺之下加熱,以增進膜的連接性以及氮化。可對所得膜施用電子束或紫外線輻射。所揭示碳矽氮烷或聚碳矽氮烷前驅物的反應性(亦即,直接 Si-N、N-H或Si-H鍵)可證明有用於增加所得聚合物連接性。
來自於以上討論的方法的含矽膜可包括SiO2 ;SiC;SiN;SiON;SiOC;SiONC;SiBN;SiBCN;SiCN;SiMCO,其中M係選自Zr、Hf、Ti、Nb、V、Ta、Al、Ge,當然視M的氧化態而定。一般技藝人士會認知,藉由公正選擇合宜的形成含矽膜之組成物以及共反應物,可獲得所欲膜組成物。
一旦獲得所欲膜厚度,可對膜進行進一步加工,諸如熱退火、爐-退火、快速熱退火、UV或電子束硬化、及/或電漿氣體暴露。一般技藝人士認知用於進行此等額外加工步驟的系統以及方法。舉例而言,含矽膜可在惰性大氣、含H大氣、含N大氣或其組合之下暴露於約略200°C以及約略1000°C範圍的溫度達約略0.1秒至約略7200秒的時間。最佳者,溫度為600°C、小於3600秒。又更佳者,溫度低於400°C。退火步驟可在相同的反應腔室中進行沉積方法。可替代的,基材可自反應腔室取出,在另外裝置中進行退火/快閃退火方法。任何以上的後處理方法,特別是UV-硬化,被發現可以有利地增進膜的連接性以及交聯。一般而言,使用熱退火至 < 400°C (較佳約100°C-300°C)以及UV硬化的組合可以獲得有最高密度的膜。
提供以下非限制性實施例以進一步說明本發明之具體實例。然而,該等實施例並不意欲包括所有且並不意欲限制本文中描述之發明範圍。 實施例1: 起始材料1,2-二矽代丙烷(DSP)以及1,3-二矽代丁烷(DSB)的合成
3LiAlH4 + 2SiCl3 CH2 SiCl3 → 2DSP + 3LiAlCl4
3LiAlH4 + 2SiCl3 CH2 CH2 SiCl3 → 2DSB + 3LiAlCl4
將鋁氫化鋰LiAlH4 (LAH)置於配機械攪拌器的惰性大氣的4L容器。將容器冷卻至-78°C,然後將1L冷(約-30°C)二甘醇二甲醚(diglyme)(H3 COC2 H4 OC2 H4 OCH3 )(對DSP而言)或二-n丁基醚(H9 C4 OC4 H9 )(對DSB而言)慢慢地加入容器。在一邊攪拌下讓容器內混合物回溫至-10°C。將1,2-雙(三氯矽基)甲烷SiCl3 CH2 SiCl3 或1,2-雙(三氯矽基)乙烷SiCl3 CH2 CH2 SiCl3 滴加至回溫的混合物,同時避免反應混合物回溫超過20°C。添加完成後,讓混合物回溫至25°C,並攪拌2小時。在30°C下將揮發性DSP或DSB冷凝至阱(-78°C)。DSP經分離,產率為82%,氣相層析(GC)顯示純度為96%。DSB經分離呈無色液體,產率為65%,GC顯示純度為98.8%。 實施例2: 雙(二矽代丙烷)胺HN(SiH2 -CH2 -SiH3 )2 (HN(DSP)2 )以及三((矽基甲基)矽基)胺N(SiH2 -CH2 -SiH3 )3 (N(DSP)3 )的無鹵素路徑合成
H3 Si-CH2 -SiH3 + NH3 → HN(DSP)2
H3 Si-CH2 -SiH3 + NH3 → N(DSP)3
二矽代丙烷以及氨在壓力反應器中經碳上鉑催化而產生HN(DSP)2 以及N(DSP)3 。此為無鹵素路徑。0.3L高壓釜配備機械攪拌器、熱電偶、壓力計、壓力傳感器、以及3個計量閥。將0.5g/ 2.56 mol碳上鉑催化劑加入高壓釜。接著在動力真空中將反應器穩定地加熱至140°C,並且保持在此溫度3小時。在冷卻至室溫後,反應器經氦(800 torr)加壓。在手套箱中將戊烷(50mL)導入反應器。在將反應器浸入液體氮浴之後,在真空下移除大氣氮。將氨(3g,0.176 mol)以及二矽代丙烷(53.7g,0.705 mol)移至反應器。然後將反應器加熱至50°C。以457 rpm攪拌30小時後,在冷卻至室溫後,觀察到壓力增加到約略486 psi。反應器內容物的揮發性成分在降至10 Torr壓力的不鏽鋼壓縮氣瓶(SSLB)中被低溫捕獲(cryotrapped)。藉液體注射GCMS分析反應器內含物,透露HN(DSP)2 以及N(DSP)3 呈7:1的混合物以及少量較高沸點成分。 2 為製得N(DSP)2 以及N(DSP)3 混合物的最終產物之GCMS光譜。
使混合物進行真空分餾。第1餾分(42°C/153 mtorr)包含HN(DSP)2 (2.05g,6%),藉GCMS量測。圖3 第一餾分的GCMS光譜,顯示為HN(DSP)2 以及N(DSP)3 的混合物。第二餾分包含N(DSP)3 以及HN(DSP)2 呈14:1的混合物以及較高沸點成分(1.48g),藉GCMS量測。 4 為GCMS光譜第二餾分,顯示為N(DSP)3 以及HN(DSP)2 的混合物。 實施例3: NDSP寡聚物[–NH–SiH2 –CH2 –SiH2 –]n ([–NH–DSP–]n )以及[–N(SiH2 -CH2 -SiH3 )–SiH2 –CH2 –SiH2 –]n ([–N(DSP)–DSP–]n ) (n = 2至400)的無鹵素路徑合成
H3 Si-CH2 -SiH3 + NH3 → HNDSP2 → [–NH–DSP–]n
H3 Si-CH2 -SiH3 + NH3 → NDSP3 → [–N(DSP)–DSP–]n
NDSP寡聚物的合成經碳上鉑催化,並且在壓力反應器中藉由二矽代丙烷以及氨之間的反應進行。該反應與HNDSP2以及NDSP3的此等合成相同,也為無鹵素路徑。參考實施例2,當實施例2的反應物反應過頭,藉由真空分餾從產物取出HNDSP2以及NDSP3之後,無色黏性油殘留在蒸餾鍋(7.5g)。
5 為藉無鹵素路徑取出所產生的HNDSP2以及NDSP3之後的無色黏性油的GPC光譜。此黏性油經凝膠滲透層析(GPC)分析,且如圖5示, 明顯為26,000至500道爾頓範圍的分佈,顯示主要成分具有高分子量寡聚物或聚合物,係藉由DSP形成的直鏈或分支鏈寡聚物[–NH–DSP–]n 或[–N(DSP)–DSP–]n 。經計算的寡聚物的平均分子量以及多分散性指數如表2所示。 2 . 經計算的寡聚物的平均分子量以及多分散性指數
樣品 ID    Mn (道爾頓) Mw (道爾頓) Mz (道爾頓) PDI (Mw/Mn)
SK-586-89-3 無色油 1,440 2,230 3,670 1.5
SK586-96-1 無色油(THF中) 8,340 95,700 1,190,000 11.5
實施例4: HNDSP2的選擇性合成
ClSiH2 -CH2 -SiH3 + NH3 → HNDSP2 +HCl
在使用N2 吹掃後,將無極性溶劑加入2升的三頸燒瓶,在此方法為無水甲苯。藉由滴加入燒瓶方式將氯矽基甲基矽烷(DSP-Cl) (53.6 g,0.48 mol)加入燒瓶中。在+5°C將NH3 (11g,0.65 mol)慢慢地鼓泡進入燒瓶中的混合物。在加入所需要量的NH3 之後,將混合物回溫至室溫且攪拌16小時。觀察到在澄清液體中形成白色固體。然後藉由套管將反應混合物轉移到配備有無空氣過濾玻璃料的schlenk過濾漏斗。用無水甲苯將濾液固體洗滌4次。圖6a 為產物在室溫下攪拌16小時(隔夜)之後的GC光譜。 6b 為最終產物在室溫下8週之後的GC光譜。在此等圖中,CATO代表反應物DSP-Cl且NDSP3代表可能的副產物。如 6a 所示,最終產物含有相較於NDSP3幾乎100%HNDSP2。如 6b 所示,8週之後產生非常少的NDSP3。因此,此合成方法提供選擇性產生HNDSP2而沒有副產物NDSP3的方法。 實施例5: NDSP3的選擇性合成
3ClSiH2 -CH2 -SiH3 + 4NH3 → NDSP3 +3NH4 Cl
實施例4中,用極性溶劑諸如THF取代非極性溶劑甲苯,選擇性產生NDSP3而沒有副產物HNDSP2(如圖7所示)。
應理解,在如隨附申請專利範圍中所表述之本發明之原理及範疇內,熟習此項技術者可對本文中已描述及說明以便解釋本發明之性質的細節、材料、步驟及部件配置作出許多額外改變。因此,本發明並不意欲限於上文及/或隨附圖式中給出之實施例中的特定具體實例。
為進一步理解本發明之本質及目的,應結合附圖參考以下詳細敘述,其中如元件被賦予相同或類似元件符號,且其中:[ 1] 為示範性沉積方法的流程圖;[ 2] 為藉由無鹵素合成路徑產生的NDSP2以及NDSP3混合物之最終產物GCMS層析圖;[ 3] 為在分餾之第1餾分之後藉無鹵素合成路徑產生的NDSP2以及NDSP3混合物之最終產物之GCMS層析圖;[ 4] 為在分餾之第2餾分之後藉無鹵素合成路徑產生的NDSP3以及HNDSP2混合物之GCMS層析圖;[ 5] 為在移除藉無鹵素合成路徑產生的HNDSP2以及NDSP3之後的無色黏性油之GCMS層析圖;[ 6]a 以及 6b 為藉由涉及鹵素合成路徑選擇性產生的NDSP2最終產物之GCMS層析圖,在室溫下16小時後所取最終產物( 6a )以及在室溫下8週後所取最終產物( 6b );以及[ 7] 為顯示分子量對分子量分佈多分散性的膠體滲透層析圖。
Figure 110108522-A0304-11-0002-1

Claims (15)

  1. 一種化學前驅物,其具有式N(SiR4 R5 (CH2 )SiR1 R2 R3 )3 ,其中R1 、R2 、R3 、R4 及R5 獨立地為: (a)    H, (b)   直鏈或分支鏈的C1 -C6 烴基,或 (c)    具有式NR”2 的烷胺基,且每一個R”獨立地為 (i)    H, (ii)   直鏈或分支鏈的C1 -C6 烴基, (iii)  C6 -C12 芳基,或 (iv)  NR”2 形成環胺基,以及 其限制條件為R1 、R2 、R3 、R4 及R5 中至少一者為H。
  2. 根據申請專利範圍第1項之化學前驅物,其中R1 、R2 及R3 中至少一者為H。
  3. 根據申請專利範圍第1項或第2項之化學前驅物,其中R4 及R5 中至少一者為H。
  4. 根據申請專利範圍第1項之化學前驅物,其中R1 、R2 或R3 中至少一者為H,且R4 或R5 中至少一者為H。
  5. 根據申請專利範圍第1項至第4項中任一項之化學前驅物,其中R1 、R2 、R3 及R4 均為H。
  6. 根據申請專利範圍第1項至第4項中任一項之化學前驅物,其中R2 、R3 、R4 及R5 均為H。
  7. 根據申請專利範圍第1項至第4項中任一項之化學前驅物,其中R2 、R3 及R4 均為H
  8. 根據申請專利範圍第1項至第4項中任一項之化學前驅物,其中R1 、R2 及R3 均為H。
  9. 根據申請專利範圍第1項至第4項中任一項之化學前驅物,其中R3 、R4 及R5 均為H。
  10. 根據申請專利範圍第1項至第4項中任一項之化學前驅物,其中R4 及R5 均為H。
  11. 根據申請專利範圍第1項之化學前驅物,其中R1 、R2 、R3 、R4 及R5 均為H。
  12. 根據申請專利範圍第1項至第4項中任一項之化學前驅物,其中R1 、R2 、R3 、R4 及R5 中至少一者選自乙烯基、烯丙基及苯基。
  13. 一種化學前驅物,其選自由以下組成之群所組成: ․    該前驅物為N(SiH2 -CH2 -SiH2 (CH2 =CH))3 ; ․    該前驅物為N(SiH2 -CH2 -SiH2 (CH2 =CH-CH2 ))3 ; ․    該前驅物為N(SiH2 -CH2 -SiH2 (NH2 ))3 ; ․    該前驅物為N(SiH2 ­CH2 ­SiH2 (NMe2 ))3 ; ․    該前驅物為N(SiH2 ­CH2 ­SiH2 (NMeEt))3 ; ․    該前驅物為N(SiH2 ­CH2 ­SiH2 (NEt2 ))3 ; ․    該前驅物為N(SiH2 ­CH2 ­SiH2 (NnPr2 ))3 ; ․    該前驅物為N(SiH2 ­CH2 ­SiH2 (NiPr2 ))3 ; ․    該前驅物為N(SiH2 ­CH2 ­SiH2 (NBu2 ))3 ; ․    該前驅物為N(SiH2 ­CH2 ­SiH2 (NiBu2 ))3 ; ․    該前驅物為N(SiH2 ­CH2 -SiH2 (NtBu2 ))3 ; ․    該前驅物為N(SiH2 ­CH2 ­SiH2 (NAm2 ))3 ; ․    該前驅物為N(SiH2 -CH2 -SiH2 (NCy戊基2 ))3 ; ․    該前驅物為N(SiH2 ­CH2 ­SiH2 (N己基2 ))3 ; ․    該前驅物為N(SiH2 -CH2 -SiH2 (NCyHex2 ))3 ; ․    該前驅物為N(SiH2 ­CH2 ­SiH2 (NMeH))3 ; ․    該前驅物為N(SiH2 -CH2 -SiH2 (NEtH))3 ; ․    該前驅物為N(SiH2 ­CH2 ­SiH2 (NnPrH))3 ; ․    該前驅物為N(SiH2 ­CH2 -SiH2 (NiPrH))3 ; ․    該前驅物為N(SiH2 ­CH2 ­SiH2 (NBuH))3 ; ․    該前驅物為N(SiH2 ­CH2 -SiH2 (NiBuH))3 ; ․    該前驅物為N(SiH2 ­CH2 ­SiH2 (NtBuH))3 ; ․    該前驅物為N(SiH2 ­CH2 -SiH2 (NAmH))3 ; ․    該前驅物為N(SiH2 ­CH2 -SiH2 (吡啶))3 ; ․    該前驅物為N(SiH2 ­CH2 -SiH2 (吡咯))3 ; ․    該前驅物為N(SiH2 ­CH2 -SiH2 (吡咯啶))3 ; ․    該前驅物為N(SiH2 ­CH2 -SiH2 (咪唑))3 ; ․    該前驅物為N(SiH2 ­CH2 -SiH2 (嘧啶))3 ; ․    該前驅物為N(SiH2 ­CH2 -SiH2 (哌啶))3 ; ․    該前驅物為N(SiH(CH2 =CH)-CH2 -SiH2 (CH2 =CH))3 ; ․    該前驅物為N(SiH(CH2 =CH-CH2 )-CH2 -SiH2 (CH2 =CH-CH2 ))3 ; ․    該前驅物為N(SiH(NH2 )-CH2 -SiH2 (NH2 ))3 ; ․    該前驅物為N(SiH(NMe2 )­CH2 ­SiH2 (NMe2 ))3 ; ․    該前驅物為N(SiH(NMeEt)­CH2 ­SiH2 (NMeEt))3 ; ․    該前驅物為N(SiH(NEt2 )­CH2 ­SiH2 (NEt2 ))3 ; ․    該前驅物為N(SiH(NnPr2 )­CH2 ­SiH2 (NnPr2 ))3 ; ․    該前驅物為N(SiH(NiPr2 )­CH2 ­SiH2 (NiPr2 ))3 ; ․    該前驅物為N(SiH(NBu2 )­CH2 ­SiH2 (NBu2 ))3 ; ․    該前驅物為N(SiH(NiBu2 )­CH2 ­SiH2 (NiBu2 ))3 ; ․    該前驅物為N(SiH(NtBu2 )­CH2 -SiH2 (NtBu2 ))3 ; ․    該前驅物為N(SiH(NAm2 )­CH2 ­SiH2 (NAm2 ))3 ; ․    該前驅物為N(SiH(NCyPentyl2 )-CH2 -SiH2 (NCy戊基2 ))3 ; ․    該前驅物為N(SiH(Nhexyl2 )­CH2 ­SiH2 (N己基2 ))3 ; ․    該前驅物為N(SiH(NCyHex2 )-CH2 -SiH2 (NCyHex2 ))3 ; ․    該前驅物為N(SiH(NMeH)­CH2 ­SiH2 (NMeH))3 ; ․    該前驅物為N(SiH(NEtH)-CH2 -SiH2 (NEtH))3 ; ․    該前驅物為N(SiH(NnPrH)­CH2 ­SiH2 (NnPrH))3 ; ․    該前驅物為N(SiH(NiPrH)­CH2 -SiH2 (NiPrH))3 ; ․    該前驅物為N(SiH(NBuH)­CH2 ­SiH2 (NBuH))3 ; ․    該前驅物為N(SiH(NiBuH)­CH2 -SiH2 (NiBuH))3 ; ․    該前驅物為N(SiH(NtBuH)­CH2 ­SiH2 (NtBuH))3 ; ․    該前驅物為N(SiH(NAmH)­CH2 -SiH2 (NAmH))3 ; ․    該前驅物為N(SiH(pyridine)­CH2 -SiH2 (吡啶))3 ; ․    該前驅物為N(SiH(pyrrole)­CH2 -SiH2 (吡咯))3 ; ․    該前驅物為N(SiH(pyrrolidine)­CH2 -SiH2 (吡咯啶))3 ; ․    該前驅物為N(SiH(imidazole)­CH2 -SiH2 (咪唑))3 ; ․    該前驅物為N(SiH(piperidine)­CH2 -SiH2 (咪唑))3 ; ․    該前驅物為N(SiH(pyrimidine)­CH2 -SiH2 (咪唑))3 ; ․    該前驅物為N(SiH2 -CH2 -SiH(CH2 =CH)2 )3 ; ․    該前驅物為N(SiH2 -CH2 -SiH(CH2 =CH-CH2 )2 )3 ; ․    該前驅物為N(SiH2 -CH2 -SiH(NH2 )2 )3 ; ․    該前驅物為N(SiH2 ­CH2 ­SiH(NMe2 )2 )3 ; ․    該前驅物為N(SiH2 ­CH2 ­SiH(NMeEt)2 )3 ; ․    該前驅物為N(SiH2 ­CH2 ­SiH(NEt2 )2 )3 ; ․    該前驅物為N(SiH2 ­CH2 ­SiH(NnPr2 )2 )3 ; ․    該前驅物為N(SiH2 ­CH2 ­SiH(NiPr2 )2 )3 ; ․    該前驅物為N(SiH2 ­CH2 ­SiH(NBu2 )2 )3 ; ․    該前驅物為N(SiH2 ­CH2 ­SiH(NiBu2 )2 )3 ; ․    該前驅物為N(SiH2 ­CH2 -SiH(NtBu2 )2 )3 ; ․    該前驅物為N(SiH2 ­CH2 ­SiH(NAm2 )2 )3 ; ․    該前驅物為N(SiH2 -CH2 -SiH(NCy戊基2 )2 )3 ; ․    該前驅物為N(SiH2 ­CH2 ­SiH(N己基2 )2 )3 ; ․    該前驅物為N(SiH2 -CH2 -SiH(NCyHex2 )2 )3 ; ․    該前驅物為N(SiH2 ­CH2 ­SiH(NMeH)2 )3 ; ․    該前驅物為N(SiH2 -CH2 -SiH(NEtH)2 )3 ; ․    該前驅物為N(SiH2 ­CH2 ­SiH(NnPrH)2 )3 ; ․    該前驅物為N(SiH2 ­CH2 -SiH(NiPrH)2 )3 ; ․    該前驅物為N(SiH2 ­CH2 ­SiH(NBuH)2 )3 ; ․    該前驅物為N(SiH2 ­CH2 -SiH(NiBuH)2 )3 ; ․    該前驅物為N(SiH2 ­CH2 ­SiH(NtBuH)2 )3 ; ․    該前驅物為N(SiH2 ­CH2 -SiH(NAmH)2 )3 ; ․    該前驅物為N(SiH2 ­CH2 -SiH(吡啶)2 )3 ; ․    該前驅物為N(SiH2 ­CH2 -SiH(吡咯)2 )3 ; ․    該前驅物為N(SiH2 ­CH2 -SiH(吡咯啶)2 )3 ; ․    該前驅物為N(SiH2 ­CH2 -SiH(咪唑)2 )3 ; ․    該前驅物為N(SiH2 ­CH2 -SiH(哌啶)2 )3 ; ․    該前驅物為N(SiH2 ­CH2 -SiH(嘧啶)2 )3 ; ․    該前驅物為N(SiH2 -CH2 -Si(CH2 =CH)3 )3 ; ․    該前驅物為N(SiH2 -CH2 -Si(CH2 =CH-CH2 )3 )3 ; ․    該前驅物為N(SiH2 -CH2 -Si(NH2 )3 )3 ; ․    該前驅物為N(SiH2 ­CH2 ­Si(NMe2 )3 )3 ; ․    該前驅物為N(SiH2 ­CH2 ­Si(NMeEt)3 )3 ; ․    該前驅物為N(SiH2 ­CH2 ­Si(NEt2 )3 )3 ; ․    該前驅物為N(SiH2 ­CH2 ­Si(NnPr2 )3 )3 ; ․    該前驅物為N(SiH2 ­CH2 ­Si(NiPr2 )3 )3 ; ․    該前驅物為N(SiH2 ­CH2 ­Si(NBu2 )3 )3 ; ․    該前驅物為N(SiH2 ­CH2 ­Si(NiBu2 )3 )3 ; ․    該前驅物為N(SiH2 ­CH2 -Si(NtBu2 )3 )3 ; ․    該前驅物為N(SiH2 ­CH2 ­Si(NAm2 )3 )3 ; ․    該前驅物為N(SiH2 -CH2 -Si(NCy戊基2 )3 )3 ; ․    該前驅物為N(SiH2 ­CH2 ­Si(N己基2 )3 )3 ; ․    該前驅物為N(SiH2 -CH2 -Si(NCyHex2 )3 )3 ; ․    該前驅物為N(SiH2 ­CH2 ­Si(NMeH)3 )3 ; ․    該前驅物為N(SiH2 -CH2 -Si(NEtH)3 )3 ; ․    該前驅物為N(SiH2 ­CH2 ­Si(NnPrH)3 )3 ; ․    該前驅物為N(SiH2 ­CH2 -Si(NiPrH)3 )3 ; ․    該前驅物為N(SiH2 ­CH2 ­Si(NBuH)3 )3 ; ․    該前驅物為N(SiH2 ­CH2 -Si(NiBuH)3 )3 ; ․    該前驅物為N(SiH2 ­CH2 ­Si(NtBuH)3 )3 ; ․    該前驅物為N(SiH2 ­CH2 -Si(NAmH)3 )3 ; ․    該前驅物為N(SiH2 ­CH2 -Si(吡啶)3 )3 ; ․    該前驅物為N(SiH2 ­CH2 -Si(吡咯)3 )3 ; ․    該前驅物為N(SiH2 ­CH2 -Si(吡咯啶)3 )3 ; ․    該前驅物為N(SiH2 ­CH2 -Si(咪唑)3 )3 ; ․    該前驅物為N(SiH2 ­CH2 -Si(哌啶)3 )3 ;以及 ․    該前驅物為N(SiH2 ­CH2 -Si(嘧啶)3 )3
  14. 一種包含如申請專利範圍第1項至第4項、第11項及第13項中任一項之化學前驅物及其組合之組成物,該組成物適合用於半導體製造氣相沉積方法。
  15. 一種包含如申請專利範圍第12項之化學前驅物之組成物,該組成物適合用於半導體製造氣相沉積方法。
TW110108522A 2016-03-23 2017-03-22 形成含矽膜之組成物及其製法與用途 TWI753794B (zh)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US201662312352P 2016-03-23 2016-03-23
US62/312,352 2016-03-23

Publications (2)

Publication Number Publication Date
TW202124540A true TW202124540A (zh) 2021-07-01
TWI753794B TWI753794B (zh) 2022-01-21

Family

ID=59900919

Family Applications (2)

Application Number Title Priority Date Filing Date
TW110108522A TWI753794B (zh) 2016-03-23 2017-03-22 形成含矽膜之組成物及其製法與用途
TW106109549A TWI724141B (zh) 2016-03-23 2017-03-22 形成含矽膜之組成物及其製法與用途

Family Applications After (1)

Application Number Title Priority Date Filing Date
TW106109549A TWI724141B (zh) 2016-03-23 2017-03-22 形成含矽膜之組成物及其製法與用途

Country Status (7)

Country Link
US (1) US11407922B2 (zh)
EP (1) EP3433302B1 (zh)
JP (1) JP6868640B2 (zh)
KR (2) KR102403096B1 (zh)
CN (1) CN109476848B (zh)
TW (2) TWI753794B (zh)
WO (1) WO2017165626A1 (zh)

Families Citing this family (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
TWI706957B (zh) * 2015-03-30 2020-10-11 法商液態空氣喬治斯克勞帝方法研究開發股份有限公司 碳矽烷與氨、胺類及脒類之觸媒去氫耦合
JP6756689B2 (ja) 2017-10-13 2020-09-16 株式会社Kokusai Electric 半導体装置の製造方法、基板処理装置、およびプログラム
US10510852B2 (en) * 2017-11-28 2019-12-17 Taiwan Semiconductor Manufacturing Company, Ltd. Low-k feature formation processes and structures formed thereby
US11499014B2 (en) 2019-12-31 2022-11-15 L'Air Liquide, Société Anonyme pour l'Etude et l'Exploitation des Procédés Georges Claude Cureable formulations for forming low-k dielectric silicon-containing films using polycarbosilazane
KR20210098360A (ko) * 2020-01-31 2021-08-10 주식회사 유피케미칼 실리콘 전구체 화합물, 이를 포함하는 실리콘-함유 막 형성용 조성물 및 실리콘-함유 막 형성 방법
EP4146725A1 (en) 2020-05-07 2023-03-15 Merck Patent GmbH Polycarbosilazane, and composition comprising the same, and method for producing silicon-containing film using the same

Family Cites Families (73)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH0764642B2 (ja) 1986-06-13 1995-07-12 東燃株式会社 窒化物系セラミツクスの製法
JP3230029B2 (ja) 1994-05-30 2001-11-19 富士通株式会社 Iii−v族化合物半導体結晶成長方法
US5874368A (en) 1997-10-02 1999-02-23 Air Products And Chemicals, Inc. Silicon nitride from bis(tertiarybutylamino)silane
US6841256B2 (en) 1999-06-07 2005-01-11 Honeywell International Inc. Low dielectric constant polyorganosilicon materials generated from polycarbosilanes
US6489030B1 (en) 2000-04-14 2002-12-03 Honeywell International, Inc. Low dielectric constant films used as copper diffusion barrier
JP4868639B2 (ja) 2000-06-12 2012-02-01 株式会社Adeka 化学気相成長用原料及びこれを用いた薄膜の製造方法
JP4196246B2 (ja) 2000-11-17 2008-12-17 株式会社トリケミカル研究所 膜形成材料、膜形成方法、及び素子
JP2002167438A (ja) 2000-11-29 2002-06-11 Jsr Corp ケイ素ポリマー、膜形成用組成物および絶縁膜形成用材料
DE02772548T1 (de) 2001-10-26 2004-11-11 Epichem Ltd., Wirral Vorlaeuferverbindungen für chemische dampfphasenabscheidung
JP2003151972A (ja) 2001-11-15 2003-05-23 Tri Chemical Laboratory Inc 酸化膜、酸化膜形成方法、半導体素子
JP4021653B2 (ja) 2001-11-30 2007-12-12 レール・リキード−ソシエテ・アノニム・プール・レテュード・エ・レクスプロワタシオン・デ・プロセデ・ジョルジュ・クロード Cvd法によるシリコン窒化物膜またはシリコンオキシ窒化物膜の製造方法
JP4116283B2 (ja) 2001-11-30 2008-07-09 レール・リキード−ソシエテ・アノニム・プール・レテュード・エ・レクスプロワタシオン・デ・プロセデ・ジョルジュ・クロード ヘキサキス(モノヒドロカルビルアミノ)ジシランおよびその製造方法
KR20050069986A (ko) 2002-08-18 2005-07-05 에비자 테크놀로지, 인크. 실리콘 산화물 및 산질화물의 저온 증착
JP4358492B2 (ja) 2002-09-25 2009-11-04 レール・リキード−ソシエテ・アノニム・プール・レテュード・エ・レクスプロワタシオン・デ・プロセデ・ジョルジュ・クロード 熱化学気相成長法によるシリコン窒化物膜またはシリコンオキシ窒化物膜の製造方法
US7446217B2 (en) 2002-11-14 2008-11-04 Advanced Technology Materials, Inc. Composition and method for low temperature deposition of silicon-containing films
US7531679B2 (en) 2002-11-14 2009-05-12 Advanced Technology Materials, Inc. Composition and method for low temperature deposition of silicon-containing films such as films including silicon nitride, silicon dioxide and/or silicon-oxynitride
US7972663B2 (en) 2002-12-20 2011-07-05 Applied Materials, Inc. Method and apparatus for forming a high quality low temperature silicon nitride layer
EP1584100A2 (en) 2002-12-20 2005-10-12 Applied Materials, Inc. A method and apparatus for forming a high quality low temperature silicon nitride layer
US7172792B2 (en) 2002-12-20 2007-02-06 Applied Materials, Inc. Method for forming a high quality low temperature silicon nitride film
US6940173B2 (en) 2003-01-29 2005-09-06 International Business Machines Corporation Interconnect structures incorporating low-k dielectric barrier films
JP4265409B2 (ja) 2003-02-13 2009-05-20 三菱マテリアル株式会社 Si−Si結合を有する有機Si含有化合物を用いたSi含有薄膜の形成方法
US7579496B2 (en) * 2003-10-10 2009-08-25 Advanced Technology Materials, Inc. Monosilane or disilane derivatives and method for low temperature deposition of silicon-containing films using the same
US20050227017A1 (en) * 2003-10-31 2005-10-13 Yoshihide Senzaki Low temperature deposition of silicon nitride
JP2005213633A (ja) 2004-02-02 2005-08-11 L'air Liquide Sa Pour L'etude & L'exploitation Des Procede S Georges Claude 化学気相成長法によるシリコン窒化物膜またはシリコンオキシ窒化物膜の製造方法
US7098150B2 (en) 2004-03-05 2006-08-29 Air Liquide America L.P. Method for novel deposition of high-k MSiON dielectric films
US20060012014A1 (en) 2004-07-15 2006-01-19 International Business Machines Corporation Reliability of low-k dielectric devices with energy dissipative layer
US7358317B2 (en) 2004-09-22 2008-04-15 Jsr Corporation Polycarbosilane and method of producing the same
JP2006096675A (ja) 2004-09-28 2006-04-13 L'air Liquide Sa Pour L'etude & L'exploitation Des Procede S Georges Claude 新規なアミノジシランおよび炭窒化珪素膜の形成方法
JP4756128B2 (ja) 2004-10-20 2011-08-24 日揮触媒化成株式会社 半導体加工用保護膜形成用塗布液、その調製方法およびこれより得られる半導体加工用保護膜
JP2006152063A (ja) 2004-11-26 2006-06-15 Jsr Corp 新規ポリカルボシランおよびその製造方法、膜形成用組成物、ならびに膜およびその形成方法
US20060121192A1 (en) 2004-12-02 2006-06-08 Jurcik Benjamin J Liquid precursor refill system
US7892648B2 (en) 2005-01-21 2011-02-22 International Business Machines Corporation SiCOH dielectric material with improved toughness and improved Si-C bonding
US20060286819A1 (en) 2005-06-21 2006-12-21 Applied Materials, Inc. Method for silicon based dielectric deposition and clean with photoexcitation
ES2265291B1 (es) 2005-07-22 2008-03-01 Universidad De Alcala Nuevos dendrimeros carbosilanos, su preparacion y sus usos.
ATE494292T1 (de) 2006-04-03 2011-01-15 L Air Liquide Soc Anon A Directoire Et Conseil De Surveillance Pour L Etude Et L Expl Des Procedes G Eine pentakis(dimethylamino)disilanvorstufe enthaltende verbindung, und verfahren zu deren herstellung
JP5149273B2 (ja) 2006-04-03 2013-02-20 レール・リキード−ソシエテ・アノニム・プール・レテュード・エ・レクスプロワタシオン・デ・プロセデ・ジョルジュ・クロード 化学気相堆積による窒化珪素膜及び/又はシリコンオキシナイトライド膜の堆積方法
US7875312B2 (en) * 2006-05-23 2011-01-25 Air Products And Chemicals, Inc. Process for producing silicon oxide films for organoaminosilane precursors
US7442822B2 (en) * 2006-09-01 2008-10-28 Air Products And Chemicals, Inc. Stabilization of nitrogen-containing and oxygen-containing organosilanes using weakly basic ion-exchange resins
US20080124815A1 (en) 2006-11-03 2008-05-29 International Business Machines Corporation Method for post cap ild/imd repair with uv irradiation
US7500397B2 (en) 2007-02-15 2009-03-10 Air Products And Chemicals, Inc. Activated chemical process for enhancing material properties of dielectric films
CN100559167C (zh) * 2007-04-23 2009-11-11 陕西师范大学 对硝基芳烃敏感的单分子层聚硅烷荧光传感薄膜的制备方法
WO2009008041A1 (ja) 2007-07-06 2009-01-15 Fujitsu Limited 絶縁膜材料、多層配線基板及びその製造方法、並びに、半導体装置及びその製造方法
US20090096106A1 (en) 2007-10-12 2009-04-16 Air Products And Chemicals, Inc. Antireflective coatings
US8071160B2 (en) 2007-10-29 2011-12-06 Integrated Surface Technologies Surface coating process
TWI452069B (zh) 2007-11-06 2014-09-11 Braggone Oy 用於抗反射性塗層之碳矽烷聚合物組成物
US9034105B2 (en) 2008-01-10 2015-05-19 American Air Liquide, Inc. Solid precursor sublimator
JP5317089B2 (ja) 2008-01-23 2013-10-16 独立行政法人物質・材料研究機構 成膜方法および絶縁膜
KR20130043084A (ko) 2010-02-17 2013-04-29 레르 리키드 쏘시에떼 아노님 뿌르 레?드 에렉스뿔라따시옹 데 프로세데 조르즈 클로드 SiCOH 로우-K 필름의 증착 방법
KR101226876B1 (ko) 2010-04-01 2013-01-28 레르 리키드 쏘시에떼 아노님 뿌르 레?드 에렉스뿔라따시옹 데 프로세데 조르즈 클로드 아미노-금속 및 할로겐화 금속 전구체의 조합을 사용한 금속 질화물 함유 필름 퇴적
US8196945B2 (en) 2010-06-22 2012-06-12 Pedal Lock Partnership Bicycle pedal with integrated cable lock
US8853856B2 (en) 2010-06-22 2014-10-07 International Business Machines Corporation Methodology for evaluation of electrical characteristics of carbon nanotubes
US8993072B2 (en) 2011-09-27 2015-03-31 Air Products And Chemicals, Inc. Halogenated organoaminosilane precursors and methods for depositing films comprising same
JP5969253B2 (ja) 2012-02-10 2016-08-17 東京応化工業株式会社 表面処理剤及び表面処理方法
US20130224964A1 (en) 2012-02-28 2013-08-29 Asm Ip Holding B.V. Method for Forming Dielectric Film Containing Si-C bonds by Atomic Layer Deposition Using Precursor Containing Si-C-Si bond
US8871656B2 (en) 2012-03-05 2014-10-28 Applied Materials, Inc. Flowable films using alternative silicon precursors
US9978585B2 (en) 2012-06-01 2018-05-22 Versum Materials Us, Llc Organoaminodisilane precursors and methods for depositing films comprising same
US9337018B2 (en) * 2012-06-01 2016-05-10 Air Products And Chemicals, Inc. Methods for depositing films with organoaminodisilane precursors
US9243324B2 (en) 2012-07-30 2016-01-26 Air Products And Chemicals, Inc. Methods of forming non-oxygen containing silicon-based films
US10279959B2 (en) 2012-12-11 2019-05-07 Versum Materials Us, Llc Alkoxysilylamine compounds and applications thereof
KR101583232B1 (ko) 2012-12-31 2016-01-07 제일모직 주식회사 중합체 제조 방법 및 실리카계 절연막 형성용 조성물
US9360729B2 (en) 2013-03-15 2016-06-07 Kinestral Technologies, Inc. Electrochromic lithium nickel group 6 mixed metal oxides
JP6155063B2 (ja) 2013-03-19 2017-06-28 株式会社日立国際電気 半導体装置の製造方法、基板処理装置及びプログラム
US9796739B2 (en) 2013-06-26 2017-10-24 Versum Materials Us, Llc AZA-polysilane precursors and methods for depositing films comprising same
US10453675B2 (en) * 2013-09-20 2019-10-22 Versum Materials Us, Llc Organoaminosilane precursors and methods for depositing films comprising same
US9382269B2 (en) * 2013-09-27 2016-07-05 Voltaix, Llc Halogen free syntheses of aminosilanes by catalytic dehydrogenative coupling
US10023958B2 (en) 2013-11-22 2018-07-17 Applied Materials, Inc. Atomic layer deposition of films comprising silicon, carbon and nitrogen using halogenated silicon precursors
US9233990B2 (en) 2014-02-28 2016-01-12 Air Products And Chemicals, Inc. Organoaminosilanes and methods for making same
CN103881101A (zh) 2014-03-18 2014-06-25 天津大学 一种碳氮化硅陶瓷用聚碳硅氮烷前驱体及其制备方法
CN112961382A (zh) * 2014-06-25 2021-06-15 旭化成株式会社 具有孔隙的聚酰亚胺薄膜及其制造方法
US20170190720A1 (en) 2014-07-10 2017-07-06 L'Air Liquide, Société Anonyme pour I'Etude et I'Exploitation des Procédés Georges Claude Alkylamino-substituted carbosilane precursors
JP6578353B2 (ja) * 2014-09-23 2019-09-18 レール・リキード−ソシエテ・アノニム・プール・レテュード・エ・レクスプロワタシオン・デ・プロセデ・ジョルジュ・クロード Si含有膜堆積用カルボシラン置換アミン前駆体及びその方法
US9879340B2 (en) * 2014-11-03 2018-01-30 Versum Materials Us, Llc Silicon-based films and methods of forming the same
TWI706957B (zh) 2015-03-30 2020-10-11 法商液態空氣喬治斯克勞帝方法研究開發股份有限公司 碳矽烷與氨、胺類及脒類之觸媒去氫耦合

Also Published As

Publication number Publication date
TWI724141B (zh) 2021-04-11
US20190040279A1 (en) 2019-02-07
JP6868640B2 (ja) 2021-05-12
EP3433302A4 (en) 2019-10-30
KR102492744B1 (ko) 2023-01-26
KR20180136446A (ko) 2018-12-24
EP3433302B1 (en) 2021-04-28
JP2019513174A (ja) 2019-05-23
TWI753794B (zh) 2022-01-21
US11407922B2 (en) 2022-08-09
TW201805343A (zh) 2018-02-16
KR20220069123A (ko) 2022-05-26
CN109476848A (zh) 2019-03-15
WO2017165626A1 (en) 2017-09-28
KR102403096B1 (ko) 2022-05-26
EP3433302A1 (en) 2019-01-30
CN109476848B (zh) 2021-06-22

Similar Documents

Publication Publication Date Title
TWI724141B (zh) 形成含矽膜之組成物及其製法與用途
JP7390421B2 (ja) コーティング組成物、および基板上へのSi含有膜の形成方法
EP3056500B1 (en) Bisaminoalkoxysilane compounds and methods for using same to deposit silicon-containing films
JP6578353B2 (ja) Si含有膜堆積用カルボシラン置換アミン前駆体及びその方法
TWI659035B (zh) 經烷胺基取代之碳矽烷前驅物