JP6868640B2 - Si含有膜形成用組成物並びにそれを製造及び使用する方法 - Google Patents

Si含有膜形成用組成物並びにそれを製造及び使用する方法 Download PDF

Info

Publication number
JP6868640B2
JP6868640B2 JP2018550413A JP2018550413A JP6868640B2 JP 6868640 B2 JP6868640 B2 JP 6868640B2 JP 2018550413 A JP2018550413 A JP 2018550413A JP 2018550413 A JP2018550413 A JP 2018550413A JP 6868640 B2 JP6868640 B2 JP 6868640B2
Authority
JP
Japan
Prior art keywords
sih
precursor
hexyl
containing film
formula
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Active
Application number
JP2018550413A
Other languages
English (en)
Other versions
JP2019513174A5 (ja
JP2019513174A (ja
Inventor
マニシュ・カンデルウォール
ショーン・ケリガン
ジャン−マルク・ジラルド
アントニオ・サンチェス
ペン・チャン
ヤン・ワン
Original Assignee
レール・リキード−ソシエテ・アノニム・プール・レテュード・エ・レクスプロワタシオン・デ・プロセデ・ジョルジュ・クロード
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by レール・リキード−ソシエテ・アノニム・プール・レテュード・エ・レクスプロワタシオン・デ・プロセデ・ジョルジュ・クロード filed Critical レール・リキード−ソシエテ・アノニム・プール・レテュード・エ・レクスプロワタシオン・デ・プロセデ・ジョルジュ・クロード
Publication of JP2019513174A publication Critical patent/JP2019513174A/ja
Publication of JP2019513174A5 publication Critical patent/JP2019513174A5/ja
Application granted granted Critical
Publication of JP6868640B2 publication Critical patent/JP6868640B2/ja
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Classifications

    • CCHEMISTRY; METALLURGY
    • C07ORGANIC CHEMISTRY
    • C07FACYCLIC, CARBOCYCLIC OR HETEROCYCLIC COMPOUNDS CONTAINING ELEMENTS OTHER THAN CARBON, HYDROGEN, HALOGEN, OXYGEN, NITROGEN, SULFUR, SELENIUM OR TELLURIUM
    • C07F7/00Compounds containing elements of Groups 4 or 14 of the Periodic Table
    • C07F7/02Silicon compounds
    • C07F7/08Compounds having one or more C—Si linkages
    • C07F7/10Compounds having one or more C—Si linkages containing nitrogen having a Si-N linkage
    • CCHEMISTRY; METALLURGY
    • C08ORGANIC MACROMOLECULAR COMPOUNDS; THEIR PREPARATION OR CHEMICAL WORKING-UP; COMPOSITIONS BASED THEREON
    • C08GMACROMOLECULAR COMPOUNDS OBTAINED OTHERWISE THAN BY REACTIONS ONLY INVOLVING UNSATURATED CARBON-TO-CARBON BONDS
    • C08G77/00Macromolecular compounds obtained by reactions forming a linkage containing silicon with or without sulfur, nitrogen, oxygen or carbon in the main chain of the macromolecule
    • C08G77/60Macromolecular compounds obtained by reactions forming a linkage containing silicon with or without sulfur, nitrogen, oxygen or carbon in the main chain of the macromolecule in which all the silicon atoms are connected by linkages other than oxygen atoms
    • CCHEMISTRY; METALLURGY
    • C08ORGANIC MACROMOLECULAR COMPOUNDS; THEIR PREPARATION OR CHEMICAL WORKING-UP; COMPOSITIONS BASED THEREON
    • C08GMACROMOLECULAR COMPOUNDS OBTAINED OTHERWISE THAN BY REACTIONS ONLY INVOLVING UNSATURATED CARBON-TO-CARBON BONDS
    • C08G77/00Macromolecular compounds obtained by reactions forming a linkage containing silicon with or without sulfur, nitrogen, oxygen or carbon in the main chain of the macromolecule
    • C08G77/60Macromolecular compounds obtained by reactions forming a linkage containing silicon with or without sulfur, nitrogen, oxygen or carbon in the main chain of the macromolecule in which all the silicon atoms are connected by linkages other than oxygen atoms
    • C08G77/62Nitrogen atoms
    • CCHEMISTRY; METALLURGY
    • C09DYES; PAINTS; POLISHES; NATURAL RESINS; ADHESIVES; COMPOSITIONS NOT OTHERWISE PROVIDED FOR; APPLICATIONS OF MATERIALS NOT OTHERWISE PROVIDED FOR
    • C09DCOATING COMPOSITIONS, e.g. PAINTS, VARNISHES OR LACQUERS; FILLING PASTES; CHEMICAL PAINT OR INK REMOVERS; INKS; CORRECTING FLUIDS; WOODSTAINS; PASTES OR SOLIDS FOR COLOURING OR PRINTING; USE OF MATERIALS THEREFOR
    • C09D183/00Coating compositions based on macromolecular compounds obtained by reactions forming in the main chain of the macromolecule a linkage containing silicon, with or without sulfur, nitrogen, oxygen, or carbon only; Coating compositions based on derivatives of such polymers
    • C09D183/16Coating compositions based on macromolecular compounds obtained by reactions forming in the main chain of the macromolecule a linkage containing silicon, with or without sulfur, nitrogen, oxygen, or carbon only; Coating compositions based on derivatives of such polymers in which all the silicon atoms are connected by linkages other than oxygen atoms
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02205Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition
    • H01L21/02208Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si
    • H01L21/02219Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si the compound comprising silicon and nitrogen
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • CCHEMISTRY; METALLURGY
    • C08ORGANIC MACROMOLECULAR COMPOUNDS; THEIR PREPARATION OR CHEMICAL WORKING-UP; COMPOSITIONS BASED THEREON
    • C08GMACROMOLECULAR COMPOUNDS OBTAINED OTHERWISE THAN BY REACTIONS ONLY INVOLVING UNSATURATED CARBON-TO-CARBON BONDS
    • C08G77/00Macromolecular compounds obtained by reactions forming a linkage containing silicon with or without sulfur, nitrogen, oxygen or carbon in the main chain of the macromolecule
    • C08G77/70Siloxanes defined by use of the MDTQ nomenclature

Landscapes

  • Chemical & Material Sciences (AREA)
  • Organic Chemistry (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Engineering & Computer Science (AREA)
  • Health & Medical Sciences (AREA)
  • Medicinal Chemistry (AREA)
  • Polymers & Plastics (AREA)
  • Life Sciences & Earth Sciences (AREA)
  • Materials Engineering (AREA)
  • Wood Science & Technology (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Chemical Vapour Deposition (AREA)
  • Formation Of Insulating Films (AREA)
  • Silicon Polymers (AREA)

Description

関連出願の相互参照
本出願は、2016年3月23日に出願された米国仮特許出願第62/312,352号明細書の利益を主張するものであり、その全体があらゆる目的のために参照により本明細書に組み込まれる。
式:
[−NR−RSi−(CH−SiR−] (II)
(式中、m=1〜4であり;t=1〜4であり;n=2〜400であり;R、R、R、及びRは、独立して、H、C−C炭化水素、又は式NR’’を有するアルキルアミノ基であり、各R’’が、独立して、H、C−C炭化水素、C−C12アリールであるか、又はNR’’は、環状アミン基を形成し、ただし、R、R、R、及びRの少なくとも1つは、Hであり;及びRは、H、C−C炭化水素、式SiR’2x+1(ここで、x=1〜4であり、及び各R’は、独立して、=H、C−C炭化水素基、又は式NR’’を有するアルキルアミノ基であり、各R’’は、独立して、H、C−C基、C−C12アリールであるか、又はNR’’は、環状アミン基を形成する)を有するシリル基、又はR1’2’3’Si(CHSiR4’5’基(ここで、b=1〜2である)であり、R1’、R2’、R3’、R4’、及びR5’は、独立して、H、C−C炭化水素、C−C12アリール、又は式NR’’を有するアルキルアミノ基であり、各R’’は、独立して、H、C−C基、C−C12アリールであるか、又はNR’’は、環状アミン基を形成し、ただし、R1’、R2’、R3’、R4’、及びR5’の少なくとも1つは、Hである)
を有する単位を含有する前駆体を含むSi含有膜形成用組成物が開示される。
Si含有膜は、半導体、太陽電池、LCD−TFT、フラットパネル型デバイス、耐火性材料、又は航空産業において広く使用されている。Si含有膜は、例えば、絶縁し得る電気特性を有する誘電材料(SiO、SiN、SiC、SiCN、SiCOH、MSiO(式中、Mは、Hf、Zr、Ti、Nb、Ta、又はGeであり、及びxは0〜4である))として使用される場合がある。Si含有膜は、金属シリサイド又は金属ケイ素窒化物などの導電膜として使用される場合もある。電子デバイス構造のナノスケール(特に28nmノード未満)への小型化に伴って課せられる厳しい要件のため、速い堆積速度、製造される膜のコンフォーマル性及び一貫性に加えて、揮発性(蒸着プロセスについて)、より低い処理温度、様々な酸化剤との反応性、及び低い膜汚染の要件を満たす、更に微調整された分子の前駆体が必要とされている。
Hizawa及びNojimoto(Kogyo Kagaku Zasshi,1956,59,1359−63)は、MeSiCHSiMeClとNHとの反応からの(MeSiCHSiMeNHの合成を述べている。
O’Neillら(米国特許出願公開第2015/0087139号明細書)は、HSi−R−SiH−NR−SiH−R−SiH(式中、Rは、直鎖又は分岐のC−C12炭化水素基、直鎖又は分岐のC−C12アルケニル基、直鎖又は分岐のC−C12のアルキニル基、C−C12環状アルキル基、又はC−C12アリール基であり、Rは、直鎖又は分岐のC−C12アルキレン基、直鎖又は分岐のC−Cアルキニレン基、C−C12環状アルキレン基、C−C12ヘテロ環アルキレン基、C−C12アリーレン基、又はC−C12ヘテロアリーレン基である)を含む、5つの分類のオルガノアミノシラン前駆体を開示している。
Fafardらの国際公開第2016/049154号パンフレットには、Si含有膜の堆積のためのカルボシラン置換アミン前駆体が開示されている。カルボシラン置換アミン前駆体は、式(RN(−SiHR−CH−SiH3−a(式中、a=0又は1であり;Rは、H、C1−C6アルキル基、又はハロゲンであり;R及びRは、それぞれ独立して、H、ハロゲン、アルコキシ、又はアルキルアミノ基である)を有する。
Kerriganらの国際公開第2016/160991号パンフレットには、アンモニア、アミン、及びアミジンを用いたカルボシランの触媒的脱水素型カップリングが開示されている。
製造プロセスの要件に合わせることができ、望ましい電気的特性及び物理的特性を有する膜を得ることができる能力をデバイス技術者に与えるために、Si堆積前駆体を設計及び製造すること、特にハロゲンフリー及び/又はより選択的なルートで前駆体を設計及び製造することが継続的に必要とされている。
次式:
N(RSi(CHSiR3−a (I)
を有するか、又は次式:
[−NR−RSi−(CH−SiR−] (II)
を有する単位を含有する前駆体を含むSi含有膜形成用組成物が開示され、式中、
a=0〜1であり;
m=1〜4であり;
t=1〜4であり;
n=2〜400であり;
、R、R、R、及びRは、独立して、H、炭化水素基(C−C)、又は式NR’’の有するアルキルアミノ基であり、各R’’は、独立して、H、C−C炭化水素基、C−C12アリールであるか、又はNR’’は、環状アミン基を形成し、ただし、R、R、R、R、及びRの少なくとも1つは、Hであり;及び
Rは、H、C−C炭化水素基、式SiR’2x+1(ここで、x=1〜4であり、及び各R’は、独立して、=H、C−C炭化水素基、又は式NR’’を有するアルキルアミノ基であり、各R’’は、独立して、H、C−C基、C−C12アリールであるか、又はNR’’は、環状アミン基を形成する)を有するシリル基、又はR1’2’3’Si(CHSiR4’5’基(式中、b=1〜2である)であり、R1’、R2’、R3’、R4’、及びR5’は、独立して、H、C−C炭化水素基、C−C12アリール、又は式NR’’を有するアルキルアミノ基であり、各R’’は、独立して、H、C−C基、C−C12アリールであるか、又はNR’’は、環状アミン基を形成し、ただし、R1’、R2’、R3’、R4’、及びR5’の少なくとも1つは、Hである。本開示のSi含有膜形成用組成物は、次の態様の1つ以上を含み得る:
・m=1〜2である;
・t=1〜2である;
・a=0であり、且つm=1である;
・前駆体がN(SiR(CH)SiRである;
・R=R=R=R=R=Hである;
・前駆体がN(−SiH−CH−SiHである;
・R、R、又はRの少なくとも1つがHである;
・R又はRの少なくとも1つがHである;
・R、R、又はRの少なくとも1つがHであり、且つR又はRの少なくとも1つがHである;
・R、R、R、及びR=Hである;
・R、R、R、R、又はRの少なくとも1つがビニルである;
・R、R、R、R、又はRの少なくとも1つがアリルである;
・R、R、R、R、又はRの少なくとも1つがフェニルである;
・R、R、R、及びR=Hである;
・前駆体がN(SiH−CH−SiH(CH=CH))である;
・前駆体がN(SiH−CH−SiH(CH=CH−CH))である;
・前駆体がN(SiH−CH−SiH(NH))である;
・前駆体がN(SiH−CH−SiH(NMe))である;
・前駆体がN(SiH−CH−SiH(NMeEt))である;
・前駆体がN(SiH−CH−SiH(NEt))である;
・前駆体がN(SiH−CH−SiH(NnPr))である;
・前駆体がN(SiH−CH−SiH(NiPr))である;
・前駆体がN(SiH−CH−SiH(NBu))である;
・前駆体がN(SiH−CH−SiH(NiBu))である;
・前駆体がN(SiH−CH−SiH(NtBu))である;
・前駆体がN(SiH−CH−SiH(NAm))である;
・前駆体がN(SiH−CH−SiH(NCyペンチル))である;
・前駆体がN(SiH−CH−SiH(Nヘキシル))である;
・前駆体がN(SiH−CH−SiH(NCyHex))である;
・前駆体がN(SiH−CH−SiH(NMeH))である;
・前駆体がN(SiH−CH−SiH(NEtH))である;
・前駆体がN(SiH−CH−SiH(NnPrH))である;
・前駆体がN(SiH−CH−SiH(NiPrH))である;
・前駆体がN(SiH−CH−SiH(NBuH))である;
・前駆体がN(SiH−CH−SiH(NiBuH))である;
・前駆体がN(SiH−CH−SiH(NtBuH))である;
・前駆体がN(SiH−CH−SiH(NAmH))である;
・前駆体がN(SiH−CH−SiH(ピリジン))である;
・前駆体がN(SiH−CH−SiH(ピロール))である;
・前駆体がN(SiH−CH−SiH(ピロリジン))である;
・前駆体がN(SiH−CH−SiH(イミダゾール))である;
・前駆体がN(SiH−CH−SiH(ピリミジン))である;
・前駆体がN(SiH−CH−SiH(ピペリジン))である;
・R、R、及びR=Hである;
・R、R、及びR=Hである;
・前駆体がN(SiH(CH=CH)−CH−SiH(CH=CH))である;
・前駆体がN(SiH(CH=CH−CH)−CH−SiH(CH=CH−CH))である;
・前駆体がN(SiH(NH)−CH−SiH(NH))である;
・前駆体がN(SiH(NMe)−CH−SiH(NMe))である;
・前駆体がN(SiH(NMeEt)−CH−SiH(NMeEt))である;
・前駆体がN(SiH(NEt)−CH−SiH(NEt))である;
・前駆体がN(SiH(NnPr)−CH−SiH(NnPr))である;
・前駆体がN(SiH(NiPr)−CH−SiH(NiPr))である;
・前駆体がN(SiH(NBu)−CH−SiH(NBu))である;
・前駆体がN(SiH(NiBu)−CH−SiH(NiBu))である;
・前駆体がN(SiH(NtBu)−CH−SiH(NtBu))である;
・前駆体がN(SiH(NAm)−CH−SiH(NAm))である;
・前駆体がN(SiH(NCyペンチル)−CH−SiH(NCyペンチル))である;
・前駆体がN(SiH(Nヘキシル)−CH−SiH(Nヘキシル))である;
・前駆体がN(SiH(NCyHex)−CH−SiH(NCyHex))である;
・前駆体がN(SiH(NMeH)−CH−SiH(NMeH))である;
・前駆体がN(SiH(NEtH)−CH−SiH(NEtH))である;
・前駆体がN(SiH(NnPrH)−CH−SiH(NnPrH))である;
・前駆体がN(SiH(NiPrH)−CH−SiH(NiPrH))である;
・前駆体がN(SiH(NBuH)−CH−SiH(NBuH))である;
・前駆体がN(SiH(NiBuH)−CH−SiH(NiBuH))である;
・前駆体がN(SiH(NtBuH)−CH−SiH(NtBuH))である;
・前駆体がN(SiH(NAmH)−CH−SiH(NAmH))である;
・前駆体がN(SiH(ピリジン)−CH−SiH(ピリジン))である;
・前駆体がN(SiH(ピロール)−CH−SiH(ピロール))である;
・前駆体がN(SiH(ピロリジン)−CH−SiH(ピロリジン))である;
・前駆体がN(SiH(イミダゾール)−CH−SiH(イミダゾール))である;
・前駆体がN(SiH(ピペリジン)−CH−SiH(イミダゾール))である;
・前駆体がN(SiH(ピリミジン)−CH−SiH(イミダゾール))である;
・R、R、及びR=Hである;
・前駆体がN(SiH−CH−SiH(CH=CH)である;
・前駆体がN(SiH−CH−SiH(CH=CH−CHである;
・前駆体がN(SiH−CH−SiH(NHである;
・前駆体がN(SiH−CH−SiH(NMeである;
・前駆体がN(SiH−CH−SiH(NMeEt)である;
・前駆体がN(SiH−CH−SiH(NEtである;
・前駆体がN(SiH−CH−SiH(NnPrである;
・前駆体がN(SiH−CH−SiH(NiPrである;
・前駆体がN(SiH−CH−SiH(NBuである;
・前駆体がN(SiH−CH−SiH(NiBuである;
・前駆体がN(SiH−CH−SiH(NtBuである;
・前駆体がN(SiH−CH−SiH(NAmである;
・前駆体がN(SiH−CH−SiH(NCyペンチルである;
・前駆体がN(SiH−CH−SiH(Nヘキシルである;
・前駆体がN(SiH−CH−SiH(NCyHexである;
・前駆体がN(SiH−CH−SiH(NMeH)である;
・前駆体がN(SiH−CH−SiH(NEtH)である;
・前駆体がN(SiH−CH−SiH(NnPrH)である;
・前駆体がN(SiH−CH−SiH(NiPrH)である;
・前駆体がN(SiH−CH−SiH(NBuH)である;
・前駆体がN(SiH−CH−SiH(NiBuH)である;
・前駆体がN(SiH−CH−SiH(NtBuH)である;
・前駆体がN(SiH−CH−SiH(NAmH)である;
・前駆体がN(SiH−CH−SiH(ピリジン)である;
・前駆体がN(SiH−CH−SiH(ピロール)である;
・前駆体がN(SiH−CH−SiH(ピロリジン)である;
・前駆体がN(SiH−CH−SiH(イミダゾール)である;
・前駆体がN(SiH−CH−SiH(ピペリジン)である;
・前駆体がN(SiH−CH−SiH(ピリミジン)である;
・R及びR=Hである;
・前駆体がN(SiH−CH−Si(CH=CH)である;
・前駆体がN(SiH−CH−Si(CH=CH−CHである;
・前駆体がN(SiH−CH−Si(NHである;
・前駆体がN(SiH−CH−Si(NMeである;
・前駆体がN(SiH−CH−Si(NMeEt)である;
・前駆体がN(SiH−CH−Si(NEtである;
・前駆体がN(SiH−CH−Si(NnPrである;
・前駆体がN(SiH−CH−Si(NiPrである;
・前駆体がN(SiH−CH−Si(NBuである;
・前駆体がN(SiH−CH−Si(NiBuである;
・前駆体がN(SiH−CH−Si(NtBuである;
・前駆体がN(SiH−CH−Si(NAmである;
・前駆体がN(SiH−CH−Si(NCyペンチルである;
・前駆体がN(SiH−CH−Si(Nヘキシルである;
・前駆体がN(SiH−CH−Si(NCyHexである;
・前駆体がN(SiH−CH−Si(NMeH)である;
・前駆体がN(SiH−CH−Si(NEtH)である;
・前駆体がN(SiH−CH−Si(NnPrH)である;
・前駆体がN(SiH−CH−Si(NiPrH)である;
・前駆体がN(SiH−CH−Si(NBuH)である;
・前駆体がN(SiH−CH−Si(NiBuH)である;
・前駆体がN(SiH−CH−Si(NtBuH)である;
・前駆体がN(SiH−CH−Si(NAmH)である;
・前駆体がN(SiH−CH−Si(ピリジン)である;
・前駆体がN(SiH−CH−Si(ピロール)である;
・前駆体がN(SiH−CH−Si(ピロリジン)である;
・前駆体がN(SiH−CH−Si(イミダゾール)である;
・前駆体がN(SiH−CH−Si(ピペリジン)である;
・前駆体がN(SiH−CH−Si(ピリミジン)である;
・a=0であり、且つm=2である;
・前駆体がN(SiR(CHCH)SiRである;
・R、R、R、R、及びR=Hである;
・前駆体がN(SiH−CH−CH−SiH3)3である;
・R、R、R、及びR=Hである;
・R、R、R、及びR=Hである;
・前駆体がN(SiH−CH−CH−SiH(CH=CH))である;
・前駆体がN(SiH−CH−CH−SiH(CH=CH−CH))である;
・前駆体がN(SiH−CH−CH−SiH(NH))である;
・前駆体がN(SiH−CH−CH−SiH(NMe))である;
・前駆体がN(SiH−CH−CH−SiH(NMeEt))である;
・前駆体がN(SiH−CH−CH−SiH(NEt))である;
・前駆体がN(SiH−CH−CH−SiH(NnPr))である;
・前駆体がN(SiH−CH−CH−SiH(NiPr))である;
・前駆体がN(SiH−CH−CH−SiH(NBu))である;
・前駆体がN(SiH−CH−CH−SiH(NiBu))である;
・前駆体がN(SiH−CH−CH−SiH(NtBu))である;
・前駆体がN(SiH−CH−CH−SiH(NAm))である;
・前駆体がN(SiH−CH−CH−SiH(NCyペンチル))である;
・前駆体がN(SiH−CH−CH−SiH(Nヘキシル))である;
・前駆体がN(SiH−CH−CH−SiH(NCyHex))である;
・前駆体がN(SiH−CH−CH−SiH(NMeH))である;
・前駆体がN(SiH−CH−CH−SiH(NEtH))である;
・前駆体がN(SiH−CH−CH−SiH(NnPrH))である;
・前駆体がN(SiH−CH−CH−SiH(NiPrH))である;
・前駆体がN(SiH−CH−CH−SiH(NBuH))である;
・前駆体がN(SiH−CH−CH−SiH(NiBuH))である;
・前駆体がN(SiH−CH−CH−SiH(NtBuH))である;
・前駆体がN(SiH−CH−CH−SiH(NAmH))である;
・前駆体がN(SiH−CH−CH−SiH(ピリジン))である;
・前駆体がN(SiH−CH−CH−SiH(ピロール))である;
・前駆体がN(SiH−CH−CH−SiH(ピロリジン))である;
・前駆体がN(SiH−CH−CH−SiH(イミダゾール))である;
・前駆体がN(SiH−CH−CH−SiH(ピペリジン))である;
・前駆体がN(SiH−CH−CH−SiH(ピリミジン))である;
・R、R、及びR=Hである;
・R、R、及びR=Hである;
・前駆体がN(SiH(CH=CH)−CH−CH−SiH(CH=CH))である;
・前駆体がN(SiH(CH=CH−CH)−CH−CH−SiH(CH=CH−CH))である;
・前駆体がN(SiH(NH)−CH−CH−SiH(NH))である;
・前駆体がN(SiH(NMe)−CH−CH−SiH(NMe))である;
・前駆体がN(SiH(NMeEt)−CH−CH−SiH(NMeEt))である;
・前駆体がN(SiH(NEt)−CH−CH−SiH(NEt))である;
・前駆体がN(SiH(NnPr)−CH−CH−SiH(NnPr))である;
・前駆体がN(SiH(NiPr)−CH−CH−SiH(NiPr))である;
・前駆体がN(SiH(NBu)−CH−CH−SiH(NBu))である;
・前駆体がN(SiH(NiBu)−CH−CH−SiH(NiBu))である;
・前駆体がN(SiH(NtBu)−CH−CH−SiH(NtBu))である;
・前駆体がN(SiH(NAm)−CH−CH−SiH(NAm))である;
・前駆体がN(SiH(NCyペンチル)−CH−CH−SiH(NCyペンチル))である;
・前駆体がN(SiH(Nヘキシル)−CH−CH−SiH(Nヘキシル))である;
・前駆体がN(SiH(NCyHex)−CH−CH−SiH(NCyHex))である;
・前駆体がN(SiH(NMeH)−CH−CH−SiH(NMeH))である;
・前駆体がN(SiH(NEtH)−CH−CH−SiH(NEtH))である;
・前駆体がN(SiH(NnPrH)−CH−CH−SiH(NnPrH))である;
・前駆体がN(SiH(NiPrH)−CH−CH−SiH(NiPrH))である;
・前駆体がN(SiH(NBuH)−CH−CH−SiH(NBuH))である;
・前駆体がN(SiH(NiBuH)−CH−CH−SiH(NiBuH))である;
・前駆体がN(SiH(NtBuH)−CH−CH−SiH(NtBuH))である;
・前駆体がN(SiH(NAmH)−CH−CH−SiH(NAmH))である;
・前駆体がN(SiH(ピリジン)−CH−CH−SiH(ピリジン))である;
・前駆体がN(SiH(ピロール)−CH−CH−SiH(ピロール))である;
・前駆体がN(SiH(ピロリジン)−CH−CH−SiH(ピロリジン))である;
・前駆体がN(SiH(イミダゾール)−CH−CH−SiH(イミダゾール))である;
・前駆体がN(SiH(ピペリジン)−CH−CH−SiH(ピペリジン))である;
・前駆体がN(SiH(ピリミジン)−CH−CH−SiH(ピリミジン))である;
・R、R、及びR=Hである;
・前駆体がN(SiH−CH−CH−SiH(CH=CH)である;
・前駆体がN(SiH−CH−CH−SiH(CH=CH−CHである;
・前駆体がN(SiH−CH−CH−SiH(NHである;
・前駆体がN(SiH−CH−CH−SiH(NMeである;
・前駆体がN(SiH−CH−CH−SiH(NMeEt)である;
・前駆体がN(SiH−CH−CH−SiH(NEtである;
・前駆体がN(SiH−CH−CH−SiH(NnPrである;
・前駆体がN(SiH−CH−CH−SiH(NiPrである;
・前駆体がN(SiH−CH−CH−SiH(NBuである;
・前駆体がN(SiH−CH−CH−SiH(NiBuである;
・前駆体がN(SiH−CH−CH−SiH(NtBuである;
・前駆体がN(SiH−CH−CH−SiH(NAmである;
・前駆体がN(SiH−CH−CH−SiH(NCyペンチルである;
・前駆体がN(SiH−CH−CH−SiH(Nヘキシルである;
・前駆体がN(SiH−CH−CH−SiH(NCyHexである;
・前駆体がN(SiH−CH−CH−SiH(NMeH)である;
・前駆体がN(SiH−CH−CH−SiH(NEtH)である;
・前駆体がN(SiH−CH−CH−SiH(NnPrH)である;
・前駆体がN(SiH−CH−CH−SiH(NiPrH)である;
・前駆体がN(SiH−CH−CH−SiH(NBuH)である;
・前駆体がN(SiH−CH−CH−SiH(NiBuH)である;
・前駆体がN(SiH−CH−CH−SiH(NtBuH)である;
・前駆体がN(SiH−CH−CH−SiH(NAmH)である;
・前駆体がN(SiH−CH−CH−SiH(ピリジン)である;
・前駆体がN(SiH−CH−CH−SiH(ピロール)である;
・前駆体がN(SiH−CH−CH−SiH(ピロリジン)である;
・前駆体がN(SiH−CH−CH−SiH(イミダゾール)である;
・前駆体がN(SiH−CH−CH−SiH(ピペリジン)である;
・前駆体がN(SiH−CH−CH−SiH(ピリミジン)である;
・R及びR=Hである;
・前駆体がN(SiH−CH−CH−Si(CH=CH)である;
・前駆体がN(SiH−CH−CH−Si(CH=CH−CHである;
・前駆体がN(SiH−CH−CH−Si(NHである;
・前駆体がN(SiH−CH−CH−Si(NMeである;
・前駆体がN(SiH−CH−CH−Si(NMeEt)である;
・前駆体がN(SiH−CH−CH−Si(NEtである;
・前駆体がN(SiH−CH−CH−Si(NnPrである;
・前駆体がN(SiH−CH−CH−Si(NiPrである;
・前駆体がN(SiH−CH−CH−Si(NBuである;
・前駆体がN(SiH−CH−CH−Si(NiBuである;
・前駆体がN(SiH−CH−CH−Si(NtBuである;
・前駆体がN(SiH−CH−CH−Si(NAmである;
・前駆体がN(SiH−CH−CH−Si(NCyペンチルである;
・前駆体がN(SiH−CH−CH−Si(Nヘキシルである;
・前駆体がN(SiH−CH−CH−Si(NCyHexである;
・前駆体がN(SiH−CH−CH−Si(NMeH)である;
・前駆体がN(SiH−CH−CH−Si(NEtH)である;
・前駆体がN(SiH−CH−CH−Si(NnPrH)である;
・前駆体がN(SiH−CH−CH−Si(NiPrH)である;
・前駆体がN(SiH−CH−CH−Si(NBuH)である;
・前駆体がN(SiH−CH−CH−Si(NiBuH)である;
・前駆体がN(SiH−CH−CH−Si(NtBuH)である;
・前駆体がN(SiH−CH−CH−Si(NAmH)である;
・前駆体がN(SiH−CH−CH−Si(ピリジン)である;
・前駆体がN(SiH−CH−CH−Si(ピロール)である;
・前駆体がN(SiH−CH−CH−Si(ピロリジン)である;
・前駆体がN(SiH−CH−CH−Si(イミダゾール)である;
・前駆体がN(SiH−CH−CH−Si(ピペリジン)である;
・前駆体がN(SiH−CH−CH−Si(ピリミジン)である;
・a=1であり、且つm=1である;
・前駆体がRN(SiR(CH)SiRである;
・R、R、R、R、R、及びR=Hである;
・前駆体がHN(SiH−CH−SiHである;
・R、R、R、R、R、又はRの少なくとも1つがビニルである;
・R、R、R、R、R、又はRの少なくとも1つがアリルである;
・R、R、R、R、R、又はRの少なくとも1つがフェニルである;
・R、R、R、R、及びR=Hであり、且つR=Si2x+1(x=1〜4である)である;
・前駆体がSiHN(SiH−CH−SiHである;
・前駆体がSiN(SiH−CH−SiHである;
・前駆体がSiN(SiH−CH−SiHである;
・前駆体がSiN(SiH−CH−SiHである;
・R、R、R、R、及びR=Hであり、且つR=C2y+1(y=1〜6である)である;
・前駆体が(Me)N(SiH−CH−SiHである;
・前駆体が(Et)N(SiH−CH−SiHである;
・前駆体が(nPr)N(SiH−CH−SiHである;
・前駆体が(iPr)N(SiH−CH−SiHである;
・前駆体が(Bu)N(SiH−CH−SiHである;
・前駆体が(iBu)N(SiH−CH−SiHである;
・前駆体が(tBu)N(SiH−CH−SiHである;
・前駆体が(アミル)N(SiH−CH−SiHである;
・前駆体が(ヘキシル)N(SiH−CH−SiHである;
・前駆体が(SiMe)N(SiH−CH−SiHである;
・前駆体が(SiEt)N(SiH−CH−SiHである;
・前駆体がSi(iPr)N(SiH−CH−SiHである;
・前駆体がSi(nPr)N(SiH−CH−SiHである;
・前駆体がSi(Bu)N(SiH−CH−SiHである;
・前駆体がSi(iBu)N(SiH−CH−SiHである;
・前駆体がSi(tBu)N(SiH−CH−SiHである;
・前駆体がSi(アミル)N(SiH−CH−SiHである;
・前駆体がSi(ヘキシル)N(SiH−CH−SiHである;
・前駆体がSiHMeN(SiH−CH−SiHである;
・前駆体がSiHEtN(SiH−CH−SiHである;
・前駆体がSiH(iPr)N(SiH−CH−SiHである;
・前駆体がSiH(nPr)N(SiH−CH−SiHである;
・前駆体がSiH(Bu)N(SiH−CH−SiHである;
・前駆体がSiH(iBu)N(SiH−CH−SiHである;
・前駆体がSiH(tBu)N(SiH−CH−SiHである;
・前駆体がSiH(アミル)N(SiH−CH−SiHである;
・前駆体がSiH(ヘキシル)N(SiH−CH−SiHである;
・前駆体がSiHMeN(SiH−CH−SiHである;
・前駆体がSiHEtN(SiH−CH−SiHである;
・前駆体がSiH(iPr)N(SiH−CH−SiHである;
・前駆体がSiH(nPr)N(SiH−CH−SiHである;
・前駆体がSiH(Bu)N(SiH−CH−SiHである;
・前駆体がSiH(iBu)N(SiH−CH−SiHである;
・前駆体がSiH(tBu)N(SiH−CH−SiHである;
・前駆体がSiH(アミル)N(SiH−CH−SiHである;
・前駆体がSiH(ヘキシル)N(SiH−CH−SiHである;
・前駆体が(SiH−CH−CH−SiH)N(SiH−CH−SiHである;
・前駆体が(SiMe−CH−SiMe)N(SiH−CH−SiHである;
・前駆体が(SiMe−CH−CH−SiMe)N(SiH−CH−SiHである;
・前駆体が(SiEt−CH−SiEt)N(SiH−CH−SiHである;
・前駆体が(SiEt−CH−CH−SiEt)N(SiH−CH−SiHである;
・前駆体が(SiHNMe)N(SiH−CH−SiHである;
・前駆体が(SiHNEt)N(SiH−CH−SiHである;
・前駆体が(SiHNMeEt)N(SiH−CH−SiHである;
・前駆体が(SiH(NMe)N(SiH−CH−SiHである;
・前駆体が(SiH(NEt)N(SiH−CH−SiHである;
・R、R、R、及びR=Hであり、且つR=H、C2u+1、又はSi2v−1であり、式中、u=1〜6であり、且つv=1〜4である;
・R、R、R、及びR=Hであり、且つR=H、C2u+1、又はSivH2v−1であり、式中、u=1〜6であり、且つv=1〜4である;
・前駆体がRN(SiH−CH−SiH(CH=CH))である;
・前駆体がRN(SiH−CH−SiH(CH=CH−CH))である;
・前駆体がRN(SiH−CH−SiH(NH))である;
・前駆体がRN(SiH−CH−SiH(NMe))である;
・前駆体がRN(SiH−CH−SiH(NMeEt))である;
・前駆体がRN(SiH−CH−SiH(NEt))である;
・前駆体がRN(SiH−CH−SiH(NnPr))である;
・前駆体がRN(SiH−CH−SiH(NiPr))である;
・前駆体がRN(SiH−CH−SiH(NBu))である;
・前駆体がRN(SiH−CH−SiH(NiBu))である;
・前駆体がRN(SiH−CH−SiH(NtBu))である;
・前駆体がRN(SiH−CH−SiH(NAm))である;
・前駆体がRN(SiH−CH−SiH(NCyペンチル))である;
・前駆体がRN(SiH−CH−SiH(Nヘキシル))である;
・前駆体がRN(SiH−CH−SiH(NCyHex))である;
・前駆体がRN(SiH−CH−SiH(NMeH))である;
・前駆体がRN(SiH−CH−SiH(NEtH))である;
・前駆体がRN(SiH−CH−SiH(NnPrH))である;
・前駆体がRN(SiH−CH−SiH(NiPrH))である;
・前駆体がRN(SiH−CH−SiH(NBuH))である;
・前駆体がRN(SiH−CH−SiH(NiBuH))である;
・前駆体がRN(SiH−CH−SiH(NtBuH))である;
・前駆体がRN(SiH−CH−SiH(NAmH))である;
・前駆体がRN(SiH−CH−SiH(ピリジン))である;
・前駆体がRN(SiH−CH−SiH(ピロール))である;
・前駆体がRN(SiH−CH−SiH(ピロリジン))である;
・前駆体がRN(SiH−CH−SiH(イミダゾール))である;
・前駆体がRN(SiH−CH−SiH(ピペリジン))である;
・前駆体がRN(SiH−CH−SiH(ピリミジン))である;
・R、R、及びR=Hであり、且つR=H、C2u+1、SivH2v−1であり、式中、u=1〜6であり、且つv=1〜4である;
・R、R、及びR=Hであり、且つR=H、C2u+1、又はSivH2v−1であり、式中、u=1〜6であり、且つv=1〜4である;
・前駆体がRN(SiH(CH=CH)−CH−SiH(CH=CH))である;
・前駆体がRN(SiH(CH=CH−CH)−CH−SiH(CH=CH−CH))である;
・前駆体がRN(SiH(NH)−CH−SiH(NH))である;
・前駆体がRN(SiH(NMe)−CH−SiH(NMe))である;
・前駆体がRN(SiH(NMeEt)−CH−SiH(NMeEt))である;
・前駆体がRN(SiH(NEt)−CH−SiH(NEt))である;
・前駆体がRN(SiH(NnPr)−CH−SiH(NnPr))である;
・前駆体がRN(SiH(NiPr)−CH−SiH(NiPr))である;
・前駆体がRN(SiH(NBu)−CH−SiH(NBu))である;
・前駆体がRN(SiH(NiBu)−CH−SiH(NiBu))である;
・前駆体がRN(SiH(NtBu)−CH−SiH(NtBu))である;
・前駆体がRN(SiH(NAm)−CH−SiH(NAm))である;
・前駆体がRN(SiH(NCyペンチル)−CH−SiH(NCyペンチル))である;
・前駆体がRN(SiH(Nヘキシル)−CH−SiH(Nヘキシル))である;
・前駆体がRN(SiH(NCyHex)−CH−SiH(NCyHex))である;
・前駆体がRN(SiH(NMeH)−CH−SiH(NMeH))である;
・前駆体がRN(SiH(NEtH)−CH−SiH(NEtH))である;
・前駆体がRN(SiH(NnPrH)−CH−SiH(NnPrH))である;
・前駆体がRN(SiH(NiPrH)−CH−SiH(NiPrH))である;
・前駆体がRN(SiH(NBuH)−CH−SiH(NBuH))である;
・前駆体がRN(SiH(NiBuH)−CH−SiH(NiBuH))である;
・前駆体がRN(SiH(NtBuH)−CH−SiH(NtBuH))である;
・前駆体がRN(SiH(NAmH)−CH−SiH(NAmH))である;
・前駆体がRN(SiH(ピリジン)−CH−SiH(ピリジン))である;
・前駆体がRN(SiH(ピロール)−CH−SiH(ピロール))である;
・前駆体がRN(SiH(ピロリジン)−CH−SiH(ピロリジン))である;
・前駆体がRN(SiH(イミダゾール)−CH−SiH(イミダゾール))である;
・前駆体がRN(SiH(ピペリジン)−CH−SiH(ピペリジン))である;
・前駆体がRN(SiH(ピリミジン)−CH−SiH(ピリミジン))である;
・R、R、及びR=Hであり、且つR=H、C2u+1、又はSivH2v−1であり、式中、u=1〜6であり、且つv=1〜4である;
・前駆体がRN(SiH−CH−SiH(CH=CH)である;
・前駆体がRN(SiH−CH−SiH(CH=CH−CHである;
・前駆体がRN(SiH−CH−SiH(NHである;
・前駆体がRN(SiH−CH−SiH(NMeである;
・前駆体がRN(SiH−CH−SiH(NMeEt)である;
・前駆体がRN(SiH−CH−SiH(NEtである;
・前駆体がRN(SiH−CH−SiH(NnPrである;
・前駆体がRN(SiH−CH−SiH(NiPrである;
・前駆体がRN(SiH−CH−SiH(NBuである;
・前駆体がRN(SiH−CH−SiH(NiBuである;
・前駆体がRN(SiH−CH−SiH(NtBuである;
・前駆体がRN(SiH−CH−SiH(NAmである;
・前駆体がRN(SiH−CH−SiH(NCyペンチルである;
・前駆体がRN(SiH−CH−SiH(Nヘキシルである;
・前駆体がRN(SiH−CH−SiH(NCyHexである;
・前駆体がRN(SiH−CH−SiH(NMeH)である;
・前駆体がRN(SiH−CH−SiH(NEtH)である;
・前駆体がRN(SiH−CH−SiH(NnPrH)である;
・前駆体がRN(SiH−CH−SiH(NiPrH)である;
・前駆体がRN(SiH−CH−SiH(NBuH)である;
・前駆体がRN(SiH−CH−SiH(NiBuH)である;
・前駆体がRN(SiH−CH−SiH(NtBuH)である;
・前駆体がRN(SiH−CH−SiH(NAmH)である;
・前駆体がRN(SiH−CH−SiH(ピリジン)である;
・前駆体がRN(SiH−CH−SiH(ピロール)である;
・前駆体がRN(SiH−CH−SiH(ピロリジン)である;
・前駆体がRN(SiH−CH−SiH(イミダゾール)である;
・前駆体がRN(SiH−CH−SiH(ピペリジン)である;
・前駆体がRN(SiH−CH−SiH(ピリミジン)である;
・R及びR=Hであり、且つR=H、C2u+1、又はSivH2v−1であり、式中、u=1〜6であり、且つv=1〜4である;
・前駆体がRN(SiH−CH−Si(CH=CH)である;
・前駆体がRN(SiH−CH−Si(CH=CH−CHである;
・前駆体がRN(SiH−CH−Si(NHである;
・前駆体がRN(SiH−CH−Si(NMeである;
・前駆体がRN(SiH−CH−Si(NMeEt)である;
・前駆体がRN(SiH−CH−Si(NEtである;
・前駆体がRN(SiH−CH−Si(NnPrである;
・前駆体がRN(SiH−CH−Si(NiPrである;
・前駆体がRN(SiH−CH−Si(NBuである;
・前駆体がRN(SiH−CH−Si(NiBuである;
・前駆体がRN(SiH−CH−Si(NtBuである;
・前駆体がRN(SiH−CH−Si(NAmである;
・前駆体がRN(SiH−CH−Si(NCyペンチルである;
・前駆体がRN(SiH−CH−Si(Nヘキシルである;
・前駆体がRN(SiH−CH−Si(NCyHexである;
・前駆体がRN(SiH−CH−Si(NMeH)である;
・前駆体がRN(SiH−CH−Si(NEtH)である;
・前駆体がRN(SiH−CH−Si(NnPrH)である;
・前駆体がRN(SiH−CH−Si(NiPrH)である;
・前駆体がRN(SiH−CH−Si(NBuH)である;
・前駆体がRN(SiH−CH−Si(NiBuH)である;
・前駆体がRN(SiH−CH−Si(NtBuH)である;
・前駆体がRN(SiH−CH−Si(NAmH)である;
・前駆体がRN(SiH−CH−Si(ピリジン)である;
・前駆体がRN(SiH−CH−Si(ピロール)である;
・前駆体がRN(SiH−CH−Si(ピロリジン)である;
・前駆体がRN(SiH−CH−Si(イミダゾール)である;
・前駆体がRN(SiH−CH−Si(ピペリジン)である;
・前駆体がRN(SiH−CH−Si(ピリミジン)である;
・a=1であり、且つm=2である;
・式(I)がRN(SiR(CHSiRである;
・R、R、R、R、R、及びR=Hである;
・前駆体がHN(SiH−CH−CH−SiHである;
・R、R、R、R、及びR=Hであり、且つR=Si2x+1(x=1〜4である)である;
・前駆体がSiHN(SiH−CH−CH−SiHである;
・前駆体がSiN(SiH−CH−CH−SiHである;
・前駆体がSiN(SiH−CH−CH−SiHである;
・前駆体がSiN(SiH−CH−CH−SiHである;
・R、R、R、R、及びR=Hであり、且つR=C2y+1(y=1〜6である)である;
・前駆体が(Me)N(SiH−CH−CH−SiHである;
・前駆体が(Et)N(SiH−CH−CH−SiHである;
・前駆体が(nPr)N(SiH−CH−CH−SiHである;
・前駆体が(iPr)N(SiH−CH−CH−SiHである;
・前駆体が(Bu)N(SiH−CH−CH−SiHである;
・前駆体が(iBu)N(SiH−CH−CH−SiHである;
・前駆体が(tBu)N(SiH−CH−CH−SiHである;
・前駆体が(アミル)N(SiH−CH−CH−SiHである;
・前駆体が(ヘキシル)N(SiH−CH−CH−SiHである;
・前駆体が(SiMe)N(SiH−CH−CH−SiHである;
・前駆体が(SiEt)N(SiH−CH−CH−SiHである;
・前駆体がSi(iPr)N(SiH−CH−CH−SiHである;
・前駆体がSi(nPr)N(SiH−CH−CH−SiHである;
・前駆体がSi(Bu)N(SiH−CH−CH−SiHである;
・前駆体がSi(iBu)N(SiH−CH−CH−SiHである;
・前駆体がSi(tBu)N(SiH−CH−CH−SiHである;
・前駆体がSi(アミル)N(SiH−CH−CH−SiHである;
・前駆体がSi(ヘキシル)N(SiH−CH−CH−SiHである;
・前駆体が(SiHMe)N(SiH−CH−CH−SiHである;
・前駆体が(SiHEt)N(SiH−CH−CH−SiHである;
・前駆体がSiH(iPr)N(SiH−CH−CH−SiHである;
・前駆体がSiH(nPr)N(SiH−CH−CH−SiHである;
・前駆体がSiH(Bu)N(SiH−CH−CH−SiHである;
・前駆体がSiH(iBu)N(SiH−CH−CH−SiHである;
・前駆体がSiH(tBu)N(SiH−CH−CH−SiHである;
・前駆体がSiH(アミル)N(SiH−CH−CH−SiHである;
・前駆体がSiH(ヘキシル)N(SiH−CH−CH−SiHである;
・前駆体が(SiH2Me)N(SiH−CH−CH−SiHである;
・前駆体が(SiH2Et)N(SiH−CH−CH−SiHである;
・前駆体がSiH(iPr)N(SiH−CH−CH−SiHである;
・前駆体がSiH(nPr)N(SiH−CH−CH−SiHである;
・前駆体がSiH(Bu)N(SiH−CH−CH−SiHである;
・前駆体がSiH(iBu)N(SiH−CH−CH−SiHである;
・前駆体がSiH(tBu)N(SiH−CH−CH−SiHである;
・前駆体がSiH(アミル)N(SiH−CH−CH−SiHである;
・前駆体がSiH(ヘキシル)N(SiH−CH−CH−SiHである;
・前駆体が(SiH−CH−SiH)N(SiH−CH−CH−SiHである;
・前駆体が(SiMe−CH−SiMe)N(SiH−CH−CH−SiHである;
・前駆体が(SiMe−CH−CH−SiMe)N(SiH−CH−CH−SiHである;
・前駆体が(SiEt−CH−SiEt)N(SiH−CH−CH−SiHである;
・前駆体が(SiEt−CH−CH−SiEt)N(SiH−CH−CH−SiHである;
・前駆体が(SiHNMe)N(SiH−CH−CH−SiHである;
・前駆体が(SiHNEt)N(SiH−CH−CH−SiHである;
・前駆体が(SiHNiPr)N(SiH−CH−CH−SiHである;
・前駆体が(SiHNnPr)N(SiH−CH−CH−SiHである;
・前駆体が(SiHNMeEt)N(SiH−CH−CH−SiHである;
・前駆体が(SiHピペリジン)N(SiH−CH−CH−SiHである;
・前駆体が(SiHピロリジン)N(SiH−CH−CH−SiHである;
・前駆体が(SiHピロール)N(SiH−CH−CH−SiHである;
・前駆体が(SiHイミダゾール)N(SiH−CH−CH−SiHである;
・前駆体が(SiHピリミジン)N(SiH−CH−CH−SiHである;
・前駆体が(SiH(NMe)N(SiH−CH−CH−SiHである;
・前駆体がSiH(NEt)N(SiH−CH−CH−SiHである;
・R、R、R、及びR=Hであり、且つR=H、C2u+1、又はSivH2v−1であり、式中、u=1〜6であり、且つv=1〜4である;
・R、R、R、及びR=Hであり、且つR=H、C2u+1、又はSivH2v−1であり、式中、u=1〜6であり、且つv=1〜4である;
・前駆体がRN(SiH−CH−CH−SiH(CH=CH))である;
・前駆体がRN(SiH−CH−CH−SiH(CH=CH−CH))である;
・前駆体がRN(SiH−CH−CH−SiH(NH))である;
・前駆体がRN(SiH−CH−CH−SiH(NMe))である;
・前駆体がRN(SiH−CH−CH−SiH(NMeEt))である;
・前駆体がRN(SiH−CH−CH−SiH(NEt))である;
・前駆体がRN(SiH−CH−CH−SiH(NnPr))である;
・前駆体がRN(SiH−CH−CH−SiH(NiPr))である;
・前駆体がRN(SiH−CH−CH−SiH(NBu))である;
・前駆体がRN(SiH−CH−CH−SiH(NiBu))である;
・前駆体がRN(SiH−CH−CH−SiH(NtBu))である;
・前駆体がRN(SiH−CH−CH−SiH(NAm))である;
・前駆体がRN(SiH−CH−CH−SiH(NCyペンチル))である;
・前駆体がRN(SiH−CH−CH−SiH(Nヘキシル))である;
・前駆体がRN(SiH−CH−CH−SiH(NCyHex))である;
・前駆体がRN(SiH−CH−CH−SiH(NMeH))である;
・前駆体がRN(SiH−CH−CH−SiH(NEtH))である;
・前駆体がRN(SiH−CH−CH−SiH(NnPrH))である;
・前駆体がRN(SiH−CH−CH−SiH(NiPrH))である;
・前駆体がRN(SiH−CH−CH−SiH(NBuH))である;
・前駆体がRN(SiH−CH−CH−SiH(NiBuH))である;
・前駆体がRN(SiH−CH−CH−SiH(NtBuH))である;
・前駆体がRN(SiH−CH−CH−SiH(NAmH))である;
・前駆体がRN(SiH−CH−CH−SiH(ピリジン))である;
・前駆体がRN(SiH−CH−CH−SiH(ピロール))である;
・前駆体がRN(SiH−CH−CH−SiH(ピロリジン))である;
・前駆体がRN(SiH−CH−CH−SiH(イミダゾール))である;
・前駆体がRN(SiH−CH−CH−SiH(ピペリジン))である;
・前駆体がRN(SiH−CH−CH−SiH(ピリミジン))である;
・R、R、及びR=Hであり、且つR=H、C2u+1、又はSivH2v−1であり、式中、u=1〜6であり、且つv=1〜4である;
・R、R、及びR=Hであり、且つR=H、C2u+1、又はSivH2v−1であり、式中、u=1〜6であり、且つv=1〜4である;
・前駆体がRN(SiH(CH=CH)−CH−CH−SiH(CH=CH))である;
・前駆体がRN(SiH(CH=CH−CH)−CH−CH−SiH(CH=CH−CH))である;
・前駆体がRN(SiH(NH)−CH−CH−SiH(NH))である;
・前駆体がRN(SiH(NMe)−CH−CH−SiH(NMe))である;
・前駆体がRN(SiH(NMeEt)−CH−CH−SiH(NMeEt))である;
・前駆体がRN(SiH(NEt)−CH−CH−SiH(NEt))である;
・前駆体がRN(SiH(NnPr)−CH−CH−SiH(NnPr))である;
・前駆体がRN(SiH(NiPr)−CH−CH−SiH(NiPr))である;
・前駆体がRN(SiH(NBu)−CH−CH−SiH(NBu))である;
・前駆体がRN(SiH(NiBu)−CH−CH−SiH(NiBu))である;
・前駆体がRN(SiH(NtBu)−CH−CH−SiH(NtBu))である;
・前駆体がRN(SiH(NAm)−CH−CH−SiH(NAm))である;
・前駆体がRN(SiH(NCyペンチル)−CH−CH−SiH(NCyペンチル))である;
・前駆体がRN(SiH(Nヘキシル)−CH−CH−SiH(Nヘキシル))である;
・前駆体がRN(SiH(NCyHex)−CH−CH−SiH(NCyHex))である;
・前駆体がRN(SiH(NMeH)−CH−CH−SiH(NMeH))である;
・前駆体がRN(SiH(NEtH)−CH−CH−SiH(NEtH))である;
・前駆体がRN(SiH(NnPrH)−CH−CH−SiH(NnPrH))である;
・前駆体がRN(SiH(NiPrH)−CH−CH−SiH(NiPrH))である;
・前駆体がRN(SiH(NBuH)−CH−CH−SiH(NBuH))である;
・前駆体がRN(SiH(NiBuH)−CH−CH−SiH(NiBuH))である;
・前駆体がRN(SiH(NtBuH)−CH−CH−SiH(NtBuH))である;
・前駆体がRN(SiH(NAmH)−CH−CH−SiH(NAmH))である;
・前駆体がRN(SiH(ピリジン)−CH−CH−SiH(ピリジン))である;
・前駆体がRN(SiH(ピロール)−CH−CH−SiH(ピロール))である;
・前駆体がRN(SiH(ピロリジン)−CH−CH−SiH(ピロリジン))である;
・前駆体がRN(SiH(イミダゾール)−CH−CH−SiH(イミダゾール))である;
・前駆体がRN(SiH(ピペリジン)−CH−CH−SiH(ピペリジン))である;
・前駆体がRN(SiH(ピリミジン)−CH−CH−SiH(ピリミジン))である;
・R、R、及びR=Hであり、且つR=H、C2u+1、又はSivH2v−1であり、式中、u=1〜6であり、且つv=1〜4である;
・前駆体がRN(SiH−CH−CH−SiH(CH=CH)である;
・前駆体がRN(SiH−CH−CH−SiH(CH=CH−CHである;
・前駆体がRN(SiH−CH−CH−SiH(NHである;
・前駆体がRN(SiH−CH−CH−SiH(NMeである;
・前駆体がRN(SiH−CH−CH−SiH(NMeEt)である;
・前駆体がRN(SiH−CH−CH−SiH(NEtである;
・前駆体がRN(SiH−CH−CH−SiH(NnPrである;
・前駆体がRN(SiH−CH−CH−SiH(NiPrである;
・前駆体がRN(SiH−CH−CH−SiH(NBuである;
・前駆体がRN(SiH−CH−CH−SiH(NiBuである;
・前駆体がRN(SiH−CH−CH−SiH(NtBuである;
・前駆体がRN(SiH−CH−CH−SiH(NAmである;
・前駆体がRN(SiH−CH−CH−SiH(NCyペンチルである;
・前駆体がRN(SiH−CH−CH−SiH(Nヘキシルである;
・前駆体がRN(SiH−CH−CH−SiH(NCyHexである;
・前駆体がRN(SiH−CH−CH−SiH(NMeH)である;
・前駆体がRN(SiH−CH−CH−SiH(NEtH)である;
・前駆体がRN(SiH−CH−CH−SiH(NnPrH)である;
・前駆体がRN(SiH−CH−CH−SiH(NiPrH)である;
・前駆体がRN(SiH−CH−CH−SiH(NBuH)である;
・前駆体がRN(SiH−CH−CH−SiH(NiBuH)である;
・前駆体がRN(SiH−CH−CH−SiH(NtBuH)である;
・前駆体がRN(SiH−CH−CH−SiH(NAmH)である;
・前駆体がRN(SiH−CH−CH−SiH(ピリジン)である;
・前駆体がRN(SiH−CH−CH−SiH(ピロール)である;
・前駆体がRN(SiH−CH−CH−SiH(ピロリジン)である;
・前駆体がRN(SiH−CH−CH−SiH(イミダゾール)である;
・前駆体がRN(SiH−CH−CH−SiH(ピペリジン)である;
・前駆体がRN(SiH−CH−CH−SiH(ピリミジン)である;
・R及びR=Hであり、且つR=H、C2u+1、又はSivH2v−1であり、式中、u=1〜6であり、且つv=1〜4である;
・前駆体がRN(SiH−CH−CH−Si(CH=CH)である;
・前駆体がRN(SiH−CH−CH−Si(CH=CH−CHである;
・前駆体がRN(SiH−CH−CH−Si(NHである;
・前駆体がRN(SiH−CH−CH−Si(NMeである;
・前駆体がRN(SiH−CH−CH−Si(NMeEt)である;
・前駆体がRN(SiH−CH−CH−Si(NEtである;
・前駆体がRN(SiH−CH−CH−Si(NnPrである;
・前駆体がRN(SiH−CH−CH−Si(NiPrである;
・前駆体がRN(SiH−CH−CH−Si(NBuである;
・前駆体がRN(SiH−CH−CH−Si(NiBuである;
・前駆体がRN(SiH−CH−CH−Si(NtBuである;
・前駆体がRN(SiH−CH−CH−Si(NAmである;
・前駆体がRN(SiH−CH−CH−Si(NCyペンチルである;
・前駆体がRN(SiH−CH−CH−Si(Nヘキシルである;
・前駆体がRN(SiH−CH−CH−Si(NCyHexである;
・前駆体がRN(SiH−CH−CH−Si(NMeH)である;
・前駆体がRN(SiH−CH−CH−Si(NEtH)である;
・前駆体がRN(SiH−CH−CH−Si(NnPrH)である;
・前駆体がRN(SiH−CH−CH−Si(NiPrH)である;
・前駆体がRN(SiH−CH−CH−Si(NBuH)である;
・前駆体がRN(SiH−CH−CH−Si(NiBuH)である;
・前駆体がRN(SiH−CH−CH−Si(NtBuH)である;
・前駆体がRN(SiH−CH−CH−Si(NAmH)である;
・前駆体がRN(SiH−CH−CH−Si(ピリジン)である;
・前駆体がRN(SiH−CH−CH−Si(ピロール)である;
・前駆体がRN(SiH−CH−CH−Si(ピロリジン)である;
・前駆体がRN(SiH−CH−CH−Si(イミダゾール)である;
・前駆体がRN(SiH−CH−CH−Si(ピペリジン)である;
・前駆体がRN(SiH−CH−CH−Si(ピリミジン)である;
・R、R、R、及びRの少なくとも1つがHである;
・R、R、R、及びRが全てHである;
・R、R、R、及びRの少なくとも1つがビニル基である;
・RがHである;
・t=1である;
・前駆体が[−NR−RSi−CH−SiR−]である;
・R、R、R、R、又はRの少なくとも1つがビニルである;
・R、R、R、R、又はRの少なくとも1つがアリルである;
・R、R、R、R、又はRの少なくとも1つがフェニルである;
・前駆体が[−NR−RSi−(CH)−SiR−]単位と、[−NR−RSi−(CH)−SiR]エンドキャップとを含む;
・前駆体が[−NR−RSi−(CH)−SiR−]単位と、[−NR−RSi−(CH)−SiR−NR]エンドキャップとを含む;
・R、R、R、R、及びR=Hである;
・前駆体が[−NH−SiH−CH−SiH−]である;
・R=Si2x+1(x=1〜4)であり、且つR、R、R、及びR=Hである;
・前駆体が[−N(SiH)−SiH−CH−SiH−]である;
・前駆体が[−N(Si)−SiH−CH−SiH−]である;
・前駆体が[−N(Si)−SiH−CH−SiH−]である;
・前駆体が[−N(Si)−SiH−CH−SiH−]である;
・R=C2y+1(y=1〜6)であり、且つR、R、R、及びR=Hである;
・前駆体が[−N(CH)−SiH−CH−SiH−]である;
・前駆体が[−N(C)−SiH−CH−SiH−]である;
・前駆体が[−N(C)−SiH−CH−SiH−]である;
・前駆体が[−N(C)−SiH−CH−SiH−]である;
・前駆体が[−N(C11)−SiH−CH−SiH−]である;
・前駆体が[−N(C13)−SiH−CH−SiH−]である;
・R、R、R、及びR=Hであり、且つR=R1’2’3’Si(CHSiR4’5’であり、式中、b=1〜2であり、且つR’、R2’、R3’、R4’、及びR5’=H又はC−C炭化水素である;
・前駆体が[−N(SiH−CH−SiH)−SiH−CH−SiH−]である;
・前駆体が[−N(SiH−CH−CH−SiH)−SiH−CH−SiH−]である;
・前駆体が[−N(SiMe−CH−SiMe)−SiH−CH−SiH−]である;
・前駆体が[−N(SiMe−CH−CH−SiMe)−SiH−CH−SiH−]である;
・前駆体が[−N(SiEt−CH−SiEt)−SiH−CH−SiH−]である;
・前駆体が[−N(SiEt−CH−CH−SiEt)−SiH−CH−SiH−]である;
・R、R、R、及びR=Hである;
・前駆体が[−N(SiMe)−HSi−CH−SiH−]である;
・前駆体が[−N(SiEt)−HSi−CH−SiH−]である;
・前駆体が[−N(Si(iPr)−SiH−CH−SiH−]である;
・前駆体が[−N(Si(nPr)−SiH−CH−SiH−]である;
・前駆体が[−N(Si(Bu)−SiH−CH−SiH−]である;
・前駆体が[−N(Si(iBu)−SiH−CH−SiH−]である;
・前駆体が[−N(Si(tBu)−SiH−CH−SiH−]である;
・前駆体が[−N(Si(アミル)−SiH−CH−SiH−]である;
・前駆体が[−N(Si(ヘキシル)−SiH−CH−SiH−]である;
・前駆体が[−Nx(SiH(Me)−SiH−CH−SiH−]である;
・前駆体が[−N(SiH(Et)−SiH−CH−SiH−]である;
・前駆体が[−N(SiH(iPr)−SiH−CH−SiH−]である;
・前駆体が[−N(SiH(nPr)−SiH−CH−SiH−]である;
・前駆体が[−N(SiH(Bu)−SiH−CH−SiH−]である;
・前駆体が[−N(SiH(iBu)−SiH−CH−SiH−]である;
・前駆体が[−N(SiH(tBu)−SiH−CH−SiH−]である;
・前駆体が[−N(SiH(アミル)−SiH−CH−SiH−]である;
・前駆体が[−N(SiH(ヘキシル)−SiH−CH−SiH−]である;
・前駆体が[−N(SiH(Me)−SiH−CH−SiH−]である;
・前駆体が[−N(SiH(Et)−SiH−CH−SiH−]である;
・前駆体が[−N(SiH(iPr)−SiH−CH−SiH−]である;
・前駆体が[−N(SiH(nPr)−SiH−CH−SiH−]である;
・前駆体が[−N(SiH(Bu)−SiH−CH−SiH−]である;
・前駆体が[−N(SiH(iBu)−SiH−CH−SiH−]である;
・前駆体が[−N(SiH(tBu)−SiH−CH−SiH−]である;
・前駆体が[−N(SiH(アミル)−SiH−CH−SiH−]である;
・前駆体が[−N(SiH(ヘキシル)−SiH−CH−SiH−]である;
・前駆体が[−N(SiHNMe)−HSi−CH−SiH−]である;
・前駆体が[−N(SiHNEt)−HSi−CH−SiH−]である;
・前駆体が[−N(SiHNiPr)−SiH−CH−SiH−]である;
・前駆体が[−N(SiHNnPr)−SiH−CH−SiH−]である;
・前駆体が[−N(SiHNMeEt)−HSi−CH−SiH−]である;
・前駆体が[−N(SiH(NMe)−HSi−CH−SiH−]である;
・前駆体が[−N(SiH(NEt)−HSi−CH−SiH−]である;
・R、R、R、及びR=Hである;
・前駆体が[−NH−HSi−CH−SiH(CH=CH)−]である;
・前駆体が[−NH−HSi−CH−SiH(CH−CH=CH)−]である;
・前駆体が[−NH−HSi−CH−SiH(NH)−]である;
・前駆体が[−NH−HSi−CH−SiH(NMe)−]である;
・前駆体が[−NH−HSi−CH−SiH(NMeEt)−]である;
・前駆体が[−NH−HSi−CH−SiH(NEt)−]である;
・前駆体が[−NH−HSi−CH−SiH(NnPr)−]である;
・前駆体が[−NH−HSi−CH−SiH(NiPr)−]である;
・前駆体が[−NH−HSi−CH−SiH(NBu)−]である;
・前駆体が[−NH−HSi−CH−SiH(NiBu)−]である;
・前駆体が[−NH−HSi−CH−SiH(NtBu)−]である;
・前駆体が[−NH−HSi−CH−SiH(NAm)−]である;
・前駆体が[−NH−HSi−CH−SiH(NCyペンチル)−]である;
・前駆体が[−NH−HSi−CH−SiH(Nヘキシル)−]である;
・前駆体が[−NH−HSi−CH−SiH(NCyHex)−]である;
・前駆体が[−NH−HSi−CH−SiH(NMeH)−]である;
・前駆体が[−NH−HSi−CH−SiH(NEtH)−]である;
・前駆体が[−NH−HSi−CH−SiH(NnPrH)−]である;
・前駆体が[−NH−HSi−CH−SiH(NiPrH)−]である;
・前駆体が[−NH−HSi−CH−SiH(NBuH)−]である;
・前駆体が[−NH−HSi−CH−SiH(NiBuH)−]である;
・前駆体が[−NH−HSi−CH−SiH(NtBuH)−]である;
・前駆体が[−NH−HSi−CH−SiH(NAmH)−]である;
・前駆体が[−NH−HSi−CH−SiH(ピリジン)−]である;
・前駆体が[−NH−HSi−CH−SiH(ピロール)−]である;
・前駆体が[−NH−HSi−CH−SiH(ピロリジン)−]である;
・前駆体が[−NH−HSi−CH−SiH(イミダゾール)−]である;
・前駆体が[−NH−HSi−CH−SiH(ピペリジン)−]である;
・前駆体が[−NH−HSi−CH−SiH(ピリミジン)−]である;
・R、R、及びR=Hである;
・前駆体が[−NH−HSi−CH−Si(CH=CH−]である;
・前駆体が[−NH−HSi−CH−Si(CH−CH=CH−]である;
・前駆体が[−NH−HSi−CH−Si(NH−]である;
・前駆体が[−NH−HSi−CH−Si(NMe−]である;
・前駆体が[−NH−HSi−CH−Si(NMeEt)−]である;
・前駆体が[−NH−HSi−CH−Si(NEt−]である;
・前駆体が[−NH−HSi−CH−Si(NnPr−]である;
・前駆体が[−NH−HSi−CH−Si(NiPr−]である;
・前駆体が[−NH−HSi−CH−Si(NBu−]である;
・前駆体が[−NH−HSi−CH−Si(NiBu−]である;
・前駆体が[−NH−HSi−CH−Si(NtBu−]である;
・前駆体が[−NH−HSi−CH−Si(NAm−]である;
・前駆体が[−NH−HSi−CH−Si(NCyペンチル−]である;
・前駆体が[−NH−HSi−CH−Si(Si(Nヘキシル−]である;
・前駆体が[−NH−HSi−CH−Si(NCyHex−]である;
・前駆体が[−NH−HSi−CH−Si(NMeH)−]である;
・前駆体が[−NH−HSi−CH−Si(NEtH)−]である;
・前駆体が[−NH−HSi−CH−Si(NnPrH)−]である;
・前駆体が[−NH−HSi−CH−Si(NiPrH)−]である;
・前駆体が[−NH−HSi−CH−Si(NBuH)−]である;
・前駆体が[−NH−HSi−CH−Si(NiBuH)−]である;
・前駆体が[−NH−HSi−CH−Si(NtBuH)−]である;
・前駆体が[−NH−HSi−CH−Si(NAmH)−]である;
・前駆体が[−NH−HSi−CH−Si(ピリジン)−]である;
・前駆体が[−NH−HSi−CH−Si(ピロール)−]である;
・前駆体が[−NH−HSi−CH−Si(ピロリジン)−]である;
・前駆体が[−NH−HSi−CH−Si(イミダゾール)−]である;
・前駆体が[−NH−HSi−CH−Si(ピペリジン)−]である;
・前駆体が[−NH−HSi−CH−Si(ピリミジン)−]である;
・R、R、及びR=Hである;
・前駆体が[−NH−SiH(CH=CH)−CH−SiH(CH=CH)−]である;
・前駆体が[−NH−SiH(CH−CH=CH)−CH−SiH(CH−CH=CH)−]である;
・前駆体が[−NH−SiH(NH)−CH−SiH(NH)−]である;
・前駆体が[−NH−SiH(NMe)−CH−SiH(NMe)−]である;
・前駆体が[−NH−SiH(NMeEt)−CH−SiH(NMeEt)−]である;
・前駆体が[−NH−SiH(NEt)−CH−SiH(NEt)−]である;
・前駆体が[−NH−SiH(NnPr)−CH−SiH(NnPr)−]である;
・前駆体が[−NH−SiH(NiPr)−CH−SiH(NiPr)−]である;
・前駆体が[−NH−SiH(NBu)−CH−SiH(NBu)−]である;
・前駆体が[−NH−SiH(NiBu)−CH−SiH(NiBu)−]である;
・前駆体が[−NH−SiH(NtBu)−CH−SiH(NtBu)−]である;
・前駆体が[−NH−SiH(NAm)−CH−SiH(NAm)−]である;
・前駆体が[−NH−SiH(NCyペンチル)−CH−SiH(NCyペンチル)−]である;
・前駆体が[−NH−SiH(Nヘキシル)−CH−SiH(Nヘキシル)−]である;
・前駆体が[−NH−SiH(NCyHex)−CH−SiH(NCyHex)−]である;
・前駆体が[−NH−SiH(NMeH)−CH−SiH(NMeH)−]である;
・前駆体が[−NH−SiH(NEtH)−CH−SiH(NEtH)−]である;
・前駆体が[−NH−SiH(NnPrH)−CH−SiH(NnPrH)−]である;
・前駆体が[−NH−SiH(NiPrH)−CH−SiH(NiPrH)−]である;
・前駆体が[−NH−SiH(NBuH)−CH−SiH(NBuH)−]である;
・前駆体が[−NH−SiH(NiBuH)−CH−SiH(NiBuH)−]である;
・前駆体が[−NH−SiH(NtBuH)−CH−SiH(NtBuH)−]である;
・前駆体が[−NH−SiH(NAmH)−CH−SiH(NAmH)−]である;
・前駆体が[−NH−SiH(ピリジン)−CH−SiH(ピリジン)−]である;
・前駆体が[−NH−SiH(ピロール)−CH−SiH(ピロール)−]である;
・前駆体が[−NH−SiH(ピロリジン)−CH−SiH(ピロリジン)−]である;
・前駆体が[−NH−SiH(イミダゾール)−CH−SiH(イミダゾール)−]である;
・前駆体が[−NH−SiH(ピペリジン)−CH−SiH(ピペリジン)−]である;
・前駆体が[−NH−SiH(ピリミジン)−CH−SiH(ピリミジン)−]である;
・t=2である;
・前駆体が[−NR−RSi−(CH−SiR−]である;
・前駆体が[−NR−RSi−(CH−SiR−]単位と、[−NR−RSi−(CH−SiR−]エンドキャップとを含む;
・前駆体が[−NR−RSi−(CH−SiR−]単位と、[−NR−RSi−(CH−SiR−NR]エンドキャップとを含む;
・R、R、R、R、及びR=Hである;
・前駆体が[−NH−SiH−CH−CH−SiH−]である;
・R=Si2x+1(x=1〜4)であり、且つR、R、R、及びR=Hである;
・前駆体が[−N(SiH)−SiH−CH−CH−SiH−]である;
・前駆体が[−N(Si)−SiH−CH−CH−SiH−]である;
・前駆体が[−N(Si)−SiH−CH−CH−SiH−]である;
・前駆体が[−N(Si)−SiH−CH−CH−SiH−]である;
・R=C2y+1(y=1〜6)であり、且つR、R、R、及びR=Hである;
・前駆体が[−N(CH)−SiH−CH−CH−SiH−]である;
・前駆体が[−N(C)−SiH−CH−CH−SiH−]である;
・前駆体が[−N(C)−SiH−CH−CH−SiH−]である;
・前駆体が[−N(C)−SiH−CH−CH−SiH−]である;
・前駆体が[−N(C11)−SiH−CH−CH−SiH−]である;
・前駆体が[−N(C13)−SiH−CH−CH−SiH−]である;
・R、R、R、及びR=Hである;
・前駆体が[−N(SiMe)−HSi−CH−CH−SiH−]である;
・前駆体が[−N(SiEt)−HSi−CH−CH−SiH−]である;
・前駆体が[−N(Si(iPr)−SiH−CH−CH−SiH−]である;
・前駆体が[−N(Si(nPr)−SiH−CH−CH−SiH−]である;
・前駆体が[−N(Si(Bu)−SiH−CH−CH−SiH−]である;
・前駆体が[−N(Si(iBu)−SiH−CH−CH−SiH−]である;
・前駆体が[−N(Si(tBu)−SiH−CH−CH−SiH−]である;
・前駆体が[−N(Si(アミル)−SiH−CH−CH−SiH−]である;
・前駆体が[−N(Si(ヘキシル)−SiH−CH−CH−SiH−]である;
・前駆体が[−Nx(SiH(Me)−SiH−CH−CH−SiH−]である;
・前駆体が[−N(SiH(Et)−SiH−CH−CH−SiH−]である;
・前駆体が[−N(SiH(iPr)−SiH−CH−CH−SiH−]である;
・前駆体が[−N(SiH(nPr)−SiH−CH−CH−SiH−]である;
・前駆体が[−N(SiH(Bu)−SiH−CH−CH−SiH−]である;
・前駆体が[−N(SiH(iBu)−SiH−CH−CH−SiH−]である;
・前駆体が[−N(SiH(tBu)−SiH−CH−CH−SiH−]である;
・前駆体が[−N(SiH(アミル)−SiH−CH−CH−SiH−]である;
・前駆体が[−N(SiH(ヘキシル)−SiH−CH−CH−SiH−]である;
・前駆体が[−N(SiH(Me)−SiH−CH−CH−SiH−]である;
・前駆体が[−N(SiH(Et)−SiH−CH−CH−SiH−]である;
・前駆体が[−N(SiH(iPr)−SiH−CH−CH−SiH−]である;
・前駆体が[−N(SiH(nPr)−SiH−CH−CH−SiH−]である;
・前駆体が[−N(SiH(Bu)−SiH−CH−CH−SiH−]である;
・前駆体が[−N(SiH(iBu)−SiH−CHCH−SiH−]である;
・前駆体が[−N(SiH(tBu)−SiH−CH−CH−SiH−]である;
・前駆体が[−N(SiH(アミル)−SiH−CH−CH−SiH−]である;
・前駆体が[−N(SiH(ヘキシル)−SiH−CH−CH−SiH−]である;
・前駆体が[−N(SiHNMe)−SiH−CH−CH−SiH−]である;
・前駆体が[−N(SiHNEt)−SiH−CH−CH−SiH−]である;
・前駆体が[−N(SiHNiPr)−SiH−CH−CH−SiH−]である;
・前駆体が[−N(SiHNnPr)−SiH−CH−CH−SiH−]である;
・前駆体が[−N(SiHNMeEt)−SiH−CH−CH−SiH−]である;
・前駆体が[−N(SiH(NMe)−SiH−CH−CH−SiH−]である;
・前駆体が[−N(SiH(NEt)−SiH−CH−CH−SiH−]である;
・R、R、R、及びR=Hであり、且つR=R1’2’3’Si(CHSiR4’5’であり、式中、b=1〜2であり、且つR’、R2’、R3’、R4’、及びR5’=H又はC−C炭化水素である;
・前駆体が[−N(SiH−CH−SiH)−SiH−CH−CH−SiH−]である;
・前駆体が[−N(SiH−CH−CH−SiH)−SiH−CH−CH−SiH−]である;
・前駆体が[−N(SiMe−CH−SiMe)−SiH−CH−CH−SiH−]である;
・前駆体が[−N(SiMe−CH−CH−SiMe)−SiH−CH−CH−SiH−]である;
・前駆体が[−N(SiEt−CH−SiEt)−SiH−CH−CH−SiH−]である;
・前駆体が[−N(SiEt−CH−CH−SiEt)−SiH−CH−CH−SiH−]である;
・R、R、R、及びR=Hである;
・前駆体が[−NH−HSi−CH−CH−SiH(CH=CH)−]である;
・前駆体が[−NH−HSi−CH−CH−SiH(CH−CH=CH)−]である;
・前駆体が[−NH−HSi−CH−CH−SiH(NH)−]である;
・前駆体が[−NH−HSi−CH−CH−SiH(NMe)−]である;
・前駆体が[−NH−HSi−CH−CH−SiH(NMeEt)−]である;
・前駆体が[−NH−HSi−CH−CH−SiH(NEt)−]である;
・前駆体が[−NH−HSi−CH−CH−SiH(NnPr)−]である;
・前駆体が[−NH−HSi−CH−CH−SiH(NiPr)−]である;
・前駆体が[−NH−HSi−CH−CH−SiH(NBu)−]である;
・前駆体が[−NH−HSi−CH−CH−SiH(NiBu)−]である;
・前駆体が[−NH−HSi−CH−CH−SiH(NtBu)−]である;
・前駆体が[−NH−HSi−CH−CH−SiH(NAm)−]である;
・前駆体が[−NH−HSi−CH−CH−SiH(NCyペンチル)−]である;
・前駆体が[−NH−HSi−CH−CH−SiH(Nヘキシル)−]である;
・前駆体が[−NH−HSi−CH−CH−SiH(NCyHex)−]である;
・前駆体が[−NH−HSi−CH−CH−SiH(NMeH)−]である;
・前駆体が[−NH−HSi−CH−CH−SiH(NEtH)−]である;
・前駆体が[−NH−HSi−CH−CH−SiH(NnPrH)−]である;
・前駆体が[−NH−HSi−CH−CH−SiH(NiPrH)−]である;
・前駆体が[−NH−HSi−CH−CH−SiH(NBuH)−]である;
・前駆体が[−NH−HSi−CH−CH−SiH(NiBuH)−]である;
・前駆体が[−NH−HSi−CH−CH−SiH(NtBuH)−]である;
・前駆体が[−NH−HSi−CH−CH−SiH(NAmH)−]である;
・前駆体が[−NH−HSi−CH−CH−SiH(ピリジン)−]である;
・前駆体が[−NH−HSi−CH−CH−SiH(ピロール)−]である;
・前駆体が[−NH−HSi−CH−CH−SiH(ピロリジン)−]である;
・前駆体が[−NH−HSi−CH−CH−SiH(イミダゾール)−]である;
・前駆体が[−NH−HSi−CH−CH−SiH(ピペリジン)−]である;
・前駆体が[−NH−HSi−CH−CH−SiH(ピリミジン)−]である;
・R、R、及びR=Hである;
・前駆体が[−NH−HSi−CH−CH−Si(CH=CH−]である;
・前駆体が[−NH−HSi−CH−CH−Si(CH−CH=CH−]である;
・前駆体が[−NH−HSi−CH−CH−Si(NH−]である;
・前駆体が[−NH−HSi−CH−CH−Si(NMe−]である;
・前駆体が[−NH−HSi−CH−CH−Si(NMeEt)−]である;
・前駆体が[−NH−HSi−CH−CH−Si(NEt−]である;
・前駆体が[−NH−HSi−CH−CH−Si(NnPr−]である;
・前駆体が[−NH−HSi−CH−CH−Si(NiPr−]である;
・前駆体が[−NH−HSi−CH−CH−Si(NBu−]である;
・前駆体が[−NH−HSi−CH−CH−Si(NiBu−]である;
・前駆体が[−NH−HSi−CH−CH−Si(NtBu−]である;
・前駆体が[−NH−HSi−CH−CH−Si(NAm−]である;
・前駆体が[−NH−HSi−CH−CH−Si(NCyペンチル−]である;
・前駆体が[−NH−HSi−CH−CH−Si(Si(Nヘキシル−]である;
・前駆体が[−NH−HSi−CH−CH−Si(NCyHex−]である;
・前駆体が[−NH−HSi−CH−CH−Si(NMeH)−]である;
・前駆体が[−NH−HSi−CH−CH−Si(NEtH)−]である;
・前駆体が[−NH−HSi−CH−CH−Si(NnPrH)−]である;
・前駆体が[−NH−HSi−CH−CH−Si(NiPrH)−]である;
・前駆体が[−NH−HSi−CH−CH−Si(NBuH)−]である;
・前駆体が[−NH−HSi−CH−CH−Si(NiBuH)−]である;
・前駆体が[−NH−HSi−CH−CH−Si(NtBuH)−]である;
・前駆体が[−NH−HSi−CH−CH−Si(NAmH)−]である;
・前駆体が[−NH−HSi−CH−CH−Si(ピリジン)−]である;
・前駆体が[−NH−HSi−CH−CH−Si(ピロール)−]である;
・前駆体が[−NH−HSi−CH−CH−Si(ピロリジン)−]である;
・前駆体が[−NH−HSi−CH−CH−Si(イミダゾール)−]である;
・前駆体が[−NH−HSi−CH−CH−Si(ピペリジン)−]である;
・前駆体が[−NH−HSi−CH−CH−Si(ピリミジン)−]である;
・R、R、及びR=Hである;
・前駆体が[−NH−SiH(CH=CH)−CH−CH−SiH(CH=CH)−]である;
・前駆体が[−NH−SiH(CH−CH=CH)−CH−CH−SiH(CH−CH=CH)−]である;
・前駆体が[−NH−SiH(NH)−CH−CH−SiH(NH)−]である;
・前駆体が[−NH−SiH(NMe)−CH−CH−SiH(NMe)−]である;
・前駆体が[−NH−SiH(NMeEt)−CH−CH−SiH(NMeEt)−]である;
・前駆体が[−NH−SiH(NEt)−CH−CH−SiH(NEt)−]である;
・前駆体が[−NH−SiH(NnPr)−CH−CH−SiH(NnPr)−]である;
・前駆体が[−NH−SiH(NiPr)−CH−CH−SiH(NiPr)−]である;
・前駆体が[−NH−SiH(NBu)−CH−CH−SiH(NBu)−]である;
・前駆体が[−NH−SiH(NiBu)−CH−CH−SiH(NiBu)−]である;
・前駆体が[−NH−SiH(NtBu)−CH−CH−SiH(NtBu)−]である;
・前駆体が[−NH−SiH(NAm)−CH−CH−SiH(NAm)−]である;
・前駆体が[−NH−SiH(NCyペンチル)−CH−CH−SiH(NCyペンチル)−]である;
・前駆体が[−NH−SiH(Nヘキシル)−CH−CH−SiH(Nヘキシル)−]である;
・前駆体が[−NH−SiH(NCyHex)−CH−CH−SiH(NCyHex)−]である;
・前駆体が[−NH−SiH(NMeH)−CH−CH−SiH(NMeH)−]である;
・前駆体が[−NH−SiH(NEtH)−CH−CH−SiH(NEtH)−]である;
・前駆体が[−NH−SiH(NnPrH)−CH−CH−SiH(NnPrH)−]である;
・前駆体が[−NH−SiH(NiPrH)−CH−CH−SiH(NiPrH)−]である;
・前駆体が[−NH−SiH(NBuH)−CH−CH−SiH(NBuH)−]である;
・前駆体が[−NH−SiH(NiBuH)−CH−CH−SiH(NiBuH)−]である;
・前駆体が[−NH−SiH(NtBuH)−CH−CH−SiH(NtBuH)−]である;
・前駆体が[−NH−SiH(NAmH)−CH−CH−SiH(NAmH)−]である;
・前駆体が[−NH−SiH(ピリジン)−CH−CH−SiH(ピリジン)−]である;
・前駆体が[−NH−SiH(ピロール)−CH−CH−SiH(ピロール)−]である;
・前駆体が[−NH−SiH(ピロリジン)−CH−CH−SiH(ピロリジン)−]である;
・前駆体が[−NH−SiH(イミダゾール)−CH−CH−SiH(イミダゾール)−]である;
・前駆体が[−NH−SiH(ピペリジン)−CH−CH−SiH(ピペリジン)−]である;
・前駆体が[−NH−SiH(ピリミジン)−CH−CH−SiH(ピリミジン)−]である;
・Si含有膜形成用組成物が約0.1モル%〜約50モル%の前駆体を含む;
・Si含有膜形成用組成物が約93重量%〜約100重量%の前駆体を含む;
・Si含有膜形成用組成物が約99重量%〜約100重量%の前駆体を含む;
・Si含有膜形成用組成物が約0ppmw〜200ppmwのClを含む;
・Si含有膜形成用組成物が約0ppmw〜50ppmwのClを含む;
・更に溶媒を含む;
・溶媒が、C−C16炭化水素、THF、DMO、エーテル、ピリジン、ケトン、エステル、及びこれらの組み合わせからなる群から選択される;
・溶媒がC−C16飽和又は不飽和炭化水素である;
・溶媒がテトラヒドロフラン(THF)である;
・溶媒がジメチルオキサレート(DMO)である;
・溶媒がエーテルである;
・溶媒がピリジンである;
・溶媒がメチルイソブチルケトンである;
・溶媒がシクロヘキサノンである;
・溶媒がエタノールである;
・溶媒がイソプロパノールである;
・触媒又はラジカル発生剤を更に含む;
・ラジカル発生剤がフェノン、キニーネ、又はメタロセンなどの光開始剤である;
・過酸化物又は−N=N−単位を含むアゾ化合物などの熱ラジカル開始剤を更に含む;又は
・Si含有膜形成用組成物が、約0ppmw〜100ppbの、アルカリ金属、アルカリ土類金属、Al、及び遷移金属から選択される各金属(国際純正・応用化学連合(IUPAC)による規定の通り)を含む。
基板上にSi含有層を堆積させる方法も開示される。上で開示したSi含有膜形成用組成物のいずれか(ただし、好ましくは式(I)のもの)の蒸気は、その中に基板が配置されている反応器内に導入される。前駆体の少なくとも一部は、蒸着法を使用することで基板の上に堆積してSi含有層を形成する。本開示の方法は、次の態様の1つ以上を含み得る:
・第2の前駆体を含む蒸気を反応器内へ導入する;
・第2の前駆体が、2族、13族、14族、遷移金属、ランタニド、及びこれらの組み合わせからなる群から選択される元素を含む;
・第2の前駆体の元素がB、Zr、Hf、Ti、Nb、V、Ta、Al、Si、Geから選択される;
・反応器への共反応物の導入;
・共反応物が、O、O、HO、H、NO、NO、カルボン酸、アルコール、エタノールアミン、これらのラジカル、及びこれらの組み合わせからなる群から選択される;
・共反応物が、プラズマ処理された酸素である;
・共反応物がオゾンである;
・Si含有層がケイ素酸化物含有層である;
・共反応物が、H、NH、(SiHN、ヒドリドシラン(SiH、Si、Si、Si10、Si10、Si12等)、クロロシラン及びクロロポリシラン(SiHCl、SiHCl、SiHCl、SiCl、SiHCl、SiCl等)、アルキルシラン(MeSiH、EtSiH、MeSiH、EtSiH等)、ヒドラジン(N、MeHNNH、MeHNNHMe等)、有機アミン(NMeH、NEtH、NMeH、NEtH、NMe、NEt、(SiMeNH等)、ジアミン(エチレンジアミン、ジメチレンジアミン、テトラメチルエチレンジアミン等)、ピラゾリン、ピリジン、ホウ素含有分子(B、トリメチルボラン、トリエチルボラン、ボラジン、置換ボラジン、ジアルキルアミノボラン等)、アルキル金属(トリメチルアルミニウム、トリエチルアルミニウム、ジメチル亜鉛、ジエチル亜鉛等)、これらのラジカル種、並びにこれらの混合物からなる群から選択される;
・共反応物が、H、NH、SiH、Si、Si、SiHMe、SiHEt、N(SiH、これらの水素ラジカル、及びこれらの混合物からなる群から選択される;
・共反応物がHCDS又はPCDSである;
・共反応物が、飽和又は不飽和の直鎖、分岐、又は環状の炭化水素である;
・共反応物がエチレンである:
・共反応物がアセチレンである:
・共反応物がプロピレンである:
・共反応物がイソプレンである:
・共反応物がシクロヘキサンである:
・共反応物がシクロヘキセンである:
・共反応物がシクロヘキサジエンである:
・共反応物がペンテンである:
・共反応物がペンチンである:
・共反応物がシクロペンタンである:
・共反応物がブタジエンである:
・共反応物がシクロブタンである:
・共反応物がテルピネンである:
・共反応物がオクタンである:
・共反応物がオクテンである:
・蒸着法が化学蒸着法である;
・蒸着法が原子層堆積(ALD)法である;
・蒸着法が空間的ALD法である;
・蒸着法が流動性化学蒸着法(F−CVD)である;
・ケイ素含有層がSiOである;
・ケイ素含有層がSiCである;
・ケイ素含有層がSiNである;
・ケイ素含有層がSiONである;
・ケイ素含有層がSiOCである;
・ケイ素含有層がSiONCである;
・ケイ素含有層がSiBNである;
・ケイ素含有層がSiBCNである;
・ケイ素含有層がSiCNである;
・ケイ素含有層がSiMCOであり、式中、MがZr、Hf、Ti、Nb、V、Ta、Al、Geから選択される;又は
・Si含有層をアニールすることを更に含む。
開示した前駆体を使用する、基板上にSi含有膜を形成する方法も開示される。上で開示したSi含有膜形成用組成物のいずれか(ただし、好ましくは式(II)のもの)が、スピンコーティング法、スプレーコーティング法、ディップコーティング法、又はスリットコーティング法で基板及び形成されたSi含有膜と接触されてSi含有膜を形成する。本開示の方法は、次の態様を含み得る:
・Si含有膜形成用組成物が、C−C16の分岐、直鎖、飽和、又は不飽和の炭化水素;THF;DMO;エーテル;ピリジン;ケトン;エステル;及びこれらの組み合わせからなる群から選択される溶媒を更に含む;
・溶媒がC−C16の飽和又は不飽和の炭化水素である;
・溶媒がエーテルである;
・溶媒がメチルイソブチルケトンである;
・溶媒がシクロヘキサノンである;
・Si含有膜形成用組成物が触媒又はラジカル発生剤を更に含む;
・ラジカル発生剤が光開始剤である;
・ラジカル発生剤がフェノンである;
・ラジカル発生剤がキニーネである;
・ラジカル発生剤がメタロセンである;
・ラジカル発生剤が熱ラジカル開始剤である;
・ラジカル発生剤が過酸化物である;
・ラジカル発生剤が−N=N−単位を含むアゾ化合物である;
・触媒がルイス酸である;
・触媒が光−酸生成剤である;
・触媒がヒドロシリル化触媒である;
・ルイス酸がトリス(ペンタフルオロフェニル)ボランB(Cである;
・ルイス酸が誘導体である;
・ルイス酸が、B(Cとメタロセン化合物との錯化によって形成される非配位性アニオンである;
・スピンコーティング法によるSi含有膜の形成;
・スプレーコーティング法によるSi含有膜の形成;
・ディップコーティング法によるSi含有膜の形成;
・スリットコーティング法によるSi含有膜の形成;
・Si含有膜のアニーリング;
・Si含有膜の紫外線硬化;
・オリゴマーの架橋を増強するための反応性雰囲気下でのSi含有膜の紫外線硬化;又は
・Si含有膜のレーザー処理。
表記及び命名法
以降の詳細な記述及び請求項では、多数の略語、記号、及び用語が使用され、これらは当該技術分野で一般に周知である。定義は、便宜上、典型的には各頭字語の最初の例と共に与えられているが、表1は、使用される略語、記号、及び用語の一覧表をそれぞれの定義と共に示す。
Figure 0006868640
本明細書では、元素の周期律表からの元素の標準的な略語が使用される。元素は、これらの略語によって言及され得る(例えば、Siはケイ素を意味し、Nは窒素を意味し、Oは酸素を意味し、Cは炭素を意味する等)ことを理解すべきである。
本明細書において、用語「独立して」は、R基を記載することに関連して使用される場合、対象のR基が、同じ又は異なる下付き又は上付きを有する他のR基とは独立して選択されるだけでなく、同じR基の任意の追加的な種からも独立して選択されることを意味すると理解すべきである。例えば、式MRx(NR(4−x)(xは2又は3)において、2つ又は3つのR基は、互いに又はR若しくはRと同じであり得るが、同じである必要はない。更に、別段の記載がない限り、R基の値は、異なる式中で使用される場合、互いに独立であることが理解されるべきである。
本明細書において、用語「炭化水素」は、炭素原子及び水素原子のみを含む飽和又は不飽和の官能基を指す。本明細書において、用語「アルキル基」は、炭素原子及び水素原子のみを含む飽和の官能基を指す。アルキル基は炭化水素の1種である。更に、用語「アルキル基」は、直鎖、分岐、又は環状のアルキル基を指す。直鎖アルキル基の例としては、限定するものではないが、メチル基、エチル基、プロピル基、ブチル基等が挙げられる。分岐アルキル基の例としては、限定するものではないが、t−ブチルが挙げられる。環状アルキル基の例としては、限定するものではないが、シクロプロピル基、シクロペンチル基、シクロヘキシル基等が挙げられる。
本明細書において、用語「アリール」は、環から1つの水素原子が取り除かれた芳香環化合物を指す。
本明細書において、用語「ヘテロ環基」は、その環の要素として、C並びにS及び/又はNなどの少なくとも2種の異なる元素(H以外)の原子を有する環状化合物を指す。
本明細書において、用語「カルボシラザン」は、Si、C、及びN原子と少なくとも1つのSi−N結合とを含む直鎖、分岐、又は環状の分子を指す。
本明細書において、頭字語「DSP」は、ジシラプロパン、より具体的にはHSi−CH−SiH、又はその配位子類似体−HSi−CH−SiH、又はそのモノマー類似体−HSi−CH−SiH−を表し;頭字語「DSB」は、ジシラブタン、より具体的にはHSi−CH−CH−SiH、又はその配位子類似体−HSi−CH−CH−SiH、又はそのモノマー類似体−HSi−CH−CH−SiH−を表し;略語「HNDSP2」、「RNDSP2」、「NDSP3」、「HNDSB2」、「RNDSB2」、及び「NDSB3」は、それぞれHN(DSP)、RN(DSP)、N(DSP)、HN(DSB)、RN(DSB)、及びN(DSB)を表し、Rは、定義される通りである。
本明細書において、略語「Me」はメチル基を指し;略語「Et」はエチル基を指し;略語「Pr」は任意のプロピル基(すなわちn−プロピル又はイソプロピル)を指し;略語「iPr」はイソプロピル基を指し;略語「Bu」は任意のブチル基(n−ブチル、iso−ブチル、t−ブチル、sec−ブチル)を指し;略語「tBu」はtert−ブチル基を指し;略語「sBu」はsec−ブチル基を指し;略語「iBu」はiso−ブチル基を指し;略語「Ph」はフェニル基を指し;略語「Am」は任意のアミル基(iso−アミル、sec−アミル、tert−アミル)を指し;略語「Cy」は環状炭化水素基(シクロブチル、シクロペンチル、シクロヘキシル等)を指す。
本明細書において、用語「ハロゲンフリー」は、X(ここで、X=Cl、Br、又はIである)が0ppmw〜1000ppmw、好ましくは0ppmw〜500ppmw、より好ましくは0ppmw〜100ppmwであることを意味する。
本明細書では、元素周期律表からの元素の標準的な省略形が使用される。元素は、これらの省略形(例えば、Siはケイ素を指し、Nは窒素を指し、Oは酸素を指し、Cは炭素を指す等)によって言及される場合があることを理解すべきである。
ケイ素酸化物などの堆積された膜又は層は、その適切な化学量論量(すなわちSiO)に言及することなしに本明細書及び請求項にわたって列挙される。層は、純粋な(Si)層、シリサイド(MSi)層、カーバイド(Si)層、窒化物(Si)層、酸化物(Si)層、又はこれらの混合物を含み得;式中、Mは、元素であり、k、l、m、n、o、及びpは、1〜6(両端値を含む)である。例えば、ケイ化コバルトはCoSiであり、式中、k及びlは、それぞれ0.5〜5の範囲である。同様に、任意の言及される層は、ケイ素酸化物層であるSi(式中、nは、0.5〜1.5の範囲であり、且つmは、1.5〜3.5の範囲である)も含み得る。より好ましくは、ケイ素酸化物層はSiOである。ケイ素酸化物層は、有機物を主体とするか又はケイ素酸化物を主体とするlow−k誘電材料(Applied Materials,Inc.によるBlack Diamond II又はIII等)などのケイ素酸化物を主体とする誘電材料であり得る。或いは、言及されるいずれのケイ素含有層も純粋なケイ素であり得る。任意のケイ素含有層は、B、C、P、As、及び/又はGeなどのドーパントも含み得る。
本明細書で列挙される任意の及び全ての範囲は、「両端値を含む」という用語が使用されるか否かに関わらず、それらの端点を含む(すなわち、x=1〜4は、x=1、x=4、及びx=これらの間の任意の数を含む)。
本発明の特性及び目的を更に理解するために、添付の図面と併せて以降の詳細な説明を参照すべきであり、図面中の同様の要素には同じ又は類似の参照番号が与えられている。
例示的な堆積方法についてのフローチャートである。 ハロゲンフリーの合成ルートで製造されたNDSP2とNDSP3との混合物の最終生成物のGCMSクロマトグラムである。 分別蒸留の最初のフラクション後の、ハロゲンフリーの合成ルートで製造されたNDSP2とNDSP3との混合物の最終生成物のGCMSクロマトグラムである。 分別蒸留の2番目のフラクション後の、ハロゲンフリーの合成ルートで製造されたNDSP3とHNDSP2との混合物のGCMSクロマトグラムである。 ハロゲンフリーの合成ルートで製造されたHNDSP2とNDSP3とを除去した後の無色高粘度オイルのGCMSクロマトグラムである。 室温で16時間後(図6a)及び室温で8週間後(図6b)に最終生成物で得られた、ハロゲンが含まれる合成ルートで選択的に製造されたNDSP2の最終生成物のGCMSクロマトグラムである。 室温で16時間後(図6a)及び室温で8週間後(図6b)に最終生成物で得られた、ハロゲンが含まれる合成ルートで選択的に製造されたNDSP2の最終生成物のGCMSクロマトグラムである。 分子量分布の分子量対多分散度を示すゲル浸透クロマトグラフィーのグラフである。
カルボシラザン又はポリカルボシラザン(又はポリオルガノシラザン)前駆体を含むSi含有膜形成用組成物が開示される。カルボシラザン又はポリカルボシラザン前駆体を合成する方法、及び半導体製造用ケイ素含有膜の堆積のためにそれを使用する方法も開示される。
本開示の前駆体は、次式:
N(RSi(CHSiR3−a (I)
を有するか、次式:
[−NR−RSi−(CH−SiR−] (II)
を有する単位を有し、式中、a=0〜1であり;m=1〜4であり;t=1〜4であり;n=2〜400であり;R、R、R、R、及びRは、独立して、H、炭化水素基(C−C)、又は式NR’’のアルキルアミノ基であり、各R’’は、独立して、H、C−C炭化水素基、C−C12アリールであるか、又はNR’’は、環状アミン基を形成し、ただし、R、R、R、R、及びRの少なくとも1つは、Hであり;及びRは、H、C−C炭化水素基、式SiR’2x+1(ここで、x=1〜4であり、及び各R’は、独立して、=H、C−C炭化水素基、又は式NR’’を有するアルキルアミノ基であり、各R’’は、独立して、H、C−C基、C−C12アリールであるか、又はNR’’は、環状アミン基を形成する)を有するシリル基、又はR1’2’3’Si(CHSiR4’5’基(式中、b=1〜2である)であり、R1’、R2’、R3’、R4’、及びR5’は、R1’、独立して、H、C−C炭化水素基、C−C12アリール、又は式NR’’を有するアルキルアミノ基であり、各R’’は、独立して、H、C−C基、C−C12アリールであるか、又はNR’’は、環状アミン基を形成し、ただし、R2’、R3’、R4’、及びR5’の少なくとも1つは、Hである。好ましくは、m=1〜2であり、且つt=1〜2である。アルキルアミノ基のR’’は、連結してN原子上で環状鎖を形成し得る。例えば、NR’’は、ピリジン、ピロール、ピロリジン、又はイミダゾール環構造を形成し得る。前駆体は、前駆体が式(I)で6個のHを含む場合(すなわち、R、R、R、R、R、及びRがそれぞれ独立してHである)、又は式(II)中の各N−Si−C−Si若しくはN−Si−C−C−Si骨格単位中に5個のHを含む場合(すなわち、R、R、R、R、及びRがそれぞれ独立してHである)、改善された揮発性を有し得る。
式(I)及び(II)で示される本開示の前駆体は、用途に応じて他よりも重い1種の元素を特異的に有するSi含有膜形成用組成物を製造するための柔軟性を付与する。例えば、膜中でより多くのSiが好ましい場合、Rは、式Si2x+1(x=1〜4である)を有するシラン又はR1’2’3’Si(CHSiR4’5’の基(b=1〜2)であり得る。膜中でより多くのNが好ましい場合、R、R、R、R、R、及びRは、それぞれ独立して、式NR’’を有するアルキルアミノ基である。膜中でより多くのCが好ましい場合、R、R、R、R、R、及びRは、炭化水素基(C1−C12)であるか、又はN−Si−C−Si(c=1〜2)などのように骨格単位中で長い炭素結合鎖を形成し得る。
本開示の前駆体は、Si−ハロゲン結合を含まない。ハロゲンが基板の他の層(例えば、low k層、銅配線層等)にダメージを与え得ることから、これは重要である。本開示のSi含有膜形成用組成物は、ハロゲンフリーであり、全体的に平坦化された熱的に安定である付着性の誘電体層及び他の誘電体様層又は材料を半導体デバイス、半導体部品、エレクトロニクス部品、及び層状材料上に形成することができる。
本開示の前駆体は、Si原子に直接結合している1つ又は2つの水素原子を含み得る。本開示の前駆体のSi−H結合は、類似のSi−ハロゲン含有前駆体と比較した場合、H原子が小さい表面積のみを占有し、結果として基板表面上により多くの分子が存在することになるため、ALD法においてより大きい1サイクル当たりの成長速度を得るのに役立ち得る。SiH結合(すなわちヒドリド官能基)の組み込みは、より少ない立体的な嵩高さのみを生じさせ、これは、SiH結合を含まない前駆体よりも基板に対して高い反応性を有する前駆体をもたらし得る。これらのSi−H結合は、蒸着法のために重要な前駆体の揮発性の増加に役立ち得る。その結果、蒸着法について、本開示の式(I)の前駆体において、R、R、又はRの少なくとも1つは、好ましくはHであり、及びR又はRの少なくとも1つは、好ましくはHである。
本開示の前駆体は、Si原子に直接結合した1つ、2つ、又は3つのアミノ基を含み得る。これらのSi−N結合は、蒸着法のためにも重要な前駆体の熱安定性の増加に役立ち得る。アミノ基は、得られる膜中にN及びC原子を組み込むことにも役立つ場合があり、これらの原子は、得られる層の任意の後続のエッチングプロセスに対する耐性をより大きくし得る。
当業者であれば、Si−H結合によって与えられる揮発性が、アミノ基によって与えられる熱安定性と直接競合することを認識するであろう。本出願人らは、少なくともHN(SiH(NiPr)−CH−SiH及びHN(SiH−CH−SiH(NiPr))が、これらの競合する特性のバランスをうまくとっていると考えている。
当業者であれば、m=1である実施形態が、蒸着により適したより揮発性が高く且つより低い融点を有する前駆体を生成し得ることを認識するであろう。m=2である実施形態も、得られるケイ素含有膜が炭素も含む場合に蒸着に好適な場合がある。m=3又はt=1〜3である実施形態は、スピンオンコーティング又はディップコーティングなどのキャスティング堆積法のために好適な場合がある。
本開示のSi含有膜形成用組成物のいくつかは、高い蒸気圧、低い融点(好ましくは室温で液体形態である)、低い昇華点、及び高い熱安定性など、蒸着法に適した特性を有する。Si含有膜形成用組成物は、好ましくは、1〜5Torrの蒸気圧を生じる温度で安定である。蒸着に適した本開示のSi含有膜形成用組成物中のカルボシラザン又はポリカルボシラザン(又はポリオルガノシラザン)前駆体は、典型的には約150〜約600、好ましくは約200〜400の範囲の分子量を有する。
本開示のSi含有膜形成用組成物のいくつかは、低い蒸気圧、低い融点(好ましくは室温で液体形態である)、及び従来のコーティングプロセスでの良好な溶解性など、スピンコーティング法、スプレーコーティング法、ディップコーティング法、又はスリットコーティング法に適した特性を有する。これらの堆積法に適した本開示のSi含有膜形成用組成物中のカルボシラザン又はポリカルボシラザン(又はポリオルガノシラザン)前駆体は、典型的には約500〜約1,000,000、好ましくは約1,000〜約100,000、より好ましくは3,000〜50,000の範囲の分子量を有する。
a=0の場合、式(I)で示される本開示のカルボシラザン前駆体は、次式を有する:
N(SiR(CHSiR (III)。
m=1であり、且つR、R、R、R、及びR=Hである場合、式(III)で示される本開示の前駆体は、トリス(1,3−ジシラプロパン)アミン[N(SiH−CH−SiH又はNDSP3]である。以降の実施例で示されるように、この液体前駆体は、少なくとも一部には、SiH結合及び低い分子量に関して上で述べた利益のために蒸着用途に好適である。
m=1であり、且つR、R、R、及びR=Hである式(III)で示される例示的な前駆体としては、限定するものではないが、N(SiH(CH=CH)−CH−SiH、N(SiH(CH=CH−CH)−CH−SiH、N(SiH(NH)−CH−SiH、N(SiH(NMe)−CH−SiH、N(SiH(NMeEt)−CH−SiH、N(SiH(NEt)−CH−SiH、N(SiH(NnPr)−CH−SiH、N(SiH(NiPr)−CH−SiH、N(SiH(NBu)−CH−SiH、N(SiH(NiBu)−CH−SiH、N(SiH(NtBu)−CH−SiH、N(SiH(NAm)−CH−SiH、N(SiH(NCyペンチル)−CH−SiH、N(SiH(Nヘキシル)−CH−SiH、N(SiH(NCyHex)−CH−SiH、N(SiH(NMeH)−CH−SiH、N(SiH(NEtH)−CH−SiH、N(SiH(NnPrH)−CH−SiH、N(SiH(NiPrH)−CH−SiH、N(SiH(NBuH)−CH−SiH、N(SiH(NiBuH)−CH−SiH、N(SiH(NtBuH)−CH−SiH、N(SiH(NAmH)−CH−SiH、N(SiH(ピリジン)−CH−SiH、N(SiH(ピロール)−CH−SiH、N(SiH(ピロリジン)−CH−SiH、及びN(SiH(イミダゾール)−CH−SiHが挙げられる。
m=1であり、且つR、R、R、及びR=Hである式(III)で示される例示的な前駆体としては、限定するものではないが、N(SiH−CH−SiH(CH=CH))、N(SiH−CH−SiH(CH=CH−CH))、N(SiH−CH−SiH(NH))、N(SiH−CH−SiH(NMe))、N(SiH−CH−SiH(NMeEt))、N(SiH−CH−SiH(NEt))、N(SiH−CH−SiH(NnPr))、N(SiH−CH−SiH(NiPr))、N(SiH−CH−SiH(NBu))、N(SiH−CH−SiH(NiBu))、N(SiH−CH−SiH(NtBu))、N(SiH−CH−SiH(NAm))、N(SiH−CH−SiH(NCyペンチル))、N(SiH−CH−SiH(Nヘキシル))、N(SiH−CH−SiH(NCyHex))、N(SiH−CH−SiH(NMeH))、N(SiH−CH−SiH(NEtH))、N(SiH−CH−SiH(NnPrH))、N(SiH−CH−SiH(NiPrH))、N(SiH−CH−SiH(NBuH))、N(SiH−CH−SiH(NiBuH))、N(SiH−CH−SiH(NtBuH))、N(SiH−CH−SiH(NAmH))、N(SiH−CH−SiH(ピリジン))、N(SiH−CH−SiH(ピロール))、N(SiH−CH−SiH(ピロリジン))、及びN(SiH−CH−SiH(イミダゾール))が挙げられる。これらの前駆体は、少なくとも一部には、SiH結合及び低い分子量に関して上で述べた利益のために蒸着用途に好適である。末端アミノ配位子は、得られる膜に追加的なN源及び/又はC源だけでなく、改善された熱安定性も付与し得る。
m=1であり、且つR、R、及びR=Hである式(III)で示される例示的な前駆体としては、限定するものではないが、N(Si(CH=CH)−CH−SiH、N(Si(CH=CH−CH−CH−SiH、N(Si(NH−CH−SiH、N(Si(NMe−CH−SiH、N(Si(NMeEt)−CH−SiH、N(SiNEt−CH−SiH、N(Si(NnPr−CH−SiH、N(Si(NiPr−CH−SiH、N(Si(NBu−CH−SiH、N(Si(NiBu−CH−SiH、N(Si(NtBu−CH−SiH、N(Si(NAm−CH−SiH、N(Si(NCyペンチル−CH−SiH、N(Si(Nヘキシル−CH−SiH、N(Si(NCyヘキシル−CH−SiH、N(Si(NMeH)−CH−SiH、N(Si(NEtH)−CH−SiH、N(Si(NnPrH)−CH−SiH、N(Si(NiPrH)−CH−SiH、N(Si(NBuH)−CH−SiH、N(Si(NiBuH)−CH−SiH、N(Si(NtBuH)−CH−SiH、N(Si(NAmH)−CH−SiH、N(Si(ピリジン)−CH−SiH、N(Si(ピロール)−CH−SiH、N(Si(ピロリジン)−CH−SiH、及びN(Si(イミダゾール)−CH−SiHが挙げられる。
m=1であり、且つR、R、及びR=Hである式(III)で示される例示的な前駆体としては、限定するものではないが、N(SiH(CH=CH)−CH−SiH(CH=CH))、N(SiH(CH=CH−CH)−CH−SiH(CH=CH−CH))、N(SiH(NH)−CH−SiH(NH))、N(SiH(NMe)−CH−SiH(NMe))、N(SiH(NMeEt)−CH−SiH(NMeEt))、N(SiH(NEt)−CH−SiH(NEt))、N(SiH(NnPr)−CH−SiH(NnPr))、N(SiH(NiPr)−CH−SiH(NiPr))、N(SiH(NBu)−CH−SiH(NBu))、N(SiH(NiBu)−CH−SiH(NiBu))、N(SiH(NtBu)−CH−SiH(NtBu))、N(SiH(NAm)−CH−SiH(NAm))、N(SiH(NCyペンチル)−CH−SiH(NCyペンチル))、N(SiH(Nヘキシル)−CH−SiH(Nヘキシル))、N(SiH(NCyヘキシル)−CH−SiH(NCyヘキシル))、N(SiH(NMeH)−CH−SiH(NMeH))、N(SiH(NEtH)−CH−SiH(NEtH))、N(SiH(NnPrH)−CH−SiH(NnPrH))、N(SiH(NiPrH)−CH−SiH(NiPrH))、N(SiH(NBuH)−CH−SiH(NBuH))、N(SiH(NiBuH)−CH−SiH(NiBuH))、N(SiH(NtBuH)−CH−SiH(NtBuH))、N(SiH(NAmH)−CH−SiH(NAmH))、N(SiH(ピリジン)−CH−SiH(ピリジン))、N(SiH(ピロール)−CH−SiH(ピロール))、N(SiH(ピロリジン)−CH−SiH(ピロリジン))、及びN(SiH(イミダゾール)−CH−SiH(イミダゾール))が挙げられる。
m=1であり、且つR、R、及びR=Hである式(III)で示される例示的な前駆体としては、限定するものではないが、N(SiH−CH−SiH(CH=CH)、N(SiH−CH−SiH(CH=CH−CH、N(SiH−CH−SiH(NH、N(SiH−CH−SiH(NMe、N(SiH−CH−SiH(NMeEt)、N(SiH−CH−SiH(NEt、N(SiH−CH−SiH(NnPr、N(SiH−CH−SiH(NiPr、N(SiH−CH−SiH(NBu、N(SiH−CH−SiH(NiBu、N(SiH−CH−SiH(NtBu、N(SiH−CH−SiH(NAm、N(SiH−CH−SiH(NCyペンチル、N(SiH−CH−SiH(Nヘキシル、N(SiH−CH−SiH(NCyヘキシル、N(SiH−CH−SiH(NMeH)、N(SiH−CH−SiH(NEtH)、N(SiH−CH−SiH(NnPrH)、N(SiH−CH−SiH(NiPrH)、N(SiH−CH−SiH(NBuH)、N(SiH−CH−SiH(NiBuH)、N(SiH−CH−SiH(NtBuH)、N(SiH−CH−SiH(NAmH)、N(SiH−CH−SiH(ピリジン)、N(SiH−CH−SiH(ピロール)、N(SiH−CH−SiH(ピロリジン)、及びN(SiH−CH−SiH(イミダゾール)が挙げられる。これらの前駆体は、少なくとも一部には、SiH結合に関して上で述べた利益のために蒸着用途又はコーティング用途のいずれかに好適な場合がある。末端アミノ配位子は、得られる膜に追加的なN源及び/又はC源だけでなく、改善された熱安定性も付与し得る。最後に、低い分子量及び高い蒸気圧を有する列挙した前駆体は蒸着法により適している一方、高い分子量を有するものはコーティング法により適している。
m=1であり、且つR及びR=Hである式(III)で示される例示的な前駆体としては、限定するものではないが、N(SiH−CH−Si(CH=CH)、N(SiH−CH−Si(CH=CH−CH、N(SiH−CH−Si(NH、N(SiH−CH−Si(NMe、N(SiH−CH−Si(NMeEt)、N(SiH−CH−Si(NEt、N(SiH−CH−Si(NnPr、N(SiH−CH−Si(NiPr、N(SiH−CH−Si(NBu、N(SiH−CH−Si(NiBu、N(SiH−CH−Si(NtBu、N(SiH−CH−Si(NAm、N(SiH−CH−Si(NCyペンチル、N(SiH−CH−Si(Nヘキシル、N(SiH−CH−Si(NCyヘキシル、N(SiH−CH−Si(NMeH)、N(SiH−CH−Si(NEtH)、N(SiH−CH−Si(NnPrH)、N(SiH−CH−Si(NiPrH)、N(SiH−CH−Si(NBuH)、N(SiH−CH−Si(NiBuH)、N(SiH−CH−Si(NtBuH)、N(SiH−CH−Si(NAmH)、N(SiH−CH−Si(ピリジン)、N(SiH−CH−Si(ピロール)、N(SiH−CH−Si(ピロリジン)、及びN(SiH−CH−Si(イミダゾール)が挙げられる。これらの前駆体は、少なくとも一部には、SiH結合に関して上で述べた利益のために蒸着用途又はコーティング用途に好適な場合がある。末端アミノ配位子は、得られる膜に追加的なN源及び/又はC源だけでなく、改善された熱安定性も付与し得る。最後に、低い分子量及び高い蒸気圧を有する列挙した前駆体は蒸着法により適している一方、高い分子量を有するものはコーティング法により適している。
m=2であり、且つR、R、R、R、及びR=Hである場合、本開示のカルボシラザン前駆体は、トリス(1,4−ジシラブタン)アミン[N(SiH−CH−CH−SiH3)3又はNDSB3]である。この液体前駆体は、少なくとも一部には、SiH結合及び低い分子量に関して上で述べた利益のために蒸着用途に好適である。
m=2であり、且つR、R、R、及びR=Hである式(III)で示される例示的な前駆体としては、限定するものではないが、N(SiH(CH=CH)−CH−CH−SiH、N(SiH(CH=CH−CH)−CH−CH−SiH、N(SiH(NH)−CH−CH−SiH、N(SiH(NMe)−CH−CH−SiH、N(SiH(NMeEt)−CH−CH−SiH、N(SiH(NEt)−CH−CH−SiH、N(SiH(NnPr)−CH−CH−SiH、N(SiH(NiPr)−CH−CH−SiH、N(SiH(NBu)−CH−CH−SiH、N(SiH(NiBu)−CH−CH−SiH、N(SiH(NtBu)−CH−CH−SiH、N(SiH(NAm)−CH−CH−SiH、N(SiH(NCyペンチル)−CH−CH−SiH、N(SiH(Nヘキシル)−CH−CH−SiH、N(SiH(NCyヘキシル)−CH−CH−SiH、N(SiH(NMeH)−CH−CH−SiH、N(SiH(NEtH)−CH−CH−SiH、N(SiH(NnPrH)−CH−CH−SiH、N(SiH(NiPrH)−CH−CH−SiH、N(SiH(NBuH)−CH−CH−SiH、N(SiH(NiBuH)−CH−CH−SiH、N(SiH(NtBuH)−CH−CH−SiH、N(SiH(NAmH)−CH−CH−SiH、N(SiH(ピリジン)−CH−CH−SiH、N(SiH(ピロール)−CH−CH−SiH、N(SiH(ピロリジン)−CH−CH−SiH、及びN(SiH(イミダゾール)−CH−CH−SiHが挙げられる。
m=2であり、且つR、R、R、及びR=Hである式(III)で示される例示的な前駆体としては、限定するものではないが、N(SiH−CH−CH−SiH(CH=CH))、N(SiH−CH−CH−SiH(CH=CH−CH))、N(SiH−CH−CH−SiH(NH))、N(SiH−CH−CH−SiH(NMe))、N(SiH−CH−CH−SiH(NMeEt))、N(SiH−CH−CH−SiH(NEt))、N(SiH−CH−CH−SiH(NnPr))、N(SiH−CH−CH−SiH(NiPr))、N(SiH−CH−CH−SiH(NBu))、N(SiH−CH−CH−SiH(NiBu))、N(SiH−CH−CH−SiH(NtBu))、N(SiH−CH−CH−SiH(NAm))、N(SiH−CH−CH−SiH(NCyペンチル))、N(SiH−CH−CH−SiH(Nヘキシル))、N(SiH−CH−CH−SiH(NCyヘキシル))、N(SiH−CH−CH−SiH(NMeH))、N(SiH−CH−CH−SiH(NEtH))、N(SiH−CH−CH−SiH(NnPrH))、N(SiH−CH−CH−SiH(NiPrH))、N(SiH−CH−CH−SiH(NBuH))、N(SiH−CH−CH−SiH(NiBuH))、N(SiH−CH−CH−SiH(NtBuH))、N(SiH−CH−CH−SiH(NAmH))、N(SiH−CH−CH−SiH(ピリジン))、N(SiH−CH−CH−SiH(ピロール))、N(SiH−CH−CH−SiH(ピロリジン))、及びN(SiH−CH−CH−SiH(イミダゾール))が挙げられる。これらの前駆体は、少なくとも一部には、SiH結合及び低い分子量に関して上で述べた利益のために蒸着用途に好適な場合がある。末端アミノ配位子は、得られる膜に追加的なN源及び/又はC源だけでなく、上述した通り改善された熱安定性も付与し得る。
m=2であり、且つR、R、及びR=Hである式(III)で示される例示的な前駆体としては、限定するものではないが、N(Si(CH=CH)−CH−CH−SiH、N(Si(CH=CH−CH−CH−CH−SiH、N(Si(NH−CH−CH−SiH、N(Si(NMe−CH−CH−SiH、N(Si(NMeEt)−CH−CH−SiH、N(SiNEt−CH−CH−SiH、N(Si(NnPr−CH−CH−SiH、N(Si(NiPr−CH−CH−SiH、N(Si(NBu−CH−CH−SiH、N(Si(NiBu−CH−CH−SiH、N(Si(NtBu−CH−CH−SiH、N(Si(NAm−CH−CH−SiH、N(Si(NCyペンチル−CH−CH−SiH、N(Si(Nヘキシル−CH−CH−SiH、N(Si(NCyヘキシル−CH−CH−SiH、N(Si(NMeH)−CH−CH−SiH、N(Si(NEtH)−CH−CH−SiH、N(Si(NnPrH)−CH−CH−SiH、N(Si(NiPrH)−CH−CH−SiH、N(Si(NBuH)−CH−CH−SiH、N(Si(NiBuH)−CH−CH−SiH、N(Si(NtBuH)−CH−CH−SiH、N(Si(NAmH)−CH−CH−SiH、N(Si(ピリジン)−CH−CH−SiH、N(Si(ピロール)−CH−CH−SiH、N(Si(ピロリジン)−CH−CH−SiH、及びN(Si(イミダゾール)−CH−CH−SiHが挙げられる。
m=2であり、且つR、R、及びR=Hである式(III)で示される例示的な前駆体としては、限定するものではないが、N(SiH(CH=CH)−CH−CH−SiH(CH=CH))、N(SiH(CH=CH−CH)−CH−CH−SiH(CH=CH−CH))、N(SiH(NH)−CH−CH−SiH(NH))、N(SiH(NMe)−CH−CH−SiH(NMe))、N(SiH(NMeEt)−CH−CH−SiH(NMeEt))、N(SiH(NEt)−CH−CH−SiH(NEt))、N(SiH(NnPr)−CH−CH−SiH(NnPr))、N(SiH(NiPr)−CH−CH−SiH(NiPr))、N(SiH(NBu)−CH−CH−SiH(NBu))、N(SiH(NiBu)−CH−CH−SiH(NiBu))、N(SiH(NtBu)−CH−CH−SiH(NtBu))、N(SiH(NAm)−CH−CH−SiH(NAm))、N(SiH(NCyペンチル)−CH−CH−SiH(NCyペンチル))、N(SiH(Nヘキシル)−CH−CH−SiH(Nヘキシル))、N(SiH(NCyヘキシル)−CH−CH−SiH(NCyヘキシル))、N(SiH(NMeH)−CH−CH−SiH(NMeH))、N(SiH(NEtH)−CH−CH−SiH(NEtH))、N(SiH(NnPrH)−CH−CH−SiH(NnPrH))、N(SiH(NiPrH)−CH−CH−SiH(NiPrH))、N(SiH(NBuH)−CH−CH−SiH(NBuH))、N(SiH(NiBuH)−CH−CH−SiH(NiBuH))、N(SiH(NtBuH)−CH−CH−SiH(NtBuH))、N(SiH(NAmH)−CH−CH−SiH(NAmH))、N(SiH(ピリジン)−CH−CH−SiH(ピリジン))、N(SiH(ピロール)−CH−CH−SiH(ピロール))、N(SiH(ピロリジン)−CH−CH−SiH(ピロリジン))、及びN(SiH(イミダゾール)−CH−CH−SiH(イミダゾール))が挙げられる。
m=2であり、且つR、R、及びR=Hである式(III)で示される例示的な前駆体としては、限定するものではないが、N(SiH−CH−CH−SiH(CH=CH)、N(SiH−CH−CH−SiH(CH=CH−CH、N(SiH−CH−CH−SiH(NH、N(SiH−CH−CH−SiH(NMe、N(SiH−CH−CH−SiH(NMeEt)、N(SiH−CH−CH−SiH(NEt、N(SiH−CH−CH−SiH(NnPr、N(SiH−CH−CH−SiH(NiPr、N(SiH−CH−CH−SiH(NBu、N(SiH−CH−CH−SiH(NiBu、N(SiH−CH−CH−SiH(NtBu、N(SiH−CH−CH−SiH(NAm、N(SiH−CH−CH−SiH(NCyペンチル、N(SiH−CH−CH−SiH(Nヘキシル、N(SiH−CH−CH−SiH(NCyヘキシル、N(SiH−CH−CH−SiH(NMeH)、N(SiH−CH−CH−SiH(NEtH)、N(SiH−CH−CH−SiH(NnPrH)、N(SiH−CH−CH−SiH(NiPrH)、N(SiH−CH−CH−SiH(NBuH)、N(SiH−CH−CH−SiH(NiBuH)、N(SiH−CH−CH−SiH(NtBuH)、N(SiH−CH−CH−SiH(NAmH)、N(SiH−CH−CH−SiH(ピリジン)、N(SiH−CH−CH−SiH(ピロール)、N(SiH−CH−CH−SiH(ピロリジン)、及びN(SiH−CH−CH−SiH(イミダゾール)が挙げられる。これらの前駆体は、少なくとも一部には、SiH結合に関して上で述べた利益のために蒸着用途又はコーティング用途に好適な場合がある。末端アミノ配位子は、得られる膜に追加的なN源及び/又はC源だけでなく、上述した通り改善された熱安定性も付与し得る。最後に、低い分子量及び高い蒸気圧を有する列挙した前駆体は蒸着法により適している一方、高い分子量を有するものはコーティング法により適している。
m=2であり、且つR及びR=Hである式(III)で示される例示的な前駆体としては、限定するものではないが、N(SiH−CH−CH−Si(CH=CH)、N(SiH−CH−CH−Si(CH=CH−CH、N(SiH−CH−CH−Si(NH、N(SiH−CH−CH−Si(NMe、N(SiH−CH−CH−Si(NMeEt)、N(SiH−CH−CH−Si(NEt、N(SiH−CH−CH−Si(NnPr、N(SiH−CH−CH−Si(NiPr、N(SiH−CH−CH−Si(NBu、N(SiH−CH−CH−Si(NiBu、N(SiH−CH−CH−Si(NtBu、N(SiH−CH−CH−Si(NAm、N(SiH−CH−CH−Si(NCyペンチル、N(SiH−CH−CH−Si(Nヘキシル、N(SiH−CH−CH−Si(NCyヘキシル、N(SiH−CH−CH−Si(NMeH)、N(SiH−CH−CH−Si(NEtH)、N(SiH−CH−CH−Si(NnPrH)、N(SiH−CH−CH−Si(NiPrH)、N(SiH−CH−CH−Si(NBuH)、N(SiH−CH−CH−Si(NiBuH)、N(SiH−CH−CH−Si(NtBuH)、N(SiH−CH−CH−Si(NAmH)、N(SiH−CH−CH−Si(ピリジン)、N(SiH−CH−CH−Si(ピロール)、N(SiH−CH−CH−Si(ピロリジン)、及びN(SiH−CH−CH−Si(イミダゾール)が挙げられる。これらの前駆体は、少なくとも一部には、SiH結合に関して上で述べた利益のためにコーティング用途に好適である。末端アミノ配位子は、得られる膜に追加的なN源及び/又はC源も付与し得る。
a=1の場合、式(I)で示される本開示のカルボシラザン前駆体は、次式を有する:
RN(SiR(CHSiR (IV)
m=1であり、且つR、R、R、R、R、及びR=Hである場合、式(IV)で示される本開示の前駆体は、ビス(1,3−ジシラプロパン)アミン[HN(SiH−CH−SiH又はNDSP2]である。NDSP2は揮発性であり、且つ多くのSi−H結合を含んでおり、そのため、これは基板表面に対してより反応性である。その結果、この前駆体は、蒸着法、より具体的にはALD法に適している。本出願人らは、この前駆体が、Nを使用するPEALD法においてSi−Cl末端又は更にはSi末端の基板表面に結合するための更に十分な反応性を有し得ると考えている。
m=1であり、R、R、R、R、及びR=Hであり、且つR=Si2x+1(ここで、x=1〜4である)である場合、式(IV)で示される本開示のカルボシラザン前駆体は、SiHN(SiH−CH−SiH、SiN(SiH−CH−SiH、SiN(SiH−CH−SiH、及びSiN(SiH−CH−SiHである。これらの前駆体は、少なくとも一部には、SiH結合に関して上で述べた利益のために蒸着用途に好適な場合がある。追加的なN−Si結合は、N−H結合を有するものよりもこれらの前駆体を安定にするが、N−C結合を有するものよりも反応性を高くする。結果として、これらの前駆体は、重合のために穏やかな条件が必要とされる場合に望ましい場合がある。炭素を含まないSi2x+1はまた、得られる膜中において、R=H又はアルキル基である対応する分子よりも多くのSiをもたらし得る。
m=1であり、R、R、R、R、及びR=Hであり、且つR=SiH(C2y+13−z(ここで、y=1〜6、z=0〜2である)である場合、式(IV)で示される本開示のカルボシラザン前駆体としては、限定するものではないが、(SiMe)N(SiH−CH−SiH、(SiEt)N(SiH−CH−SiH、Si(iPr)N(SiH−CH−SiH、Si(nPr)N(SiH−CH−SiH、Si(Bu)N(SiH−CH−SiH、Si(iBu)N(SiH−CH−SiH、Si(tBu)N(SiH−CH−SiH、Si(アミル)N(SiH−CH−SiH、Si(ヘキシル)N(SiH−CH−SiH、(SiHMe)N(SiH−CH−SiH、(SiHEt)N(SiH−CH−SiH、SiH(iPr)N(SiH−CH−SiH、SiH(nPr)N(SiH−CH−SiH、SiH(Bu)N(SiH−CH−SiH、SiH(iBu)N(SiH−CH−SiH、SiH(tBu)N(SiH−CH−SiH、SiH(アミル)N(SiH−CH−SiH、SiH(ヘキシル)N(SiH−CH−SiH、(SiHMe)N(SiH−CH−SiH、(SiHEt)N(SiH−CH−SiH、SiH(iPr)N(SiH−CH−SiH、SiH(nPr)N(SiH−CH−SiH、SiH(Bu)N(SiH−CH−SiH、SiH(iBu)N(SiH−CH−SiH、SiH(tBu)N(SiH−CH−SiH、SiH(アミル)N(SiH−CH−SiH、及びSiH(ヘキシル)N(SiH−CH−SiHが挙げられる。追加的なN−Si結合は、N−H結合を有するものよりもこれらの前駆体を安定にするが、N−C結合を有するものよりも反応性を高くする。結果として、これらの前駆体は、重合のために穏やかな条件が必要とされる場合に望ましい場合がある。炭素鎖の長さは、膜中で望ましい炭素量が得られるように選択することができる。最後に、低い分子量及び高い蒸気圧を有する列挙した前駆体は蒸着法により適している一方、高い分子量を有するものはコーティング法により適している。
m=1であり、R、R、R、R、及びR=Hであり、且つR=R1’2’3’Si(CHSiR4’5’基(ここで、b=1〜2であり、且つR1’、R2’、R3’、R4’、及びR5’は、独立して、H又はC−C炭化水素基である)である場合、式(IV)で示される本開示のカルボシラザン前駆体としては、限定するものではないが、(SiH−CH−CH−SiH)N(SiH−CH−SiH2、(SiMe−CH−SiMe)N(SiH−CH−SiH2、(SiMe−CH−CH−SiMe)N(SiH−CH−SiH2、(SiEt−CH−SiEt)N(SiH−CH−SiH、又は(SiEt−CH−CH−SiEt)N(SiH−CH−SiHが挙げられる。
m=1であり、R、R、R、R、及びR=Hであり、且つR=C2y+1(ここで、y=1〜6である)である場合、式(IV)で示される本開示のカルボシラザン前駆体としては、(Me)N(SiH−CH−SiH、(Et)N(SiH−CH−SiH、(nPr)N(SiH−CH−SiH、(iPr)N(SiH−CH−SiH、(Bu)N(SiH−CH−SiH、(iBu)N(SiH−CH−SiH、(tBu)N(SiH−CH−SiH、(アミル)N(SiH−CH−SiH、及び(ヘキシル)N(SiH−CH−SiHが挙げられる。Si−C結合(Si−Rについて)は、反応性が高くなく、蒸着プロセス中に残存しやすいことから、この群の化合物は、SiOC又はSiNCなど、炭素成分を有する膜の蒸着のために有用な場合がある。その結果、多すぎるCの堆積を防止するために、yは、好ましくは、1〜3である。RNHR反応物は、Et、Pr、Bu、ペンチル、及びヘキシルに関して液体であることから、これらの前駆体は、DSP3類似体よりも合成が容易でもある。
m=1であり、R、R、R、R、及びR=Hであり、且つR=C2x−y(ここで、x=2〜6、x=2〜6についてy=0であり、x=3〜6についてy=2であり、又はx=4〜6についてy=4である)である場合、式(IV)で示される本開示のカルボシラザン前駆体としては、(ビニル)N(SiH−CH−SiH、(アリル)N(SiH−CH−SiH、(プロパジエン)N(SiH−CH−SiH、(ブテン)N(SiH−CH−SiH、(ブタジエン)N(SiH−CH−SiH、(ブタトリエン)N(SiH−CH−SiH、又は(ヘキサジエン)N(SiH−CH−SiHが挙げられる。この群の化合物は、炭素成分を有する膜の蒸着のために有用な場合もある。更に、不飽和炭化水素は、化学的又は物理的に吸着された前駆体間に架橋の機会を付与する。
m=1であり、R、R、R、R、及びR=Hであり、且つR=SiH(NR’R’’)3−x(ここで、x=1又は2であり、且つR’及びR’’は、独立して、Me、Et、iPr、又はnPrである)である場合、式(IV)で示される本開示のカルボシラザン前駆体としては、限定するものではないが、(SiHNMe)N(SiH−CH−SiH、(SiHNEt)N(SiH−CH−SiH、(SiHNiPr)N(SiH−CH−SiH、(SiHNnPr)N(SiH−CH−SiH、(SiHNMeEt)N(SiH−CH−SiH、(SiH(NMe)N(SiH−CH−SiH、及びSiH(NEt)N(SiH−CH−SiHが挙げられる。
m=1であり、R、R、R、及びR=Hであり、且つR=H、C2u+1、又はSi2v−1(ここで、u=1〜6及びv=1〜4である)である式(IV)で示される例示的なカルボシラザン前駆体としては、限定するものではないが、RN(SiH(CH=CH)−CH−SiH、RN(SiH(CH=CH−CH)−CH−SiH、RN(SiH(NH)−CH−SiH、RN(SiH(NMe)−CH−SiH、RN(SiH(NMeEt)−CH−SiH、RN(SiH(NEt)−CH−SiH、RN(SiH(NnPr)−CH−SiH、RN(SiH(NiPr)−CH−SiH、RN(SiH(NBu)−CH−SiH、RN(SiH(NiBu)−CH−SiH、RN(SiH(NtBu)−CH−SiH、RN(SiH(NAm)−CH−SiH、RN(SiH(NCyペンチル)−CH−SiH、RN(SiH(Nヘキシル)−CH−SiH、RN(SiH(NCyヘキシル)−CH−SiH、RN(SiH(NMeH)−CH−SiH、RN(SiH(NEtH)−CH−SiH、RN(SiH(NnPrH)−CH−SiH、RN(SiH(NiPrH)−CH−SiH、RN(SiH(NBuH)−CH−SiH、RN(SiH(NiBuH)−CH−SiH、RN(SiH(NtBuH)−CH−SiH、RN(SiH(NAmH)−CH−SiH、RN(SiH(ピリジン)−CH−SiH、RN(SiH(ピロール)−CH−SiH、RN(SiH(ピロリジン)−CH−SiH、及びRN(SiH(イミダゾール)−CH−SiHが挙げられる。
m=1であり、R、R、R、及びR=Hであり、且つR=H、C2u+1、又はSivH2v−1(ここで、u=1〜6及びv=1〜4である)である式(IV)で示される例示的なカルボシラザン前駆体としては、限定するものではないが、RN(SiH−CH−SiH(CH=CH))、RN(SiH−CH−SiH(CH=CH−CH))、RN(SiH−CH−SiH(NH))、RN(SiH−CH−SiH(NMe))、RN(SiH−CH−SiH(NMeEt))、RN(SiH−CH−SiH(NEt))、RN(SiH−CH−SiH(NnPr))、RN(SiH−CH−SiH(NiPr))、RN(SiH−CH−SiH(NBu))、RN(SiH−CH−SiH(NiBu))、RN(SiH−CH−SiH(NtBu))、RN(SiH−CH−SiH(NAm))、RN(SiH−CH−SiH(NCyペンチル))、RN(SiH−CH−SiH(Nヘキシル))、RN(SiH−CH−SiH(NCyヘキシル))、RN(SiH−CH−SiH(NMeH))、RN(SiH−CH−SiH(NEtH))、RN(SiH−CH−SiH(NnPrH))、RN(SiH−CH−SiH(NiPrH))、RN(SiH−CH−SiH(NBuH))、RN(SiH−CH−SiH(NiBuH))、RN(SiH−CH−SiH(NtBuH))、RN(SiH−CH−SiH(NAmH))、RN(SiH−CH−SiH(ピリジン))、RN(SiH−CH−SiH(ピロール))、RN(SiH−CH−SiH(ピロリジン))、及びRN(SiH−CH−SiH(イミダゾール))が挙げられる。これらの前駆体は、少なくとも一部には、SiH結合に関して上で述べた利益のために蒸着用途又はコーティング用途に好適な場合がある。末端アミノ配位子は、得られる膜に追加的なN源及び/又はC源だけでなく、上述した通り改善された熱安定性も付与し得る。最後に、低い分子量及び高い蒸気圧を有する列挙した前駆体は蒸着法により適している一方、高い分子量を有するものはコーティング法により適している。
m=1であり、R、R、及びR=Hであり、且つR=H、C2u+1、又はSivH2v−1(ここで、u=1〜6及びv=1〜4である)である式(IV)で示される例示的なカルボシラザン前駆体としては、限定するものではないが、RN(Si(CH=CH)−CH−SiH、RN(Si(CH=CH−CH−CH−SiH、RN(Si(NH−CH−SiH、RN(Si(NMe−CH−SiH、RN(Si(NMeEt)−CH−SiH、RN(SiNEt−CH−SiH、RN(Si(NnPr−CH−SiH、RN(Si(NiPr−CH−SiH、RN(Si(NBu−CH−SiH、RN(Si(NiBu−CH−SiH、RN(Si(NtBu−CH−SiH、RN(Si(NAm−CH−SiH、RN(Si(NCyペンチル−CH−SiH、RN(Si(Nヘキシル−CH−SiH、RN(Si(NCyヘキシル−CH−SiH、RN(Si(NMeH)−CH−SiH、RN(Si(NEtH)−CH−SiH、RN(Si(NnPrH)−CH−SiH、RN(Si(NiPrH)−CH−SiH、RN(Si(NBuH)−CH−SiH、RN(Si(NiBuH)−CH−SiH、RN(Si(NtBuH)−CH−SiH、RN(Si(NAmH)−CH−SiH、RN(Si(ピリジン)−CH−SiH、RN(Si(ピロール)−CH−SiH、RN(Si(ピロリジン)−CH−SiH、及びRN(Si(イミダゾール)−CH−SiHが挙げられる。
m=1であり、R、R、及びR=Hであり、且つR=H、C2u+1、又はSivH2v−1(ここで、u=1〜6及びv=1〜4である)である式(IV)で示される例示的なカルボシラザン前駆体としては、RN(SiH(CH=CH)−CH−SiH(CH=CH))、RN(SiH(CH=CH−CH)−CH−SiH(CH=CH−CH))、RN(SiH(NH)−CH−SiH(NH))、RN(SiH(NMe)−CH−SiH(NMe))、RN(SiH(NMeEt)−CH−SiH(NMeEt))、RN(SiH(NEt)−CH−SiH(NEt))、RN(SiH(NnPr)−CH−SiH(NnPr))、RN(SiH(NiPr)−CH−SiH(NiPr))、RN(SiH(NBu)−CH−SiH(NBu))、RN(SiH(NiBu)−CH−SiH(NiBu))、RN(SiH(NtBu)−CH−SiH(NtBu))、RN(SiH(NAm)−CH−SiH(NAm))、RN(SiH(NCyペンチル)−CH−SiH(NCyペンチル))、RN(SiH(Nヘキシル)−CH−SiH(Nヘキシル))、RN(SiH(NCyヘキシル)−CH−SiH(NCyヘキシル))、RN(SiH(NMeH)−CH−SiH(NMeH))、RN(SiH(NEtH)−CH−SiH(NEtH))、RN(SiH(NnPrH)−CH−SiH(NnPrH))、RN(SiH(NiPrH)−CH−SiH(NiPrH))、RN(SiH(NBuH)−CH−SiH(NBuH))、RN(SiH(NiBuH)−CH−SiH(NiBuH))、RN(SiH(NtBuH)−CH−SiH(NtBuH))、RN(SiH(NAmH)−CH−SiH(NAmH))、RN(SiH(ピリジン)−CH−SiH(ピリジン))、RN(SiH(ピロール)−CH−SiH(ピロール))、RN(SiH(ピロリジン)−CH−SiH(ピロリジン))、及びRN(SiH(イミダゾール)−CH−SiH(イミダゾール))が挙げられる。
m=1であり、R、R、及びR=Hであり、且つR=H、C2u+1、又はSivH2v−1(ここで、u=1〜6及びv=1〜4である)である式(IV)で示される例示的なカルボシラザン前駆体としては、限定するものではないが、RN(SiH−CH−SiH(CH=CH)、RN(SiH−CH−SiH(CH=CH−CH、RN(SiH−CH−SiH(NH、RN(SiH−CH−SiH(NMe、RN(SiH−CH−SiH(NMeEt)、RN(SiH−CH−SiH(NEt、RN(SiH−CH−SiH(NnPr、RN(SiH−CH−SiH(NiPr、RN(SiH−CH−SiH(NBu、RN(SiH−CH−SiH(NiBu、RN(SiH−CH−SiH(NtBu、RN(SiH−CH−SiH(NAm、RN(SiH−CH−SiH(NCyペンチル、RN(SiH−CH−SiH(Nヘキシル、RN(SiH−CH−SiH(NCyヘキシル、RN(SiH−CH−SiH(NMeH)、RN(SiH−CH−SiH(NEtH)、RN(SiH−CH−SiH(NnPrH)、RN(SiH−CH−SiH(NiPrH)、RN(SiH−CH−SiH(NBuH)、RN(SiH−CH−SiH(NiBuH)、RN(SiH−CH−SiH(NtBuH)、RN(SiH−CH−SiH(NAmH)、RN(SiH−CH−SiH(ピリジン)、RN(SiH−CH−SiH(ピロール)、RN(SiH−CH−SiH(ピロリジン)、及びRN(SiH−CH−SiH(イミダゾール)が挙げられる。これらの前駆体は、少なくとも一部には、SiH結合に関して上で述べた利益のために蒸着用途又はコーティング用途に好適である。末端アミノ配位子は、得られる膜に追加的なN源及び/又はC源だけでなく、上述した通り改善された熱安定性も付与し得る。最後に、低い分子量及び高い蒸気圧を有する列挙した前駆体は蒸着法により適している一方、高い分子量を有するものはコーティング法により適している。
m=1であり、R及びR=Hであり、且つR=H、C2u+1、又はSivH2v−1(ここで、u=1〜6及びv=1〜4である)である式(IV)で示される例示的なカルボシラザン前駆体としては、限定するものではないが、RN(SiH−CH−Si(CH=CH)、RN(SiH−CH−Si(CH=CH−CH、RN(SiH−CH−Si(NH、RN(SiH−CH−Si(NMe、RN(SiH−CH−Si(NMeEt)、RN(SiH−CH−Si(NEt、RN(SiH−CH−Si(NnPr、RN(SiH−CH−Si(NiPr、RN(SiH−CH−Si(NBu、RN(SiH−CH−Si(NiBu、RN(SiH−CH−Si(NtBu、RN(SiH−CH−Si(NAm、RN(SiH−CH−Si(NCyペンチル、RN(SiH−CH−Si(Nヘキシル、RN(SiH−CH−Si(NCyヘキシル、RN(SiH−CH−Si(NMeH)、RN(SiH−CH−Si(NEtH)、RN(SiH−CH−Si(NnPrH)、RN(SiH−CH−Si(NiPrH)、RN(SiH−CH−Si(NBuH)、RN(SiH−CH−Si(NiBuH)、RN(SiH−CH−Si(NtBuH)、RN(SiH−CH−Si(NAmH)、RN(SiH−CH−Si(ピリジン)、RN(SiH−CH−Si(ピロール)、RN(SiH−CH−Si(ピロリジン)、及びRN(SiH−CH−Si(イミダゾール)が挙げられる。これらの前駆体は、少なくとも一部には、SiH結合に関して上で述べた利益のために蒸着用途又はコーティング用途に好適な場合がある。末端アミノ配位子は、得られる膜に追加的なN源及び/又はC源だけでなく、上述した通り改善された熱安定性も付与し得る。最後に、低い分子量及び高い蒸気圧を有する列挙した前駆体は蒸着法により適している一方、高い分子量を有するものはコーティング法により適している。
m=2であり、且つR、R、R、R、R、及びR=Hである場合、本開示のカルボシラザン前駆体は、HN(SiH−CH−CH−SiH(HNDSB2)である。HNDSB2は揮発性であり、且つ多くのSi−H結合を含んでおり、そのため、これは基板表面に対してより反応性である。その結果、この前駆体は、蒸着法、より具体的にはALD法に適している場合がある。本出願人らは、この前駆体が、Nを使用するPEALD法においてSi−Cl末端又は更にはSi末端の基板表面に結合するための更に十分な反応性を有し得ると考えている。
m=2であり、R、R、R、R、及びR=Hであり、且つR=Si2x+1(ここで、x=1〜4である)である場合、式(IV)で示される本開示のカルボシラザン前駆体は、SiHN(SiH−CH−CH−SiH、SiN(SiH−CH−CH−SiH、SiN(SiH−CH−CH−SiH、及びSiN(SiH−CH−CH−SiHである。これらの前駆体は、少なくとも一部には、SiH結合に関して上で述べた利益のために蒸着用途に好適な場合がある。追加的なN−Si結合は、N−H結合を有するものよりもこれらの前駆体を安定にするが、N−C結合を有するものよりも反応性を高くする。結果として、これらの前駆体は、重合のために穏やかな条件が必要とされる場合に望ましい場合がある。炭素を含まないSi2x+1はまた、得られる膜中において、R=H又はアルキル基である対応する分子よりも多くのSiをもたらし得る。
m=2であり、R、R、R、R、及びR=Hであり、且つR=SiH(C2y+13−z(ここで、y=1〜6、z=0〜2である)である場合、式(IV)で示される本開示のカルボシラザン前駆体としては、限定するものではないが、(SiMe)N(SiH−CH−CH−SiH、(SiEt)N(SiH−CH−CH−SiH、Si(iPr)N(SiH−CH−CH−SiH、Si(nPr)N(SiH−CH−CH−SiH、Si(Bu)N(SiH−CH−CH−SiH、Si(iBu)N(SiH−CH−CH−SiH、Si(tBu)N(SiH−CH−CH−SiH、Si(アミル)N(SiH−CH−CH−SiH、Si(ヘキシル)N(SiH−CH−CH−SiH、(SiHMe)N(SiH−CH−CH−SiH、(SiHEt)N(SiH−CH−CH−SiH、SiH(iPr)N(SiH−CH−CH−SiH、SiH(nPr)N(SiH−CH−CH−SiH、SiH(Bu)N(SiH−CH−CH−SiH、SiH(iBu)N(SiH−CH−CH−SiH、SiH(tBu)N(SiH−CH−CH−SiH、SiH(アミル)N(SiH−CH−CH−SiH、SiH(ヘキシル)N(SiH−CH−CH−SiH、(SiHMe)N(SiH−CH−CH−SiH、(SiHEt)N(SiH−CH−CH−SiH、SiH(iPr)N(SiH−CH−CH−SiH、SiH(nPr)N(SiH−CH−CH−SiH、SiH(Bu)N(SiH−CH−CH−SiH、SiH(iBu)N(SiH−CH−CH−SiH、SiH(tBu)N(SiH−CH−CH−SiH、SiH(アミル)N(SiH−CH−CH−SiH、及びSiH(ヘキシル)N(SiH−CH−CH−SiHが挙げられる。追加的なN−Si結合は、N−H結合を有するものよりもこれらの前駆体を安定にするが、N−C結合を有するものよりも反応性を高くする。結果として、これらの前駆体は、重合のために穏やかな条件が必要とされる場合に望ましい場合がある。炭素鎖の長さは、膜中で望ましい炭素量が得られるように選択することができる。最後に、低い分子量及び高い蒸気圧を有する列挙した前駆体は蒸着法により適している一方、高い分子量を有するものはコーティング法により適している。
m=2であり、R、R、R、R、及びR=Hであり、且つR=R1’2’3’Si(CHSiR4’5’基(ここで、b=1〜2であり、且つR1’、R2’、R3’、R4’、及びR5’は、独立して、H又はC−C炭化水素基である)である場合、式(IV)で示される本開示のカルボシラザン前駆体としては、限定するものではないが、(SiH−CH−SiH)N(SiH−CH−CH−SiH、(SiH−CH−CH−SiH)N(SiH−CH−CH−SiH、(SiMe−CH−SiMe)N(SiH−CH−CH−SiH、(SiMe−CH−CH−SiMe)N(SiH−CH−CH−SiH、(SiEt−CH−SiEt)N(SiH−CH−CH−SiH、及び(SiEt−CH−CH−SiEt)N(SiH−CH−CH−SiHが挙げられる。
m=2であり、R、R、R、R、及びR=Hであり、且つR=C2y+1(ここで、y=1〜6である)である場合、式(IV)で示される本開示のカルボシラザン前駆体としては、限定するものではないが、(Me)N(SiH−CH−CH−SiH、(Et)N(SiH−CH−CH−SiH、(nPr)N(SiH−CH−CH−SiH、(iPr)N(SiH−CH−CH−SiH、(Bu)N(SiH−CH−CH−SiH、(iBu)N(SiH−CH−CH−SiH、(tBu)N(SiH−CH−CH−SiH、(アミル)N(SiH−CH−CH−SiH、及び(ヘキシル)N(SiH−CH−CH−SiHが挙げられる。Si−C結合(Si−Rについて)は、反応性が高くなく、蒸着プロセス中に残存しやすいことから、この群の化合物は、SiOC又はSiNCなど、炭素成分を有する膜の蒸着のために有用な場合がある。その結果、多すぎるCの堆積を防止するために、yは、好ましくは、1〜3である。RNHR反応物は、Et、Pr、Bu、ペンチル、及びヘキシルに関して液体であることから、これらの前駆体は、DSB3類似体よりも合成が容易でもある。
m=2であり、R、R、R、R、及びR=Hであり、且つR=SiH(NR’R’’)3−x(ここで、x=1又は2であり、且つR’及びR’’は、独立して、Me、Et、iPr、nPrである)である場合、式(IV)で示される本開示のカルボシラザン前駆体としては、限定するものではないが、(SiHNMe)N(SiH−CH−CH−SiH、(SiHNEt)N(SiH−CH−CH−SiH、(SiHNiPr)N(SiH−CH−CH−SiH、(SiHNnPr)N(SiH−CH−CH−SiH、(SiHNMeEt)N(SiH−CH−CH−SiH、(SiH(NMe)N(SiH−CH−CH−SiH、及びSiH(NEt)N(SiH−CH−CH−SiHが挙げられる。
mが2であり、R、R、R、及びR=Hであり、且つR=H、C2u+1、又はSivH2v−1(ここで、u=1〜6及びv=1〜4である)である式(IV)で示される例示的なカルボシラザン前駆体としては、限定するものではないが、RN(SiH(CH=CH)−CH−CH−SiH、RN(SiH(CH=CH−CH)−CH−CH−SiH、RN(SiH(NH)−CH−CH−SiH、RN(SiH(NMe)−CH−CH−SiH、RN(SiH(NMeEt)−CH−CH−SiH、RN(SiH(NEt)−CH−CH−SiH、RN(SiH(NnPr)−CH−CH−SiH、RN(SiH(NiPr)−CH−CH−SiH、RN(SiH(NBu)−CH−CH−SiH、RN(SiH(NiBu)−CH−CH−SiH、RN(SiH(NtBu)−CH−CH−SiH、RN(SiH(NAm)−CH−CH−SiH、RN(SiH(NCyペンチル)−CH−CH−SiH、RN(SiH(Nヘキシル)−CH−CH−SiH、RN(SiH(NCyヘキシル)−CH−CH−SiH、RN(SiH(NMeH)−CH−CH−SiH、RN(SiH(NEtH)−CH−CH−SiH、RN(SiH(NnPrH)−CH−CH−SiH、RN(SiH(NiPrH)−CH−CH−SiH、RN(SiH(NBuH)−CH−CH−SiH、RN(SiH(NiBuH)−CH−CH−SiH、RN(SiH(NtBuH)−CH−CH−SiH、RN(SiH(NAmH)−CH−CH−SiH、RN(SiH(ピリジン)−CH−CH−SiH、RN(SiH(ピロール)−CH−CH−SiH、RN(SiH(ピロリジン)−CH−CH−SiH、及びRN(SiH(イミダゾール)−CH−CH−SiHが挙げられる。
mが2であり、R、R、R、及びR=Hであり、且つR=H、C2u+1、又はSivH2v−1(ここで、u=1〜6及びv=1〜4である)である式(IV)で示される例示的なカルボシラザン前駆体としては、限定するものではないが、RN(SiH−CH−CH−SiH(CH=CH))、RN(SiH−CH−CH−SiH(CH=CH−CH))、RN(SiH−CH−CH−SiH(NH))、RN(SiH−CH−CH−SiH(NMe))、RN(SiH−CH−CH−SiH(NMeEt))、RN(SiH−CH−CH−SiH(NEt))、RN(SiH−CH−CH−SiH(NnPr))、RN(SiH−CH−CH−SiH(NiPr))、RN(SiH−CH−CH−SiH(NBu))、RN(SiH−CH−CH−SiH(NiBu))、RN(SiH−CH−CH−SiH(NtBu))、RN(SiH−CH−CH−SiH(NAm))、RN(SiH−CH−CH−SiH(NCyペンチル))、RN(SiH−CH−CH−SiH(Nヘキシル))、RN(SiH−CH−CH−SiH(NCyヘキシル))、RN(SiH−CH−CH−SiH(NMeH))、RN(SiH−CH−CH−SiH(NEtH))、RN(SiH−CH−CH−SiH(NnPrH))、RN(SiH−CH−CH−SiH(NiPrH))、RN(SiH−CH−CH−SiH(NBuH))、RN(SiH−CH−CH−SiH(NiBuH))、RN(SiH−CH−CH−SiH(NtBuH))、RN(SiH−CH−CH−SiH(NAmH))、RN(SiH−CH−CH−SiH(ピリジン))、RN(SiH−CH−CH−SiH(ピロール))、RN(SiH−CH−CH−SiH(ピロリジン))、及びRN(SiH−CH−CH−SiH(イミダゾール))が挙げられる。これらの前駆体は、少なくとも一部には、SiH結合に関して上で述べた利益のために蒸着用途又はコーティング用途のいずれかに好適である。末端アミノ配位子は、得られる膜に追加的なN源及び/又はC源だけでなく、上述した通り改善された熱安定性も付与し得る。最後に、低い分子量及び高い蒸気圧を有する列挙した前駆体は蒸着法により適している一方、高い分子量を有するものはコーティング法により適している。
mが2であり、R、R、及びR=Hであり、且つR=H、C2u+1、又はSi2v−1(ここで、u=1〜6及びv=1〜4である)である式(IV)で示される例示的なカルボシラザン前駆体としては、限定するものではないが、RN(Si(CH=CH)−CH−CH−SiH、RN(Si(CH=CH−CH−CH−CH−SiH、RN(Si(NH−CH−CH−SiH、RN(Si(NMe−CH−CH−SiH、RN(Si(NMeEt)−CH−CH−SiH、RN(Si(NEt−CH−CH−SiH、RN(Si(NnPr−CH−CH−SiH、RN(Si(NiPr−CH−CH−SiH、RN(Si(NBu−CH−CH−SiH、RN(Si(NiBu−CH−CH−SiH、RN(Si(NtBu−CH−CH−SiH、RN(Si(NAm−CH−CH−SiH、RN(Si(NCyペンチル−CH−CH−SiH、RN(Si(Nヘキシル−CH−CH−SiH、RN(Si(NCyヘキシル−CH−CH−SiH、RN(Si(NMeH)−CH−CH−SiH、RN(Si(NEtH)−CH−CH−SiH、RN(Si(NnPrH)−CH−CH−SiH、RN(Si(NiPrH)−CH−CH−SiH、RN(Si(NBuH)−CH−CH−SiH、RN(Si(NiBuH)−CH−CH−SiH、RN(Si(NtBuH)−CH−CH−SiH、RN(Si(NAmH)−CH−CH−SiH、RN(Si(ピリジン)−CH−CH−SiH、RN(Si(ピロール)−CH−CH−SiH、RN(Si(ピロリジン)−CH−CH−SiH、及びRN(Si(イミダゾール)−CH−CH−SiHが挙げられる。
mが2であり、R、R、及びR=Hであり、且つR=H、C2u+1、又はSivH2v−1(ここで、u=1〜6及びv=1〜4である)である式(IV)で示される例示的なカルボシラザン前駆体としては、限定するものではないが、RN(SiH(CH=CH)−CH−CH−SiH(CH=CH))、RN(SiH(CH=CH−CH)−CH−CH−SiH(CH=CH−CH))、RN(SiH(NH)−CH−CH−SiH(NH))、RN(SiH(NMe)−CH−CH−SiH(NMe))、RN(SiH(NMeEt)−CH−CH−SiH(NMeEt))、RN(SiH(NEt)−CH−CH−SiH(NEt))、RN(SiH(NnPr)−CH−CH−SiH(NnPr))、RN(SiH(NiPr)−CH−CH−SiH(NiPr))、RN(SiH(NBu)−CH−CH−SiH(NBu))、RN(SiH(NiBu)−CH−CH−SiH(NiBu))、RN(SiH(NtBu)−CH−CH−SiH(NtBu))、RN(SiH(NAm)−CH−CH−SiH(NAm))、RN(SiH(NCyペンチル)−CH−CH−SiH(NCyペンチル))、RN(SiH(Nヘキシル)−CH−CH−SiH(Nヘキシル))、RN(SiH(NCyヘキシル)−CH−CH−SiH(NCyヘキシル))、RN(SiH(NMeH)−CH−CH−SiH(NMeH))、RN(SiH(NEtH)−CH−CH−SiH(NEtH))、RN(SiH(NnPrH)−CH−CH−SiH(NnPrH))、RN(SiH(NiPrH)−CH−CH−SiH(NiPrH))、RN(SiH(NBuH)−CH−CH−SiH(NBuH))、RN(SiH(NiBuH)−CH−CH−SiH(NiBuH))、RN(SiH(NtBuH)−CH−CH−SiH(NtBuH))、RN(SiH(NAmH)−CH−CH−SiH(NAmH))、RN(SiH(ピリジン)−CH−CH−SiH(ピリジン))、RN(SiH(ピロール)−CH−CH−SiH(ピロール))、RN(SiH(ピロリジン)−CH−CH−SiH(ピロリジン))、及びRN(SiH(イミダゾール)−CH−CH−SiH(イミダゾール))が挙げられる。
mが2であり、R、R、及びR=Hであり、且つR=H、C2u+1、又はSivH2v−1(ここで、u=1〜6及びv=1〜4である)である式(IV)で示される例示的なカルボシラザン前駆体としては、限定するものではないが、RN(SiH−CH−CH−SiH(CH=CH)、RN(SiH−CH−CH−SiH(CH=CH−CH、RN(SiH−CH−CH−SiH(NH、RN(SiH−CH−CH−SiH(NMe、RN(SiH−CH−CH−SiH(NMeEt)、RN(SiH−CH−CH−SiH(NEt、RN(SiH−CH−CH−SiH(NnPr、RN(SiH−CH−CH−SiH(NiPr、RN(SiH−CH−CH−SiH(NBu、RN(SiH−CH−CH−SiH(NiBu、RN(SiH−CH−CH−SiH(NtBu、RN(SiH−CH−CH−SiH(NAm、RN(SiH−CH−CH−SiH(NCyペンチル、RN(SiH−CH−CH−SiH(Nヘキシル、RN(SiH−CH−CH−SiH(NCyヘキシル、RN(SiH−CH−CH−SiH(NMeH)、RN(SiH−CH−CH−SiH(NEtH)、RN(SiH−CH−CH−SiH(NnPrH)、RN(SiH−CH−CH−SiH(NiPrH)、RN(SiH−CH−CH−SiH(NBuH)、RN(SiH−CH−CH−SiH(NiBuH)、RN(SiH−CH−CH−SiH(NtBuH)、RN(SiH−CH−CH−SiH(NAmH)、RN(SiH−CH−CH−SiH(ピリジン)、RN(SiH−CH−CH−SiH(ピロール)、RN(SiH−CH−CH−SiH(ピロリジン)、及びRN(SiH−CH−CH−SiH(イミダゾール)が挙げられる。これらの前駆体は、少なくとも一部には、SiH結合に関して上で述べた利益のために蒸着用途又はコーティング用途に好適である。末端アミノ配位子は、得られる膜に追加的なN源及び/又はC源だけでなく、上述した通り改善された熱安定性も付与し得る。最後に、低い分子量及び高い蒸気圧を有する列挙した前駆体は蒸着法により適している一方、高い分子量を有するものはコーティング法により適している。
mが2であり、R及びR=Hであり、且つR=H、C2u+1、又はSivH2v−1(ここで、u=1〜6及びv=1〜4である)である式(IV)で示される例示的なカルボシラザン前駆体としては、限定するものではないが、RN(SiH−CH−CH−Si(CH=CH)、RN(SiH−CH−CH−Si(CH=CH−CH、RN(SiH−CH−CH−Si(NH、RN(SiH−CH−CH−Si(NMe、RN(SiH−CH−CH−Si(NMeEt)、RN(SiH−CH−CH−Si(NEt、RN(SiH−CH−CH−Si(NnPr、RN(SiH−CH−CH−Si(NiPr、RN(SiH−CH−CH−Si(NBu、RN(SiH−CH−CH−Si(NiBu、RN(SiH−CH−CH−Si(NtBu、RN(SiH−CH−CH−Si(NAm、RN(SiH−CH−CH−Si(NCyペンチル、RN(SiH−CH−CH−Si(Nヘキシル、RN(SiH−CH−CH−Si(NCyヘキシル、RN(SiH−CH−CH−Si(NMeH)、RN(SiH−CH−CH−Si(NEtH)、RN(SiH−CH−CH−Si(NnPrH)、RN(SiH−CH−CH−Si(NiPrH)、RN(SiH−CH−CH−Si(NBuH)、RN(SiH−CH−CH−Si(NiBuH)、RN(SiH−CH−CH−Si(NtBuH)、RN(SiH−CH−CH−Si(NAmH)、RN(SiH−CH−CH−Si(ピリジン)、RN(SiH−CH−CH−Si(ピロール)、RN(SiH−CH−CH−Si(ピロリジン)、及びRN(SiH−CH−CH−Si(イミダゾール)が挙げられる。これらの前駆体は、少なくとも一部には、SiH結合に関して上で述べた利益のために蒸着用途又はコーティング用途に好適である。末端アミノ配位子は、得られる膜に追加的なN源及び/又はC源だけでなく、上述した通り改善された熱安定性も付与し得る。最後に、低い分子量及び高い蒸気圧を有する列挙した前駆体は蒸着法により適している一方、高い分子量を有するものはコーティング法により適している。
式(II)に戻って、t=1であり、且つR、R、R、R、及びR=Hである場合、示される本開示のポリカルボシラザン前駆体は、式[−NH−SiH−CH−SiH−](すなわち[−NH−DSP−])を有する単位を含有する。[−NH−DSP−]は、多くのSi−H結合を含んでおり、そのため、これは基板表面に対してより反応性である。その結果、この前駆体は、スピンオン堆積法に適している場合がある。本出願人らは、この前駆体が、CVD又はALD法においてSi−Cl若しくはSi−OH末端又は更にはSi末端の基板表面に結合するための更に十分な反応性を有し得ると考えている。
t=1であり、R、R、R、及びR=Hであり、且つR=Si2x+1(ここで、x=1〜4である)である場合、本開示の前駆体は、式[−N(SiH)−SiH−CH−SiH−]、[−N(Si)−SiH−CH−SiH−]、[−N(Si)−SiH−CH−SiH−]、[−N(Si)−SiH−CH−SiH−]を有する単位を含有する。シリル配位子の選択は、所望のケイ素含有率を有する膜を得るのに役立ち得る。すなわち、Si配位子は、SiH配位子によって生成するよりも多くのSiを有する膜を生成し得る。
t=1であり、R、R、R、及びR=Hであり、且つR=SiH(C2y+13−z(ここで、y=1〜6、z=0〜2である)である場合、本開示の前駆体は、限定するものではないが、[−N(Si(Me))−SiH−CH−SiH−]、[−N(Si(Et))−SiH−CH−SiH−]、[−N(Si(iPr))−SiH−CH−SiH−]、[−N(Si(nPr))−SiH−CH−SiH−]、[−N(Si(Bu))−SiH−CH−SiH−]、[−N(Si(iBu))−SiH−CH−SiH−]、[−N(Si(tBu))−SiH−CH−SiH−]、[−N(Si(アミル))−SiH−CH−SiH−]、[−N(Si(ヘキシル))−SiH−CH−SiH−]、[−Nx(SiH(Me))−SiH−CH−SiH−]、[−N(SiH(Et))−SiH−CH−SiH−]、[−N(SiH(iPr))−SiH−CH−SiH−]、[−N(SiH(nPr))−SiH−CH−SiH−]、[−N(SiH(Bu))−SiH−CH−SiH−]、[−N(SiH(iBu))−SiH−CH−SiH−]、[−N(SiH(tBu))−SiH−CH−SiH−]、[−N(SiH(アミル))−SiH−CH−SiH−]、[−N(SiH(ヘキシル))−SiH−CH−SiH−]、[−N(SiH(Me))−SiH−CH−SiH−]、[−N(SiH(Et))−SiH−CH−SiH−]、[−N(SiH(iPr))−SiH−CH−SiH−]、[−N(SiH(nPr))−SiH−CH−SiH−]、[−N(SiH(Bu))−SiH−CH−SiH−]、[−N(SiH(iBu))−SiH−CH−SiH−]、[−N(SiH(tBu))−SiH−CH−SiH−]、[−N(SiH(アミル))−SiH−CH−SiH−]、及び[−N(SiH(ヘキシル))−SiH−CH−SiH−]などの式を有する単位を含有する。
t=1であり、R、R、R、及びR=Hであり、且つR=R1’2’3’Si(CHSiR4’5’基(ここで、b=1〜2であり、且つR1’、R2’、R3’、R4’、及びR5’は、独立して、H又はC−C炭化水素基である)である場合、本開示の前駆体は、限定するものではないが、[−N(SiH−CH−SiH)−SiH−CH−SiH−]、[−N(SiH−CH−CH−SiH)−SiH−CH−SiH−]、[−N(SiMe−CH−SiMe)−SiH−CH−SiH−]、[−N(SiMe−CH−CH−SiMe)−SiH−CH−SiH−]、[−N(SiEt−CH−SiEt)−SiH−CH−SiH−]、及び[−N(SiEt−CH−CH−SiEt)−SiH−CH−SiH−]などの式を有する単位を含有する。
t=1であり、R、R、R、及びR=Hであり、且つR=C2y+1(ここで、y=1〜6である)である場合、本開示の前駆体は、限定するものではないが、[−N(Me)−SiH−CH−SiH−]、[−N(Et)−SiH−CH−SiH−]、[−N(iPr)−SiH−CH−SiH−]、[−N(nPr)−SiH−CH−SiH−]、[−N(Bu)−SiH−CH−SiH−]、[−N(iBu)−SiH−CH−SiH−]、[−N(tBu)−SiH−CH−SiH−]、[−N(アミル)−SiH−CH−SiH−]、及び[−N(ヘキシル)−SiH−CH−SiH−]などの式を有する単位を含有する。Si−C結合(Si−Rについて)は、反応性が高くなく、蒸着プロセス中に残存しやすいことから、この群の化合物は、SiOC又はSiNCなどの炭素成分を有する膜の堆積のために有用な場合がある。その結果、多すぎるCの堆積を防止するために、yは、好ましくは、1〜3である。RNHR反応物は、Et、Pr、Bu、ペンチル、及びヘキシルに関して液体であることから、これらの前駆体は、[−NH−DSP−]類似体よりも合成が容易でもある。
t=1であり、R、R、R、及びR=Hであり、且つR=R1’2’3’Si(CHSiR4’5’(ここで、b=1〜2であり、且つR’、R2’、R3’、R4’、及びR5’=Hである)である場合、本開示のポリカルボシラザン前駆体は、限定するものではないが、[−N(−SiH−CH−SiH)−SiH−CH−SiH−](すなわち[−N(DSP)−DSP−])又は[−N(−SiH−CH−CH−SiH)−SiH−CH−SiH−](すなわち[−N(DSB)−DSP−])などの式を有する単位を含有する。
t=1であり、R、R、R、及びR=Hであり、且つR=SiH(NR’R’’)3−x(ここで、x=1又は2であり、且つR’及びR’’は、独立して、Me、Et、iPr、nPrである)である場合、本開示のカルボシラザン前駆体は、限定するものではないが、[−N(SiHNMe)−SiH−CH−SiH−]、[−N(SiHNEt)−SiH−CH−SiH−]、[−N(SiHNiPr)−SiH−CH−SiH−]、[−N(SiHNnPr)−SiH−CH−SiH−]、[−N(SiHNMeEt)−SiH−CH−SiH−]、[−N(SiH(NMe)−SiH−CH−SiH−]、及び[−N(SiH(NEt)−SiH−CH−SiH−]などの式を有する単位を含有する。
t=1であり、且つR、R、R、及びR=Hである式(II)で示される例示的なポリカルボシラザン前駆体は、限定するものではないが、[−NH−HSi−CH−SiH(CH=CH)−]、[−NH−HSi−CH−SiH(CH−CH=CH)−]、[−NH−HSi−CH−SiH(NH)−]、[−NH−HSi−CH−SiH(NMe)−]、[−NH−HSi−CH−SiH(NMeEt)−]、[−NH−HSi−CH−SiH(NEt)−]、[−NH−HSi−CH−SiH(NnPr)−]、[−NH−HSi−CH−SiH(NiPr)−]、[−NH−HSi−CH−SiH(NBu)−]、[−NH−HSi−CH−SiH(NiBu)−]、[−NH−HSi−CH−SiH(NtBu)−]、[−NH−HSi−CH−SiH(NAm)−]、[−NH−HSi−CH−SiH(NCyペンチル)−]、[−NH−HSi−CH−SiH(Nヘキシル)−]、[−NH−HSi−CH−SiH(NCyヘキシル)−]、[−NH−HSi−CH−SiH(NMeH)−]、[−NH−HSi−CH−SiH(NEtH)−]、[−NH−HSi−CH−SiH(NnPrH)−]、[−NH−HSi−CH−SiH(NiPrH)−]、[−NH−HSi−CH−SiH(NBuH)−]、[−NH−HSi−CH−SiH(NiBuH)−]、[−NH−HSi−CH−SiH(NtBuH)−]、[−NH−HSi−CH−SiH(NAmH)−]、[−NH−HSi−CH−SiH(ピリジン)−]、[−NH−HSi−CH−SiH(ピロール)−]、[−NH−HSi−CH−SiH(ピロリジン)−]、及び[−NH−HSi−CH−SiH(イミダゾール)−]などの式を有する単位を含有する。これらの前駆体は、少なくとも一部には、SiH結合に関して上で述べた利益のためにスピンコーティング用途に好適である。アミノ配位子は、得られる膜に追加的なN源及び/又はC源だけでなく、上述した通り改善された熱安定性も付与し得る。
t=1であり、且つR、R、及びR=Hである式(II)で示される例示的なポリカルボシラザン前駆体は、限定するものではないが、[−NH−HSi−CH−Si(CH=CH−]、[−NH−HSi−CH−Si(CH−CH=CH−]、[−NH−HSi−CH−Si(NH−]、[−NH−HSi−CH−Si(NMe−]、[−NH−HSi−CH−Si(NMeEt)−]、[−NH−HSi−CH−Si(NEt−]、[−NH−HSi−CH−Si(NnPr−]、[−NH−HSi−CH−Si(NiPr−]、[−NH−HSi−CH−Si(NBu−]、[−NH−HSi−CH−Si(NiBu−]、[−NH−HSi−CH−Si(NtBu−]、[−NH−HSi−CH−Si(NAm−]、[−NH−HSi−CH−Si(NCyペンチル−]、[−NH−HSi−CH−Si(Nヘキシル−]、[−NH−HSi−CH−Si(NCyヘキシル−]、[−NH−HSi−CH−Si(NMeH)−]、[−NH−HSi−CH−Si(NEtH)−]、[−NH−HSi−CH−Si(NnPrH)−]、[−NH−HSi−CH−Si(NiPrH)−]、[−NH−HSi−CH−Si(NBuH)−]、[−NH−HSi−CH−Si(NiBuH)−]、[−NH−HSi−CH−Si(NtBuH)−]、[−NH−HSi−CH−Si(NAmH)−]、[−NH−HSi−CH−Si(ピリジン)−]、[−NH−HSi−CH−Si(ピロール)−]、[−NH−HSi−CH−Si(ピロリジン)−]、及び[−NH−HSi−CH−Si(イミダゾール)−]などの式を有する単位を含有する。これらの前駆体は、少なくとも一部には、SiH結合に関して上で述べた利益のためにスピンコーティング用途に好適である。末端アミノ配位子は、得られる膜に追加的なN源及び/又はC源だけでなく、上述した通り改善された熱安定性も付与し得る。
t=1であり、且つR、R、及びR=Hである式(II)で示される例示的なポリカルボシラザン前駆体は、限定するものではないが、[−NH−SiH(CH=CH)−CH−SiH(CH=CH)−]、[−NH−SiH(CH−CH=CH)−CH−SiH(CH−CH=CH)−]、[−NH−SiH(NH)−CH−SiH(NH)−]、[−NH−SiH(NMe)−CH−SiH(NMe)−]、[−NH−SiH(NMeEt)−CH−SiH(NMeEt)−]、[−NH−SiH(NEt)−CH−SiH(NEt)−]、[−NH−SiH(NnPr)−CH−SiH(NnPr)−]、[−NH−SiH(NiPr)−CH−SiH(NiPr)−]、[−NH−SiH(NBu)−CH−SiH(NBu)−]、[−NH−SiH(NiBu)−CH−SiH(NiBu)−]、[−NH−SiH(NtBu)−CH−SiH(NtBu)−]、[−NH−SiH(NAm)−CH−SiH(NAm)−]、[−NH−SiH(NCyペンチル)−CH−SiH(NCyペンチル)−]、[−NH−SiH(Nヘキシル)−CH−SiH(Nヘキシル)−]、[−NH−SiH(NCyヘキシル)−CH−SiH(NCyヘキシル)−]、[−NH−SiH(NMeH)−CH−SiH(NMeH)−]、[−NH−SiH(NEtH)−CH−SiH(NEtH)−]、[−NH−SiH(NnPrH)−CH−SiH(NnPrH)−]、[−NH−SiH(NiPrH)−CH−SiH(NiPrH)−]、[−NH−SiH(NBuH)−CH−SiH(NBuH)−]、[−NH−SiH(NiBuH)−CH−SiH(NiBuH)−]、[−NH−SiH(NtBuH)−CH−SiH(NtBuH)−]、[−NH−SiH(NAmH)−CH−SiH(NAmH)−]、[−NH−SiH(ピリジン)−CH−SiH(ピリジン)−]、[−NH−SiH(ピロール)−CH−SiH(ピロール)−]、[−NH−SiH(ピロリジン)−CH−SiH(ピロリジン)−]、及び[−NH−SiH(イミダゾール)−CH−SiH(イミダゾール)−]などの式を有する単位を含有する。これらの前駆体は、少なくとも一部には、SiH結合に関して上で述べた利益のためにスピンコーティング用途に好適である。末端アミノ配位子は、得られる膜に追加的なN源及び/又はC源だけでなく、上述した通り改善された熱安定性も付与し得る。
t=2であり、且つR、R、R、R、及びR=Hである場合、本開示のポリカルボシラザン前駆体は、式[−NH−SiH−CH−CH−SiH−](すなわち[−NH−DSB−])を有する単位を含有する。[−NH−DSB−]は、多くのSi−H結合を含んでおり、そのため、これは基板表面に対してより反応性である。その結果、この前駆体は、スピンオン堆積法に適している場合がある。本出願人らは、この前駆体が、Si−Cl末端又は更にはSi末端の基板表面に結合するための更に十分な反応性を有し得ると考えている。
t=2であり、R、R、R、及びR=Hであり、且つR=Si2x+1(ここで、x=1〜4である)である場合、本開示のポリカルボシラザン前駆体は、式[−N(SiH)−SiH−CH−CH−SiH−]、[−N(Si)−SiH−CH−CH−SiH−]、[−N(Si)−SiH−CH−CH−SiH−]、及び/又は[−N(Si)−SiH−CH−CH−SiH−]を有する単位を含有する。シリル配位子の選択は、所望のケイ素含有率を有する膜を得るのに役立ち得る。すなわち、Si配位子は、SiH配位子によって生成するよりも多くのSiを有する膜を生成し得る。
t=2であり、R、R、R、R、及びR=Hであり、且つR=SiH(C2y+13−z(ここで、y=1〜6及びz=0〜2である)である場合、本開示のカルボシラザン前駆体は、限定するものではないが、[−N(Si(Me))−SiH−CH−CH−SiH−]、[−N(Si(Et))−SiH−CH−CH−SiH−]、[−N(Si(iPr))−SiH−CH−CH−SiH−]、[−N(Si(nPr))−SiH−CH−CH−SiH−]、[−N(Si(Bu))−SiH−CH−CH−SiH−]、[−N(Si(iBu))−SiH−CH−CH−SiH−]、[−N(Si(tBu))−SiH−CH−CH−SiH−]、[−N(Si(アミル))−SiH−CH−CH−SiH−]、[−N(Si(ヘキシル))−SiH−CH−CH−SiH−]、[−Nx(SiH(Me))−SiH−CH−CH−SiH−]、[−N(SiH(Et))−SiH−CH−CH−SiH−]、[−N(SiH(iPr))−SiH−CH−CH−SiH−]、[−N(SiH(nPr))−SiH−CH−CH−SiH−]、[−N(SiH(Bu))−SiH−CH−CH−SiH−]、[−N(SiH(iBu))−SiH−CH−CH−SiH−]、[−N(SiH(tBu))−SiH−CH−CH−SiH−]、[−N(SiH(アミル))−SiH−CH−CH−SiH−]、[−N(SiH(ヘキシル))−SiH−CH−CH−SiH−]、[−N(SiH(Me))−SiH−CH−CH−SiH−]、[−N(SiH(Et))−SiH−CH−CH−SiH−]、[−N(SiH(iPr))−SiH−CH−CH−SiH−]、[−N(SiH(nPr))−SiH−CH−CH−SiH−]、[−N(SiH(Bu))−SiH−CH−CH−SiH−]、[−N(SiH(iBu))−SiH−CHCH−SiH−]、[−N(SiH(tBu))−SiH−CH−CH−SiH−]、及び[−N(SiH(アミル))−SiH−CH−CH−SiH−]、及び[−N(SiH(ヘキシル))−SiH−CH−CH−SiH−]などの式を有する単位を含有する。
t=2であり、R=C2y+1(y=1〜6)であり、且つR、R、R、及びR=Hである場合、本開示のポリカルボシラザン前駆体は、限定するものではないが、[−N(Me)−SiH−CH−CH−SiH−]、[−N(Et)−SiH−CH−CH−SiH−]、[−N(iPr)−SiH−CH−CH−SiH−]、[−N(nPr)−SiH−CH−CH−SiH−]、[−N(Bu)−SiH−CH−CH−SiH−]、[−N(iBu)−SiH−CH−CH−SiH−]、[−N(tBu)−SiH−CH−CH−SiH−]、[−N(アミル)−SiH−CH−CH−SiH−]、及び[−N(ヘキシル)−SiH−CH−CH−SiH−]などの式を有する単位を含有する。Si−C結合(Si−Rについて)は、反応性が高くなく、堆積工程中に残存しやすいことから、この群の化合物は、SiOC又はSiNCなどの炭素成分を有する膜の堆積のために有用な場合がある。その結果、多すぎるCの堆積を防止するために、yは、好ましくは、1〜3である。RNHR反応物は、Et、Pr、Bu、ペンチル、及びヘキシルに関して液体であることから、これらの前駆体は、[−NH−DSB−]類似体よりも合成が容易でもある。
t=2であり、R、R、R、及びR=Hであり、且つR=R1’2’3’Si(CHSiR4’5’基(ここで、b=1〜2であり、且つR1’、R2’、R3’、R4’、及びR5’は、独立して、H又はC−C炭化水素基である)である場合、本開示のポリカルボシラザン前駆体は、限定するものではないが、[−N(SiH−CH−SiH)−SiH−CH−CH−SiH−]、[−N(SiH−CH−CH−SiH)−SiH−CH−CH−SiH−]、[−N(SiMe−CH−SiMe)−SiH−CH−CH−SiH−]、[−N(SiMe−CH−CH−SiMe)−SiH−CH−CH−SiH−]、[−N(SiEt−CH−SiEt)−SiH−CH−CH−SiH−]、及び[−N(SiEt−CH−CH−SiEt)−SiH−CH−CH−SiH−]などの式を有する単位を含有する。
t=2であり、R、R、R、及びR=Hであり、且つR=R1’2’3’Si(CHSiR4’5’(ここで、b=1〜2であり、且つR’、R2’、R3’、R4’、及びR5’=Hである)である場合、本開示のポリカルボシラザン前駆体は、式[−N(−SiH−CH−SiH)−SiH−CH−CH−SiH−](すなわち[−N(DSP)−DSB−])又は[−N(−SiH−CH−CH−SiH)−SiH−CH−CH−SiH−](すなわち[−N(DSB)−DSB−])を有する単位を含有する。[−N(DSP)−DSB−]及び[−N(DSB)−DSB−]は、多くのSi−H結合を含んでおり、そのため、これは基板表面に対してより反応性である。その結果、この前駆体は、スピンオン堆積法に適している場合がある。本出願人らは、この前駆体が、Si−Cl末端又は更にはSi末端の基板表面に結合するための更に十分な反応性を有し得ると考えている。
t=2であり、R、R、R、及びR=Hであり、且つR=SiH(NR’R’’)3−x(ここで、x=1又は2であり、且つR’及びR’’は、独立して、Me、Et、iPr、nPrである)である場合、本開示のカルボシラザン前駆体は、限定するものではないが、[−N(SiHNMe)−SiH−CH−CH−SiH−]、[−N(SiHNEt)−SiH−CH−CH−SiH−]、[−N(SiHNiPr)−SiH−CH−CH−SiH−]、[−N(SiHNnPr)−SiH−CH−CH−SiH−]、[−N(SiHNMeEt)−SiH−CH−CH−SiH−]、[−N(SiH(NMe)−SiH−CH−CH−SiH−]、及び[−N(SiH(NEt)−SiH−CH−CH−SiH−]などの式を有する単位を含有する。
t=2であり、且つR、R、R、及びR=Hである式(II)で示される例示的なポリカルボシラザン前駆体は、限定するものではないが、[−NH−HSi−CH−CH−SiH(CH=CH)−]、[−NH−HSi−CH−CH−SiH(CH−CH=CH)−]、[−NH−HSi−CH−CH−SiH(NH)−]、[−NH−HSi−CH−CH−SiH(NMe)−]、[−NH−HSi−CH−CH−SiH(NMeEt)−]、[−NH−HSi−CH−CH−SiH(NEt)−]、[−NH−HSi−CH−CH−SiH(NnPr)−]、[−NH−HSi−CH−CH−SiH(NiPr)−]、[−NH−HSi−CH−CH−SiH(NBu)−]、[−NH−HSi−CH−CH−SiH(NiBu)−]、[−NH−HSi−CH−CH−SiH(NtBu)−]、[−NH−HSi−CH−CH−SiH(NAm)−]、[−NH−HSi−CH−CH−SiH(NCyペンチル)−]、[−NH−HSi−CH−CH−SiH(Nヘキシル)−]、[−NH−HSi−CH−CH−SiH(NCyヘキシル)−]、[−NH−HSi−CH−CH−SiH(NMeH)−]、[−NH−HSi−CH−CH−SiH(NEtH)−]、[−NH−HSi−CH−CH−SiH(NnPrH)−]、[−NH−HSi−CH−CH−SiH(NiPrH)−]、[−NH−HSi−CH−CH−SiH(NBuH)−]、[−NH−HSi−CH−CH−SiH(NiBuH)−]、[−NH−HSi−CH−CH−SiH(NtBuH)−]、[−NH−HSi−CH−CH−SiH(NAmH)−]、[−NH−HSi−CH−CH−SiH(ピリジン)−]、[−NH−HSi−CH−CH−SiH(ピロール)−]、[−NH−HSi−CH−CH−SiH(ピロリジン)−]、及び[−NH−HSi−CH−CH−SiH(イミダゾール)−]などの式を有する単位を含有する。これらの前駆体は、少なくとも一部には、SiH結合に関して上で述べた利益のためにスピンコーティング用途に好適である。アミノ配位子は、得られる膜に追加的なN源及び/又はC源だけでなく、上述した通り改善された熱安定性も付与し得る。
t=2であり、且つR、R、及びR=Hである式(II)で示される例示的なポリカルボシラザン前駆体は、限定するものではないが、[−NH−HSi−CH−CH−Si(CH=CH−]、[−NH−HSi−CH−CH−Si(CH−CH=CH−]、[−NH−HSi−CH−CH−Si(NH−]、[−NH−HSi−CH−CH−Si(NMe−]、[−NH−HSi−CH−CH−Si(NMeEt)−]、[−NH−HSi−CH−CH−Si(NEt−]、[−NH−HSi−CH−CH−Si(NnPr−]、[−NH−HSi−CH−CH−Si(NiPr−]、[−NH−HSi−CH−CH−Si(NBu−]、[−NH−HSi−CH−CH−Si(NiBu−]、[−NH−HSi−CH−CH−Si(NtBu−]、[−NH−HSi−CH−CH−Si(NAm−]、[−NH−HSi−CH−CH−Si(NCyペンチル−]、[−NH−HSi−CH−CH−Si(Nヘキシル−]、[−NH−HSi−CH−CH−Si(NCyヘキシル−]、[−NH−HSi−CH−CH−Si(NMeH)−]、[−NH−HSi−CH−CH−Si(NEtH)−]、[−NH−HSi−CH−CH−Si(NnPrH)−]、[−NH−HSi−CH−CH−Si(NiPrH)−]、[−NH−HSi−CH−CH−Si(NBuH)−]、[−NH−HSi−CH−CH−Si(NiBuH)−]、[−NH−HSi−CH−CH−Si(NtBuH)−]、[−NH−HSi−CH−CH−Si(NAmH)−]、[−NH−HSi−CH−CH−Si(ピリジン)−]、[−NH−HSi−CH−CH−Si(ピロール)−]、[−NH−HSi−CH−CH−Si(ピロリジン)−]、及び[−NH−HSi−CH−CH−Si(イミダゾール)−]などの式を有する単位を含有する。これらの前駆体は、少なくとも一部には、SiH結合に関して上で述べた利益のためにスピンコーティング用途に好適である。アミノ配位子は、得られる膜に追加的なN源及び/又はC源だけでなく、上述した通り改善された熱安定性も付与し得る。
t=2であり、且つR、R、及びR=Hである式(II)で示される例示的なポリカルボシラザン前駆体は、限定するものではないが、[−NH−SiH(CH=CH)−CH−CH−SiH(CH=CH)−]、[−NH−SiH(CH−CH=CH)−CH−CH−SiH(CH−CH=CH)−]、[−NH−SiH(NH)−CH−CH−SiH(NH)−]、[−NH−SiH(NMe)−CH−CH−SiH(NMe)−]、[−NH−SiH(NMeEt)−CH−CH−SiH(NMeEt)−]、[−NH−SiH(NEt)−CH−CH−SiH(NEt)−]、[−NH−SiH(NnPr)−CH−CH−SiH(NnPr)−]、[−NH−SiH(NiPr)−CH−CH−SiH(NiPr)−]、[−NH−SiH(NBu)−CH−CH−SiH(NBu)−]、[−NH−SiH(NiBu)−CH−CH−SiH(NiBu)−]、[−NH−SiH(NtBu)−CH−CH−SiH(NtBu)−]、[−NH−SiH(NAm)−CH−CH−SiH(NAm)−]、[−NH−SiH(NCyペンチル)−CH−CH−SiH(NCyペンチル)−]、[−NH−SiH(Nヘキシル)−CH−CH−SiH(Nヘキシル)−]、[−NH−SiH(NCyヘキシル)−CH−CH−SiH(NCyヘキシル)−]、[−NH−SiH(NMeH)−CH−CH−SiH(NMeH)−]、[−NH−SiH(NEtH)−CH−CH−SiH(NEtH)−]、[−NH−SiH(NnPrH)−CH−CH−SiH(NnPrH)−]、[−NH−SiH(NiPrH)−CH−CH−SiH(NiPrH)−]、[−NH−SiH(NBuH)−CH−CH−SiH(NBuH)−]、[−NH−SiH(NiBuH)−CH−CH−SiH(NiBuH)−]、[−NH−SiH(NtBuH)−CH−CH−SiH(NtBuH)−]、[−NH−SiH(NAmH)−CH−CH−SiH(NAmH)−]、[−NH−SiH(ピリジン)−CH−CH−SiH(ピリジン)−]、[−NH−SiH(ピロール)−CH−CH−SiH(ピロール)−]、[−NH−SiH(ピロリジン)−CH−CH−SiH(ピロリジン)−]、及び[−NH−SiH(イミダゾール)−CH−CH−SiH(イミダゾール)−]などの式を有する単位を含有する。これらの前駆体は、少なくとも一部には、SiH結合に関して上で述べた利益のためにスピンコーティング用途に好適である。アミノ配位子は、得られる膜に追加的なN源及び/又はC源だけでなく、上述した通り改善された熱安定性も付与し得る。
ある例示的な合成方法では、本開示の前駆体は、ハロゲンを含有する反応物を使用して合成され得る。第2の例示的な合成方法では、ハロゲン含有反応物は必要とされない。本開示の合成方法は、共に高収率を与え得る。本開示の合成方法は、従来の合成方法よりも選択的である場合がある(すなわち、先行技術の方法よりも多くの望ましい前駆体を生成し得る)。ハロゲンフリーの合成方法は、ハライドの影響を受けやすい基板と共に使用される前駆体を製造するために有用な場合がある。
本出願人らは、特定の溶媒の極性の選択が、望ましくない副生成物の合成の低減に役立つことを発見した。例えば、非極性溶媒中では、副生成物であるN(RSi(CHSiRを最小限にのみ生成して、RN(RSi(CHSiRを選択的に製造することができる。逆に、極性溶媒中では、副生成物であるRN(RSi(CHSiRを最小限にのみ生成して、N(RSi(CHSiRを含む化合物を選択的に製造することができる。
本開示の合成方法は、大量の生成物を製造するためにスケールアップすることができる。例えば、約1kg〜約100kgまでスケールアップされる。
例示的なハロゲンフリーの合成ルートでは、式HSi(CH)SiHを有する出発試薬(すなわちDSP)が、遷移金属を主体とする不均一系触媒又は均一系触媒の存在下において圧力反応器内でアンモニアと反応する。反応は無希釈であるか又は溶媒を利用し得る。例示的な触媒としては、限定するものではないが、Ru、Pt、Pdが挙げられる。溶媒を使用する場合、溶媒は、特に炭化水素、アミン、エーテルの中から選択することができる。この反応は、二置換及び三置換の生成物(例えば、HNDSP2及びNDSP3)、又はN−DSPの直鎖若しくは分岐のオリゴマー(すなわち、式[−NR−DSP−](Rは、上で定義した通りである)を有する単位を含有する前駆体)の混合物を生成し得る。反応パラメーターは、所望の前駆体を製造するために最適化することができる。例示的な反応パラメーターとしては、反応温度、化学量論比、及び反応時間が挙げられる。
上のDSP出発試薬をDSB出発試薬に置き換えると、HNDSB2又はNDSB3が得られる。反応式は次の通りである。HSi−CH−CH−SiH+NH→HNDSB2;HSi−CH−CH−SiH+NH→NDSB3
N−DSB含有オリゴマー[−NH−DSB−]及び[−N(DSB)−DSB−](n=2〜400)は、遷移金属を主体とする不均一系触媒(限定するものではないが、Ru、Pt、Pd等)及び遷移金属を主体とする均一系触媒の存在下において圧力反応器内のDSPをDSBで置き換え、混合物を20〜150℃で加熱することにより、ハロゲンフリーの経路で合成することができる。HSi−CH−CH−SiH+NH→HN(DSB)→[−NH−DSB−];HSi−CH−CH−SiH+NH→N(DSB)→[−N(DSB)−DSB−]
出発試薬であるDSP又はDSBは、LiAlH(LAH)を、ジグリム(HCOCOCOCH)中でSiClCHSiClと、又はジ−n−ブチルエーテル(HOC)中でSiClCHCHSiClと反応させることにより合成することができる。3LiAlH+2SiClCHSiCl→2DSP+3LiAlCl、又は3LiAlH+2SiClCHCHSiCl→2DSB+3LiAlCl
或いは、反応物であるアンモニアを、式R−NH(ここで、Rは、C−Cの直鎖、分岐、飽和、又は不飽和の炭化水素である)を有するアミンで置き換え得る。このハロゲンフリーの反応は、(−DSP−NR−)又は(−DSB−NR−)を生成する。
RN(−SiR−CH−SiRは、遷移金属を主体とする不均一系又は均一系の触媒の存在下において、溶媒あり又はなしでHN(−SiR−CH−SiRをカルボシラン(例えば、HSiC2nSiH)又は対応するRを含む化合物と混合することにより、圧力反応器内で形成することができる。例示的な触媒としては、限定するものではないが、Ru、Pt、Pdが挙げられる。混合物は、20〜150℃の範囲の温度まで加熱される。反応により、RNDSP2、NDSP3、及びN−DSP含有オリゴマーの組み合わせが得られる。純粋なRNDSP2、NDSP3、又はN−DSP含有オリゴマーは、適切な蒸留方法又は単離方法により得ることができる。例えば、(HSi−CH−SiH−)−N−SiH−C2n−SiHは、Ru/C、Pt/C、Pd/Cなどの触媒の存在下においてHN(DSP)をカルボシランHSiC2nSiHと反応させることにより、圧力反応器内で合成することができる。
HN(−SiR−CH−SiRは、式SiR’2x+2(x=1〜4)を有するシランと反応することで[SiR’2x+1]−N(−SiR−CH−SiRを生成し得る。より詳しくは、HNDSP2は、SiHと反応して(SiH)N(DSP)を生成する。脱水素型カップリング反応(ハロゲンフリーのルート)は、遷移金属を主体とする不均一系触媒(Ru、Pt、Pd等)及び遷移金属を主体とする均一系触媒の存在下において圧力反応器中で行われる。合成は、溶媒あり又はなしで行われ得る。混合物は、20〜150℃の温度に加熱される。HN(DSP)+Si2n+2→RN(DSP)、R=Si2n+1;n=1〜4。n=1の場合、(DSP)−N(SiH)−(DSP)も生成し得る。n=2の場合、(DSP)−N(Si)−(DSP)も生成し得る。
別の例では、HN(−SiR−CH−SiRは、式SiH2x+1(x=1〜4)を有するカルボシランと反応して(SiH2x+1)N(−SiR−CH−SiRを生成し得る。より詳しくは、HN(DSP)は、SiHMeと反応して(MeSiH)N(DSP)を生成する。
(DSP)N−(SiH(CHSiH)又は(DSB)N−(SiH(CHSiH)(n=1〜2)は、触媒(Ru/C、Pt/C、Pd/C等)の存在下において、圧力反応器内で脱水素型カップリング(ハロゲンフリーのルート)によりHN(DSP)又はHN(DSB)を(HSiC2nSiH)(n=1〜2)と反応させることによって合成することができ、次の反応式を有する:(DSP)N−H+HSiC2nSiH=(DSP)N−SiH2nSiH+H(n=1〜2)。
或いは、生成物であるRNDSP2又はRNDSB2は、ハロゲン化ルートにより合成され得る。HNDSP2は、溶媒中で対応するハロゲン化アルカン、シラン、又はカルボシランと混合される。好適な溶媒としては、炭化水素又はエーテル系溶媒(ジエチルエーテル、テトラヒドロフラン(THF)、グリム、若しくはアニソール等)が挙げられる。HClがこの反応の副生成物であることから、HCl捕捉剤が必要とされる。例示的なHCl捕捉剤としては任意のアミンが挙げられるが、好ましくは三級アミンである。例えば、(HSi−CH−SiH−)−N−SiH−C2n−SiH(n=1〜2)は、溶媒あり又はなしで、HN(DSP)又はHN(DSB)と、対応するハロゲン化カルボシラン(X−HSiC2nSiH;X=Cl、Br、I、n=1〜2)とを反応させることによって合成することができる。例示的な溶媒としては、炭化水素又は芳香族溶媒(ベンゼン、トルエン等)、三級アミンなどが挙げられる。
HN(−SiR−CH−SiR又はN(−SiR−CH−SiRは、溶媒中でX−N(−SiR−CH−SiRとNHとを混合することによって選択的に合成することができる。トルエンなどの非極性溶媒が使用される場合、HN(−SiR−CH−SiRが生成する。極性溶媒が使用される場合、N(−SiR−CH−SiRが生成する。限定するものではないが、例示的な極性溶媒としては、ジエチルエーテル、THF、グリム、又はアニソールなどのエーテル系溶媒が挙げられる。例えば、NDSP3は、エーテル系溶媒中でDSP−Clとアンモニアとを混合することによって選択的に合成することができる。或いは、HNDSP2は、トルエン中でDSP−Clとアンモニアとを混合することによって選択的に合成することができる。HClがこれらの反応の副生成物であることから、HCl捕捉剤が必要とされる。例示的なHCl捕捉剤としては、限定するものではないが、所望の生成物に応じて、アミン、好ましくは三級アミン又は過剰のアンモニアが挙げられる。
HN(−SiR−CH−SiRは、式R−X(ここで、Xは、Cl、Br、又はIであり、且つR=C2x+2である)を有するハロゲン化アルカンと反応することで(C2x+1)N(−SiR−CH−SiRを生成し得る。例えば、HN(DSP)は、CHClと反応して(Me)N(DSP)を生成する。
(SiHNMe)N(DSP)は、溶媒あり又はなしでHN(DSP)とX−SiHNMeとを反応させることによって合成することができる。溶媒は、炭化水素溶媒、三級アミン等であり得る。
(DSP)N−(SiH(CHSiH)又は(DSB)N−(SiH(CHSiH)は、溶媒あり又はなしでHN(DSP)又はHN(DSB)と対応するハロゲン化カルボシラン(X−HSiC2nSiH;X=Cl、Br、I)とを反応させることによって合成することができる。例示的な非限定的な溶媒としては、炭化水素溶媒、芳香族溶媒(ベンゼン、トルエン等)、三級アミンなどが挙げられる。
RNDSP2又はRNDSB2は、HNDSP2をnBuLi(直鎖又は分岐のアルキルリチウム)と混合することによって合成され得る。HNDSP2上の酸性プロトンは、炭化水素溶媒(限定するものではないが、ペンタン、ヘキサン等)又はエーテル系溶媒(限定するものではないが、ジエチルエーテル、THF、グリム、又はアニソール等)中でのnBuLiとの反応、及びそれに続く式R−X(式中、X=Cl、Br又はIであり、Rは、アルカン、シラン、カルボシラン、フェニル基、又はシリルアミノ基(SiNR’又はSiNR’R’’)であり、R’及びR’’は、それぞれ独立して、H、炭化水素基(C1−C12)である)を有するハロゲン化化合物との混合によって引き抜かれ得る。例えば、HNDSP2は、SiMeXと反応して(SiMe)N(DSP)を生成し;HNDSP2は、CHXと反応して(Me)N(DSP)を生成する。(DSP)N−[CHH(式中、n=1〜6である)は、炭化水素溶媒(ペンタン、ヘキサン等)又はエーテル系溶媒(ジエチルエーテル、THF等)、芳香族溶媒(ベンゼン、トルエン等)中でHNDSP2をnBuLiと反応させ、その後、アルキルハライド(アルキル=C2n+1であり、且つハライド=Cl、Br、Iである)と反応させることによって合成することができ、次の反応式を有する:HNDSP2+R−X→RNDSP2+HX(式中、X=Cl、Br又はIであり、R=C2n+1であり、n=1〜6である)。
或いは、HNDSB2及びNDSB3は、HNDSP2及びNDSP3を製造するための上のハロゲンを含むルートにおいてDSP−ClをDSB−Clで置き換えることによって選択的に合成することもできる。ClSiH−CH−CH−SiH+NH→HN(DSB)+HCl;ClSiH−CH−CH−SiH+NH→NDSB3+HCl。非極性溶媒中では、HNDSB2を選択的に製造することができる。非極性溶媒を極性溶媒で置き換えると、ハロゲンを含むルートでNDSB3を選択的に製造することができる。
当業者であれば、置換されたDSP及びDSB反応物は、HSiR−CH−SiR又はClSiR−CH−SiR及び脱水素型カップリング又はCl交換ルートをそれぞれ使用して合成され得ることを認識するであろう。
工程信頼性を確実にするために、得られるSi含有膜形成用組成物は、使用前に約90重量%〜約100重量%、好ましくは99重量%〜約100重量%の範囲の純度まで連続蒸留、又はバッチ式分別蒸留、又は昇華によって精製され得る。Si含有膜形成用組成物は、次の不純物:望ましくない同類種、溶媒、塩化金属化合物、又は他の反応生成物のいずれかを含み得る。好ましくは、これらの不純物の合計量は0.1重量%未満である。
精製した材料中におけるトルエン、ヘキサン、置換ヘキサン、ペンタン、置換ペンタン、ジエチルエーテル、THF、グリム、ジメトキシエーテル、又はアニソールなどの溶媒のそれぞれの濃度は、約0重量%〜約5重量%、好ましくは0重量%〜約0.1重量%の範囲であり得る。溶媒は、組成物の合成において使用され得る。組成物からの溶媒の分離は、両方が類似した沸点を有すると困難な場合がある。混合物の冷却により、液体溶媒中で固体の前駆体が生じる場合があり、これは濾過によって分離することができる。前駆体生成物が、そのおよその分解点より上まで加熱されないことを条件として、真空蒸留を使用することもできる。
ある実施形態では、本開示のSi含有膜形成用組成物は、5体積%未満、好ましくは1体積%未満、より好ましくは0.1体積%未満、更に好ましくは0.01体積%未満の、その望ましくない同類種、反応物、又は他の反応生成物のいずれかを含む。この実施形態は、より優れた工程再現性を与え得る。この実施形態は、Si含有膜形成用組成物の蒸留によってもたらすことができる。代替の実施形態では、本開示のSi含有膜形成用組成物は、特に混合物が改善されたプロセスパラメーターを与えるか、又は目的前駆体の単離が難しすぎるか若しくは費用がかかりすぎる場合、5体積%〜50体積%のカルボシラザン又はポリカルボシラザン前駆体を含み得る。例えば、反応生成物の混合物は、スピンオン堆積又は蒸着に適した安定な液体混合物を生じさせ得る。
Si含有膜形成用組成物中の微量金属及び半金属の濃度は、それぞれ約0ppbw〜約500ppbw、好ましくは約0ppbw〜約100ppbw、より好ましくは約0ppbw〜約10ppbwの範囲であり得る。当業者であれば、微量の金属及び半金属の濃度を決定するために、フッ化水素酸、硝酸、又は硫酸などの試薬を使用する抽出、及び原子吸光分析、X線蛍光分析、又は同様の分析手法による分析を使用できることを認識するであろう。更に、当業者であれば、蒸着前駆体のために必要とされる濃度は、ポリマー前駆体のためのものよりも低い場合があることも認識するであろう。
精製されたSi含有膜形成用組成物中のハロゲン濃度は、約0ppmw〜約1000ppmw、好ましくは0ppmw〜500ppmw、より好ましくは0ppmw〜100pppmwの範囲であり得る。ハロゲン濃度は、ガスクロマトグラフィー原子発光分光分析(GC−AES)又は当該技術分野で公知の他の手法によって決定することができる。これらの分析手法により、共有結合しているハロゲン−シランのハロゲンとハライドイオンとの両方の合計濃度が得られる。或いは、ハライド濃度は、イオンクロマトグラフィーによって決定され得る。当業者であれば、ハライド濃度は、特に前駆体がSi−ハロゲン結合を含む場合、同じ前駆体についてのハロゲン濃度よりも低い場合があることを認識するであろう。ハライド濃度は、約0ppmw〜約500ppmw、好ましくは約0ppmw〜約250ppmw、より好ましくは約0ppmw〜約75ppmwの範囲であり得る。
蒸着法のために本開示の式I又は式IIの前駆体を使用する方法も開示される。蒸着法のために適切なものとするために、本開示の前駆体は、約150〜約600、好ましくは約200〜400の範囲の分子量を有する必要がある。本開示の方法は、ケイ素含有膜堆積のためのSi含有膜形成用組成物の使用を提供する。本開示の方法は、半導体、太陽電池、LCD−TFT、又はフラットパネル型デバイスの製造において有用な場合がある。方法は、その中に基板が配置されている反応器内に本開示のSi含有膜形成用組成物の蒸気を導入することと、蒸着法により本開示のカルボシラザン又はポリカルボシラザン前駆体の少なくとも一部を基板上に堆積させてSi含有層を形成することとを含む。
本開示の方法は、蒸着法を使用する基板上へのバイメタル含有層の形成、より詳しくはSiMOx膜(式中、xは、0〜4であり得、且つMは、Ta、Hf、Nb、Mg、Al、Sr、Y、Ba、Ca、As、Sb、Bi、Sn、Pb、Co、ランタノイド(Er等)、又はこれらの組み合わせである)の堆積も提供する。
本開示の基板上にケイ素含有層を形成する方法は、半導体、太陽電池、LCD−TFT、又はフラットパネル型デバイスの製造において有用な場合がある。本開示のSi含有膜形成用組成物は、当該技術分野で公知の任意の蒸着法を使用してSi含有膜を堆積させることができる。適切な蒸着法の例としては、化学蒸着(CVD)又は原子層堆積(ALD)が挙げられる。例示的なCVD法としては、熱CVD、プラズマ強化CVD(PECVD)、パルスCVD(PCVD)、低圧CVD(LPCVD)、減圧CVD(SACVD)又は大気圧CVD(APCVD)、流動性CVD(f−CVD)、金属有機化学蒸着(MOCVD)、ホットワイヤーCVD(HWCVD、cat−CVDとしても知られており、ホットワイヤーが堆積プロセスのためのエネルギー源として機能する)、ラジカル組み込みCVD、及びこれらの組み合わせが挙げられる。例示的なALD法としては、熱ALD、プラズマ強化ALD(PEALD)、空間分離ALD、ホットワイヤーALD(HWALD)、ラジカル組み込みALD、及びこれらの組み合わせが挙げられる。超臨界流体堆積も使用することができる。堆積方法は、適切なステップカバレッジ及び膜厚制御を得るために、好ましくはALD、空間的ALD、又はPE−ALDである。
Si含有膜形成用組成物の蒸気は、基板が入っている反応チャンバー内に導入される。反応チャンバー内部の温度及び圧力並びに基板の温度は、カルボシラザン前駆体の少なくとも一部を基板上に蒸着するのに適切な条件に保持される。すなわち、気化した組成物をチャンバーに導入した後、チャンバー内の条件は、気化した前駆体の少なくとも一部が基板上に堆積してケイ素含有膜を形成するようにされる。Si含有層の形成を補助するために共反応物も使用され得る。
反応チャンバーは、限定するものではないが、平行板型反応器、コールドウォール型反応器、ホットウォール型反応器、シングルウエハー反応器、マルチウエハー反応器、又は他のこのようなタイプの堆積システムなど、堆積法が中で行われる装置の任意の筐体又はチャンバーであり得る。これらの例示的な反応チャンバーの全てがALD反応チャンバーとして機能することができる。反応チャンバーは、約0.5mTorr〜約20Torrの範囲の圧力で維持され得る。更に、反応チャンバー内の温度は、約20℃〜約600℃の範囲であり得る。当業者であれば、温度は、望ましい結果を得るために単純な実験によって最適化できることを認識するであろう。
反応器の温度は、基板ホルダーの温度を制御するか、又は反応器壁の温度を制御することによって制御することができる。基板を加熱するために使用される装置は当該技術分野で公知である。反応器壁は、十分な成長速度において望ましい物理的状態及び組成の望ましい膜を得るために十分な温度まで加熱される。反応器壁が加熱され得る非限定的な例示的な温度範囲には、約20℃〜約600℃が含まれる。プラズマ堆積法が利用される場合、堆積温度は約20℃〜約550℃の範囲であり得る。或いは、熱的方法が行われる場合、堆積温度は約300℃〜約600℃の範囲であり得る。
或いは、十分な成長速度において望ましい物理的状態及び組成の望ましいケイ素含有膜を得るために十分な温度まで基板が加熱され得る。基板が加熱され得る非限定的な例示的な温度範囲には、150℃〜600℃が含まれ得る。好ましくは、基板の温度は500℃以下に保たれる。
ケイ素含有膜がその上に堆積される基板の種類は、意図される最終用途に応じて変わるであろう。基板は、通常、その上でプロセスが行われる材料として定義される。基板は、半導体、太陽電池、フラットパネル、又はLCD−TFT型デバイスの製造において使用される任意の適切な基板であり得る。適切な基板の例としては、シリコン、シリカ、ガラス、Ge、又はGaAsウエハーなどのウエハーが挙げられる。ウエハーは、前の製造工程と異なる材料がその上に堆積された1層以上の層を有し得る。例えば、ウエハーは、シリコン層(結晶、アモルファス、多孔質等)、ケイ素酸化物層、ケイ素窒化物層、ケイ素酸窒化物層、炭素でドープされたケイ素酸化物(SiCOH)層、又はこれらの組み合わせを含み得る。更に、ウエハーは、銅層、タングステン層、又は金属層(例えば、白金、パラジウム、ニッケル、ロジウム、又は金)を含み得る。ウエハーは、マンガン、酸化マンガン、タンタル、窒化タンタル等などのバリア層を含み得る。ポリ(3,4−エチレンジオキシチオフェン)ポリ(スチレンスルホネート)[PEDOT:PSS]などのプラスチック層も使用され得る。層は平面状であり得るか、又はパターン化され得る。いくつかの実施形態では、基板は、例えばCH(式中、xは0より大きい(例えば、x≦4である))などの水素化炭素製のパターン化されたフォトレジスト膜であり得る。いくつかの実施形態では、基板は、MIM、DRAM、又はFeRam技術における誘電材料として使用される酸化物の層(例えば、ZrO系材料、HfO系材料、TiO系材料、希土類酸化物系材料、三元酸化物系材料等)を含み得るか、又は銅とlow−k層との間の酸素バリアとして使用される窒化物系膜(例えば、TaN)からのものであり得る。本開示の方法は、ウエハー上に直接、又はウエハー上面の1層以上の層(パターン化された層が基板を形成する場合)の上に直接、ケイ素含有層を堆積させることができる。更に、当業者であれば、本明細書で使用される用語である「膜」又は「層」が、表面の上に重ねられるか又は表面全体に広げられる何らかの材料の厚さを意味し、表面が溝又は線であり得ることを認識するであろう。本明細書及び請求項を通じて、ウエハー及びその上の任意の関連する層は基板と呼ばれる。利用される実際の基板は、利用される具体的な前駆体の実施形態にも依存し得る。しかし、多くの場合、利用される好ましい基板は、水素化炭素、TiN、SRO、Ru、及びポリシリコン、又は結晶シリコン基板などのSi型の基板から選択されるであろう。
基板は、高いアスペクト比を有するビア又はトレンチを含むようにパターン化され得る。例えば、SiOなどのコンフォーマルなSi含有膜は、約20:1〜約100:1の範囲のアスペクト比を有するシリコン貫通電極(TSV)の上に任意のALD技術を使用して堆積され得る。別の例では、トレンチは、流動性CVDによってポリシラザン又はポリカルボシラザンで充填され、アニーリング又はUV硬化によって硬い膜へ変換され得る。膜は、酸化雰囲気下でアニーリング又はUV硬化される場合、ケイ素酸化物含有膜へ変換され得る。或いは、膜は、不活性な窒化雰囲気(NH、ヒドラジン、アミン、NO)又は炭化雰囲気下でアニーリング又はUV硬化される場合、ケイ素窒化物又はケイ素炭窒化物含有膜へ変換され得る。
Si含有膜形成用組成物は、無希釈で供給され得る。或いは、Si含有膜形成用組成物は、蒸着に適した溶媒を更に含み得る。溶媒は、特にC−C16の飽和又は不飽和の炭化水素、テトラヒドロフラン(THF)、ジメチルオキサレート(DMO)、エーテル、ピリジン、メチルイソブチルケトン、シクロヘキサノン、エタノール、イソプロパノール、又はこれらの組み合わせから選択することができる。
蒸着のために、Si含有膜形成用組成物は、配管及び/又は流量計などの従来の手段によって蒸気の形態で反応器に導入される。蒸気の形態の組成物は、直接気化や蒸留などの従来の気化工程により、吹き込みにより、又はXuらのPCT出願国際公開第2009/087609号パンフレットに開示されているもののような昇華装置を使用することにより、組成物を気化させることで製造することができる。組成物は、液体状態で気化装置に供給され、そこで気化した後、それが反応器に導入され得る。或いは、組成物は、前駆体が入った容器内にキャリアガスを通すことにより、又は前駆体中にキャリアガスを吹き込むことにより気化され得る。キャリアガスとしては、これらに限定するものではないが、Ar、He、又はN、及びこれらの混合物を挙げることができる。キャリアガスを用いた吹き込みは、組成物中に存在する全ての溶存酸素を除去することもできる。その後、キャリアガス及び前駆体は、蒸気として反応器内に導入される。
必要に応じて、Si含有膜形成用組成物をその液相とし、且つ十分な蒸気圧を有することが可能な温度まで容器を加熱し得る。容器は、例えば、0〜150℃の範囲の温度に維持することができる。気化したSi含有膜形成用組成物の量を制御するために、容器の温度を公知の方法で調整し得ることが当業者に認識される。
本開示の組成物に加えて、反応ガスも反応器内に導入され得る。反応ガスは、O;O;HO;H;O・又はOH・などの酸素含有ラジカル;NO;NO;ギ酸、酢酸、プロピオン酸などのカルボン酸;NO、NO、又はカルボン酸のラジカル種;パラホルムアルデヒド;及びこれらの混合物などの酸化剤であり得る。好ましくは、酸化剤は、O、O、HO、H、O・又はOH・などのこれらの酸素含有ラジカル、及びこれらの混合物からなる群から選択される。好ましくは、ALD法が行われる場合、共反応物はプラズマ処理された酸素、オゾン、又はこれらの組み合わせである。酸化ガスが使用される場合、得られるケイ素含有膜も酸素を含むであろう。
或いは、反応ガスは、H、NH、(SiHN、ヒドロシラン(SiH、Si、Si、Si10、Si10、SiH1等)、クロロシラン及びクロロポリシラン(SiHCl、SiHCl、SiHCl、SiCl、SiHCl、SiCl等)、アルキルシラン(MeSiH、EtSiH、MeSiH、EtSiH等)、ヒドラジン(N、MeHNNH、MeHNNHMe等)、有機アミン(NMeH、NEtH、NMeH、NEtH、NMe、NEt、(SiMeNH等)、ジアミン(エチレンジアミン、ジメチルエチレンジアミン、テトラメチレンジアミン等)、ピラゾリン、ピリジン、B含有分子(B、トリメチルボラン、トリエチルボラン、ボラジン、置換ボラジン、ジアルキルアミノボラン等)、アルキル金属(トリメチルアルミニウム、トリエチルアルミニウム、ジメチル亜鉛、ジエチル亜鉛等)、これらのラジカル種、又はこれらの混合物の1つなどの還元剤であり得る。還元剤が使用される場合、得られるケイ素含有膜は純粋なSiであり得る。
或いは、反応ガスは、H、NH、SiH、Si、Si、SiHMe、SiHEt、N(SiH、これらの水素ラジカル、及びこれらの混合物からなる群から選択され得る。
或いは、反応ガスは、HCDS又はPCDSであり得る。
或いは、反応ガスは、飽和又は不飽和の直鎖、分岐、又は環状の炭化水素(限定するものではないが、エチレン、アセチレン、プロピレン、イソプレン、シクロヘキサン、シクロヘキセン、シクロヘキサジエン、ペンテン、ペンチン、シクロペンタン、ブタジエン、シクロブタン、テルピネン、オクタン、オクタン、又はこれらの組み合わせ等)であり得る。
反応ガスをそのラジカル形態に分解するために、反応ガスはプラズマによって処理され得る。プラズマで処理される場合、還元剤としてNが利用され得る。例えば、プラズマは、約50W〜約500W、好ましくは約100W〜約200Wの範囲の出力で発生され得る。プラズマは、反応器自体内で発生され得るか、又はその中に存在し得る。或いは、プラズマは、通常、例えば遠くに配置されたプラズマシステム中など、反応器から離れた位置に存在し得る。当業者であれば、そのようなプラズマ処理に適切な方法及び装置を認識するであろう。
望ましいケイ素含有膜は、例えばB、Zr、Hf、Ti、Nb、V、Ta、Al、Si、Ge(これらに限定されない)などの他の元素も含む。
本開示のSi含有膜形成用組成物は、ヘキサクロロジシラン、ペンタクロロジシラン、又はテトラクロロジシラン、又はオクタクロロトリシランなどのハロシラン、又はポリハロジシラン、又はポリハロトリシラン、及びPCT出願国際公開第2011/123792号パンフレット(この内容全体は、全体として本明細書に組み込まれる)に開示されているようなSiN膜又はSiCN膜を形成するための1種以上の共反応物ガスと共に使用され得る。
Si含有膜形成用組成物及び1種以上の共反応物の蒸気は、反応チャンバー内へ同時に(化学蒸着)、逐次的に(原子層堆積)、又は他の組み合わせで導入され得る。例えば、Si含有膜形成用組成物が1つのパルスで導入され、2種類の追加的な金属源が別のパルスで一緒に導入され得る(改良型原子層堆積)。或いは、Si含有膜形成用組成物の導入前に既に反応チャンバーが共反応物を含み得る。共反応物は、流動性CVD構成でのように、反応チャンバーに局在するか又はそれから離れて位置するプラズマシステムを通過し、ラジカルへ分解され得る。或いは、他の前駆体又は反応物がパルスによって導入される一方、Si含有膜形成用組成物が連続的に反応チャンバー内へ導入され得る(パルス化学蒸着)。別の選択肢では、Si含有膜形成用組成物及び1種以上の共反応物は、シャワーヘッドから同時に噴霧され得、その下でいくつかのウエハーを保持しているサセプターが回転される(空間的ALD)。
ある非限定的な例示的な原子層堆積プロセスでは、Si含有膜形成用組成物の気相は反応チャンバーへ導入され、ここで、それは適切な基板と接触する。過剰の組成物は、その後、反応チャンバーをパージ及び/又は排気することによって反応チャンバーから取り除かれ得る。酸素源は、反応チャンバー内に導入され、ここで、それは、吸着されたカルボシラザン又はポリカルボシラザン前駆体と自己制限的に反応する。全ての過剰の酸素源は、反応チャンバーをパージ及び/又は排気することによって反応チャンバーから取り除かれる。所望の膜がケイ素酸化物膜である場合、この2工程プロセスによって望ましい膜厚を得ることができ、又は必要な厚さを有する膜が得られるまで繰り返すことができる。
或いは、所望の膜がケイ素金属/半金属酸化物膜(すなわちSiMO(式中、xは、0〜4であり得、且つMは、B、Zr、Hf、Ti、Nb、V、Ta、Al、Si、Ge又はこれらの組み合わせである)である場合、上述の2工程プロセス後、金属又は半金属含有前駆体の蒸気を反応チャンバー内に導入し得る。金属又は半金属含有前駆体は、堆積されるケイ素金属/半金属酸化物膜の性質に基づいて選択されるであろう。反応チャンバー内への導入後、金属又は半金属含有前駆体は基板と接触される。全ての過剰な金属又は半金属含有前駆体は、反応チャンバーをパージ及び/又は排気することによって反応チャンバーから取り除かれる。ここでもまた、酸素源を反応チャンバー内に導入して金属又は半金属含有前駆体と反応させ得る。過剰の酸素源は、反応チャンバーをパージ及び/又は排気することによって反応チャンバーから取り除かれる。所望の膜厚が得られた場合、プロセスを終了することができる。しかし、より厚い膜が望まれる場合、全体で4工程のプロセスを繰り返し得る。Si含有膜形成用組成物、金属又は半金属含有前駆体、及び酸素源の供給を交代で行うことで、望ましい組成及び厚さの膜を堆積させることができる。
更に、パルスの数を変化させることにより、望ましい化学量論のM:Si比を有する膜を得ることができる。例えば、SiMO膜は、Si含有膜形成用組成物の1回のパルスと金属又は半金属含有前駆体の1回のパルスとを用い、各パルス後に酸素源のパルスを行うことによって得ることができる。しかしながら、望ましい膜を得るために必要とされるパルスの数は、得られる膜の化学量論比と一致しない場合があることが当業者に認識されるであろう。
別の選択肢では、Si膜又は緻密なSiCN膜は、本開示の組成物と、N含有共反応物(アンモニア、N、N/H混合物、又はアミン等)とを用いて、ALD法又は改良型プラズマ強化ALD法によって堆積させることができる。N及びN/H混合物について、共反応物は、直接(チャンバー内)又はリモートのいずれかのプラズマによって活性化される必要がある。
また別の選択肢では、ケイ素含有膜は、本開示のSi含有膜形成用組成物と、ラジカル窒素含有共反応物又はラジカル酸素含有共反応物とを使用して、米国特許出願公開第2014/0051264号明細書に開示されている流動性PECVD(f−PECVD)法によって堆積され得る。それぞれNH又はHOなどのラジカル窒素含有共反応物又はラジカル酸素含有共反応物は、リモートプラズマシステム中で発生される。ラジカル共反応物及び本開示の組成物の気相は、反応チャンバー内に導入され、ここで、それらは反応して、基板上において最初に流動性である膜を堆積する。本出願人らは、本開示の化合物の窒素原子が堆積膜の流動性を更に改善し、その結果、膜が他の前駆体により生じるものよりも少ないボイドを有すると考えている。本出願人らは、NHプラズマを用いる流動性CVD法において、本開示のSi含有膜形成用組成物を使用して堆積される膜が、十分なC含有量の膜を与える前駆体のSi−C−Si骨格のため、ケイ素酸化物膜と比較して望ましいエッチング選択性を有するSiCN膜を生じさせると考えている。
また別の実施形態では、流動性膜は、チャンバー内において、前駆体の分圧で前駆体の露点よりも低い温度にウエハーを保持することにより、凝縮(熱流動性CVD又はT−FCVD)のみにより堆積させることができる。そのような用途のために、極低温にウエハーを冷やすことなしに前駆体の凝縮を促進させるために、低い蒸気圧の前駆体(典型的には室温で50torr未満、更に好ましくは室温で10torr未満)を有することが有益である。置換又は無置換のN(DSP)及びRN(DSP)の群の分子は、適切な範囲の揮発性を有する。その後、その場で又はその場以外で、堆積した膜を反応性ガス、プラズマ、光子、電子線、中性粒子線、又は触媒に曝露することなどの(ただし、これらに限定されない)様々な手段の1つ又はいくつかにより、そのような膜の架橋が行われ得る。触媒は、予め堆積されるか、同時に堆積されるか、又は後に堆積され得、且つ加熱又は光子への曝露などの手段によって活性化され得る。化学的に言うと、そのような架橋は、限定するものではないが、Si−H/N−H H脱離、ヒドロシリル化、アミン基の縮合によるシラザンの形成、シラノール基の縮合によるシロキサンの形成、開環重合、及び/又は脱水素型カップリングなどにわたる様々な化学反応によって達成することができる。
スピンコーティング法、スプレーコーティング法、ディップコーティング法、又はスリットコーティング法などのコーティング堆積方法において、式(I)又は(II)で示される本開示の前駆体を使用する方法も開示される。コーティング法に適したものとするために、本開示の前駆体は、約500〜約1,000,000、好ましくは約1,000〜約100,000、より好ましくは約3,000〜約50,000の範囲の分子量を有する必要がある。本開示の方法は、ケイ素含有膜の堆積のためのSi含有膜形成用組成物の使用を提供する。本開示の方法は、半導体、太陽電池、LCD−TFT、光学薄膜、又はフラットパネル型デバイスの製造において有用な場合がある。方法は、基板上に液体形態の本開示のSi含有膜形成用組成物を塗布することと、硬化させて基板上にSi含有層を形成することとを含む。
前述したように、液体形態の本開示のSi含有膜形成用組成物は、前駆体の無希釈溶液であり得、又は揮発性溶媒及び任意選択的なラジカル発生剤(熱的に又は光により開始される)などの架橋開始剤及び触媒と前駆体との混合物であり得る。熱的に活性化される(過酸化物又はアザ化合物)又は紫外線により開始される(例えば、フェノン又はキノン)ラジカル開始剤がSi含有膜形成用組成物中に含まれ得る。紫外線による活性化及び/又は加熱時に膜の架橋を促進させる触媒も膜形成用組成物中に含まれ得る。そのような触媒としては、光−酸発生剤、ルイス酸、及び典型的なヒドロシリル化触媒が挙げられる。そのような化合物の中でも、B(Cはルイス酸であり且つ強い脱水素触媒であることから、これが特に好適な化合物である。
例示的なコーティング堆積法にはスピンコーティングが含まれる。図1は、例示的なスピンコーティング法のフローチャートを示す。当業者であれば、図1で示されるものよりも少ない工程又は追加的な工程を、本明細書の教示から逸脱することなしに行い得ることを認識するであろう。例えば、R&Dの状況において利用されるキャラクタリゼーション工程は、商業的な操業において必要とされない場合がある。更に、当業者であれば、プロセスが、好ましくは、膜の望ましくない酸化を防止するために不活性雰囲気下において、且つ/又は膜の粒子汚染を防止するために汚染防止に役立つクリーンルーム内で行われることも認識するであろう。
Si含有膜がその上に堆積される平面状の又はパターン化された基板は、工程1〜4の堆積プロセスのために前処理され得る。前処理プロセスでは、高純度のガス及び溶媒が使用される。ガスは、典型的には半導体グレードのものであり、粒子状の汚染物質を含まない。半導体用途のために、溶媒は、粒子を含まない必要があり、典型的には100粒子/mL未満(0.5μm粒子、より好ましくは10粒子/mL未満)である必要があり、且つ表面汚染につながり得る不揮発性残留物質を含まない必要がある。50ppb未満の金属汚染物質(各元素について、好ましくは5ppb未満)のみを有する半導体グレードの溶媒が推奨される。
工程1において、基板は、室温(約20℃〜約25℃)で約60秒〜約120秒間、好ましくは約90秒間、アセトン中で超音波処理される。工程2において、平面状の又はパターン化された基板は、室温で約60秒〜約120秒間、好ましくは約90秒間、イソプロピルアルコール(IPA)中で超音波処理される。当業者であれば、これらの工程が同じ又は異なる超音波装置内で行われ得ることを認識するであろう。超音波装置が異なるとより多くの設備を必要とするが、プロセスはより簡単になる。超音波装置が両方で使用される場合、基板のあらゆる汚染を防止するために工程1及び2間で十分に洗浄しなければならない。本開示の方法に好適な例示的な超音波装置としては、Leela Electronics Leela Sonicモデル50、60、100、150、200、250、若しくは500、又はBranson社のBシリーズが挙げられる。工程3において、基板はIPA超音波装置から取り出され、新たなIPAでリンスされる。工程4において、リンスされた基板は、N又はArなどの不活性ガスを使用して乾燥される。当業者であれば、工程1〜4が1つの例示的なウエハー前処理プロセスを示していることを認識するであろう。複数のウエハー前処理プロセスが存在し、それらは本明細書の教示から逸脱することなしに利用することができる。例えばHandbook of Silicon Wafer Cleaning Technology,3rd Edition,2017(William Andrew)を参照されたい。例えば、より親水性の表面が望まれる場合、UV/オゾン化プロセスが利用され得る。当業者であれば、少なくとも基板材料及び必要とされる清浄さの程度に基づいて適切なウエハーの前処理プロセスを決定することができる。
この4工程の前処理後、基板はスピンコーターに移される。例示的な好適なスピンコーターとしては、Brewer Science社のCee(登録商標)Precisionスピンコーター、Laurell社の650シリーズスピンコーター、Specialty Coating System社のG3スピンコーター、又は東京エレクトロン社のCLEAN TRACK ACT装置の群が挙げられる。上で開示したいずれかのSi含有膜形成用組成物(ただし、好ましくは式IIのもの)は、工程5において基板上に分注され、ウエハーが工程6において回転される。当業者であれば、工程5及び工程6が逐次的に(静的モード)又は同時に(動的モード)行われ得ることを認識するであろう。工程5は、手動又は自動の分注デバイス(ピペット、シリンジ、又は液体流量計等)を使用して行われる。工程5及び6が同時に行われる場合、最初の回転速度は遅い(すなわち約5rpm〜約999rpm、好ましくは約5rpm〜約300rpm)。全てのSi含有膜形成用組成物が分注された後(すなわち静的モードと動的モードのいずれかで工程5が完了した時点)、回転速度は、約1000rpm〜約4000rpmの範囲である。ウエハーは、均一なコーティングが基板全体で得られるまで回転され、これは、典型的には約10秒〜約3分を要する。工程5及び6により、ウエハー上にSi含有膜が製造される。当業者であれば、スピンコーティングプロセスに要する時間、加速度、溶媒蒸発速度等は、目的の膜厚及び均一性を得るために各新規な配合物について最適化を要する調整可能なパラメーターであることを認識するであろう(例えば、University of Louisville,Micro/Nano Technology Center − Spin Coating Theory,October 2013を参照されたい)。
Si含有膜が形成された後、ウエハーが工程7でプリベーク又はソフトベークされることで、Si含有膜形成用組成物及び/又はスピンコーティングプロセス由来の副生成物の全ての残存する揮発性有機成分が除去される。工程7は、約25℃〜約200℃の範囲の温度で約1分〜約120分の範囲の時間、サーマルチャンバー内又はホットプレートの上で行われ得る。例示的なホットプレートとしては、Brewer Science社のCee(登録商標)モデル10若しくは11、又はPolos社のプレシジョンベイクプレートが挙げられる。
工程8において、所望の誘電材料を製造するために基板が硬化される。3つの非限定的な選択肢が図1に示される。3つの選択肢のいずれも不活性又は反応性ガスを使用して行うことができる。例示的な不活性ガスとしては、N、Ar、He、KR、Xe等が挙げられる。反応性ガスは、膜中に酸素、窒素、又は炭素を導入するために使用することができる。膜に酸素を導入する例示的な反応性ガスとしては、O、O、空気、HO、H等などの酸素含有ガスが挙げられる。膜に窒素を導入する例示的な反応性ガスとしては、NH;NR(RはC1−C4炭化水素である)等などの窒素含有ガスが挙げられる。膜に炭素を導入する例示的な反応性ガスとしては、炭素含有ガス、特にアルケン及びアルキン(エチレン、アセチレン、プロピレン等)などの不飽和炭素含有ガスが挙げられる。
工程8aでは、基板は、不活性又は反応性ガス下において約101℃〜約1,000℃、好ましくは約200℃〜約800℃の範囲の温度で熱硬化を受ける。熱硬化プロセスを行うために加熱炉又は高速加熱処理装置を使用することができる。例示的な加熱炉としては、ThermoFisher Lindberg/Blue MTM管状炉、Thermo Scientific ThermolyneTMベンチトップ管状炉又はマッフル炉、Inseto卓上石英管炉、NeyTech Vulcanベンチトップ炉、Tokyo Electron TELINDYTM加熱処理装置、又はASM International ADVANCE(登録商標)垂直炉が挙げられる。例示的な高速加熱処理装置としては、Solaris 100、ULVAC RTP−6、又はAnnealsys As−one 100が挙げられる。
或いは、工程8bにおいて、基板は、単色性又は多色性の光源を使用する約190nm〜約400nmの範囲の波長での紫外線硬化を受ける。工程8bの実施に好適な例示的な真空紫外又は紫外硬化システムとしては、限定するものではないが、Nordson Coolwaves(登録商標)2 UV硬化システム、Heraeus Noblelight Light Hammer(登録商標)10製品プラットフォーム、又はRadium Xeradex(登録商標)ランプが挙げられる。
別の選択肢では、工程8a及び8bで特定したものと同じ温度及び波長の基準で加熱プロセスと紫外線プロセスとの両方が行われ得る。当業者であれば、硬化方法及び条件の選択が所望の目的のケイ素含有膜によって決定されることを認識するであろう。
工程9において、硬化した膜は、標準的な分析手段を使用して特性評価される。例示的な手段としては、限定するものではないが、エリプソメーター、X線光電子分光分析、原子間力顕微鏡、X線蛍光発光、フーリエ変換赤外分光、走査型電子顕微鏡、二次イオン質量分析(SIMS)、ラザフォード後方散乱分光(RBS)、応力分析用の粗面計、又はこれらの組み合わせが挙げられる。
液体形態の本開示のSi含有膜形成用組成物は、基板の中心に直接塗布されてから回転によって基板全体に広げられ得、又は噴霧によって基板全体に塗布され得る。基板の中心に直接塗布される場合、基板は、基板全体に組成物を均一に分布させるための遠心力を利用するために回転され得る。或いは、基板はSi含有膜形成用組成物中に浸漬され得る。得られた膜は、溶媒又は膜の揮発性成分が蒸発するまでの時間室温で乾燥され得、又は強制乾燥若しくはベーク処理により、又は熱硬化及び照射(イオン刺激、電子照射、紫外線及び/又は可視光照射等)を含む任意の後続の適切なプロセスの1つ又は組み合わせを使用することにより乾燥され得る。
Si含有膜形成用組成物中の本開示のカルボシラザン前駆体は、カルボシラザン含有ポリマーの合成のためのモノマーとして有用であると考えられる。Si含有膜形成用組成物は、リソグラフィー用途(例えば、階調反転層)又は反射防止膜のためのスピンオン誘電膜用配合物を形成するために使用することができる。例えば、本開示のSi含有膜形成用組成物は、溶媒中に入れられ、膜を形成するために基材に塗布され得る。必要に応じて、基板は、基板全体にSi含有膜形成用組成物を均一に分布させるために回転され得る。当業者であれば、Si含有膜形成用組成物の粘度が基板の回転が必要であるか否かの判断に寄与することを認識するであろう。得られた膜は、アルゴン、ヘリウム、若しくは窒素などの不活性ガス下及び/又は減圧下で加熱され得る。或いは、得られた膜は、膜の結合性及び窒化を強化するためにNH又はヒドラジンなどの反応性ガス下で加熱され得る。得られた膜に電子線又は紫外線が照射され得る。本開示のカルボシラザン又はポリカルボシラザン前駆体の反応性基(すなわち直接のSi−N、N−H、又はSi−H結合)は、得られるポリマーの結合性を増加させるために有用であると考えられる。
上述したプロセスにより得られるケイ素含有膜は、SiO;SiC;SiN;SiON;SiOC;SiONC;SiBN;SiBCN;SiCN;SiMCO(MはZr、Hf、Ti、Nb、V、Ta、Al、Geから選択され、これは、当然ながらMの酸化状態に依存する)を含み得る。当業者であれば、適切なSi含有膜形成用組成物及び共反応物の適切な選択によって望ましい膜組成が得られ得ることを認識するであろう。
目的の膜厚が得られた後、膜に対し、熱アニーリング、炉アニーリング、急速熱アニーリング、UV硬化、電子線硬化、及び/又はプラズマガス曝露などの更なる処理が行われ得る。これらの追加的な処理工程を行うために利用されるシステム及び方法は当業者に認識されている。例えば、ケイ素含有膜は、不活性雰囲気下、H含有雰囲気下、N含有雰囲気下、又はこれらの組み合わせの下において、約0.1秒〜約7200秒の範囲の時間、約200℃〜約1000℃の範囲の温度に曝露され得る。最も好ましくは、温度は、3600秒未満で600℃である。更に好ましくは、温度は400℃未満である。アニーリング工程は、堆積プロセスが行われるのと同じ反応チャンバー中で行われ得る。或いは、基板が反応チャンバーから取り出され、アニーリング/フラッシュアニーリングプロセスが別の装置内で行われ得る。上述の後処理方法の任意のもの、ただし特に紫外線硬化が膜の結合性及び架橋を増強するために効果的であることが見出された。典型的には、最も高い密度の膜を得るために、400℃未満(好ましくは約100℃〜300℃)への熱アニーリングと紫外線硬化との組み合わせが使用される。
以降の非限定的な実施例は、本発明の実施形態を更に詳細に説明するために与えられる。しかし、実施例は、全てを網羅することを意図しておらず、且つ本明細書に記載の本発明の範囲を限定することを意図していない。
実施例1:
出発材料である1,2−ジシラプロパン(DSP)及び1,3−ジシラブタン(DSB)の合成
3LiAlH+2SiClCHSiCl→2DSP+3LiAlCl
3LiAlH+2SiClCHCHSiCl→2DSB+3LiAlCl
不活性雰囲気下において、メカニカルスターラーを備えた4Lの容器内に水素化アルミニウムリチウムLiAlH(LAH)を入れた。容器を−78℃に冷却し、次いでDSPについて1Lの冷(約−30℃)ジグリム(HCOCOCOCH)を、DSBについて1Lの冷ジ−nブチルエーテル(HOC)を容器内にゆっくり入れた。容器内の混合物を撹拌しながら−10℃まで自然昇温させた。反応混合物が20℃より温かくなるのを防ぎつつ、温まった混合物に1,2−ビス(トリクロロシリル)メタンSiClCHSiCl又は1,2−ビス(トリクロロシリル)エタンSiClCHCHSiClを滴下した。添加後、混合物を25℃まで温め、2時間撹拌した。揮発性のDSP又はDSBを30℃でトラップ(−78℃)中に凝縮させた。DSPは、収率82%、純度96%(ガスクロマトグラフィー(GC)により示される純度)で単離した。DSBは、無色の液体として単離した。収率65%、純度98.8%(GCにより示される純度)。
実施例2:
ハロゲンフリーのルートでのビス(ジシラプロパン)アミンHN(SiH−CH−SiH(HN(DSP))及びトリス((シリルメチル)シリル)アミンN(SiH−CH−SiH(N(DSP))の合成
Si−CH−SiH+NH→HN(DSP)
Si−CH−SiH+NH→N(DSP)
ジシラプロパン及びアンモニアを圧力反応器内で炭素上の白金で触媒させることにより、HN(DSP)及びN(DSP)を製造した。これは、ハロゲンフリーのルートである。0.3Lのオートクレーブにメカニカルスターラー、熱電対、圧力ゲージ、圧力変換器、及び3つの計量バルブを装着した。0.5g/2.56molの炭素上白金触媒をオートクレーブに入れた。その後、反応器を動的真空下で140℃まで一定に加熱し、この温度で3時間保持した。室温まで冷却した後、反応器をヘリウムで加圧した(800torr)。グローブボックス内の反応器にペンタン(50mL)を入れた。反応器を液体窒素浴に浸漬した後、真空下で大気窒素を除去した。アンモニア(3g、0.176mol)及びジシラプロパン(53.7g、0.705mol)を反応器に移した。その後、反応器を50℃に加熱した。457rpmで30時間撹拌した後、室温に冷却後に約486psiの圧力上昇が観察された。反応器の中身の揮発性成分をステンレス鋼製レクチャーボトル(SSLB)内へ10Torrの圧力まで冷却補集した。液体注入GCMSによる反応器内容物の分析から、少量の高沸点成分を伴うHN(DSP)とN(DSP)との7:1の混合物であることが明らかになった。図2は、生成したN(DSP)とN(DSP)との混合物の最終生成物のGCMSスペクトルである。
混合物を真空分別蒸留した。最初のフラクション(42℃/153mtorr)は、HN(DSP)(2.05g、6%)を含んでいた(GCMSにより測定)。図3は、HN(DSP)とN(DSP)との混合物を示す最初のフラクションのGCMSスペクトルである。2番目のフラクションは、高沸点成分を伴うN(DSP)とHN(DSP)との14:1の混合物(1.48g)を含んでいた(GCMSにより測定)。図4は、N(DSP)とHN(DSP)との混合物を示す、2番目のフラクションのGCMSスペクトルである。
実施例3:
ハロゲンフリーのルートでのNDSPオリゴマー[−NH−SiH−CH−SiH−]([−NH−DSP−])及び[−N(SiH−CH−SiH)−SiH−CH−SiH−]([−N(DSP)−DSP−])(n=2〜400)の合成
Si−CH−SiH+NH→HNDSP2→[−NH−DSP−]
Si−CH−SiH+NH→NDSP3→[−N(DSP)−DSP−]
NDSPオリゴマーの合成は、炭素上の白金によって触媒され、ジシラプロパンとアンモニアとの間の反応によって圧力反応器内で行われた。反応は、HNDSP2及びNDSP3のこれらの合成と同じであり、これは、ハロゲンフリーのルートでもある。実施例2に関して、実施例2の試薬を加熱しすぎると、真空分別蒸留によって生成物からHNDSP2及びNDSP3を除去した後、蒸留ポットに無色の高粘度オイルが残った(7.5g)。
図5は、ハロゲンフリーのルートで製造されたHNDSP2及びNDSP3を除去した後の無色高粘度オイルのGPCスペクトルである。この高粘度オイルは、ゲル浸透クロマトグラフィー(GPC)によって分析したところ、図5に示されるように、26,000〜500ダルトンの範囲の分布が明らかになった。これは、主成分が、DSPにより形成される直鎖又は分岐のオリゴマー[−NH−DSP−]又は[−N(DSP)−DSP−]である高分子量オリゴマー又はポリマーを有することを示している。オリゴマーについての計算された分子量の平均及び多分散指数が表2に示される。
Figure 0006868640
実施例4:
HNDSP2の選択的合成
ClSiH−CH−SiH+NH→HNDSP2+HCl
でパージした後、2リットルの三口フラスコに非極性溶媒(このプロセスでは無水トルエンであった)を入れた。クロロシリルメチルシラン(DSP−Cl)(53.6g、0.48mol)をフラスコ内に滴下することによりフラスコに入れた。フラスコ中の混合物にNH(11g、0.65mol)を吹き込みにより+5℃でゆっくり添加した。必要量のNHを添加した後、混合物を室温まで温めて16時間撹拌した。透明な液体中に白色固体の形成が観察された。次いで、反応混合物を、カニューレを介して空気を含まないフィルターフリットを備えたシュレンクフィルター漏斗に移した。ろ取した固体を無水トルエンで4回洗浄した。図6aは、室温で16時間(終夜又はON)攪拌した後の生成物のGCスペクトルである。図6bは、室温で8週間後の最終生成物のGCスペクトルである。図中、CATOは反応物であるDSP−Clを表し、NDSP3は可能性のある副生成物を表す。図6aに示されるように、最終生成物は、NDSP3と比較してほぼ100%のHNDSP2を含む。図6bに示されるように、8週間後にNDSP3はほとんど生成されなかった。したがって、この合成方法は、副生成物のNDSP3なしでHNDSP2を選択的に製造する方法を与える。
実施例5:
NDSP3の選択的な合成
3ClSiH−CH−SiH+4NH→NDSP3+3NHCl
実施例4において、非極性溶媒であるトルエンをTHFなどの極性溶媒に置き換えて、副生成物のHNDSP2なしでNDSP3を選択的に製造した(図7)
添付の請求項で表現される本発明の趣旨及び範囲の範囲内において、本発明の特性を説明するために本明細書で説明及び図示してきた詳細、材料、工程、及び部品の構成に対する多くの追加的な変更形態が当業者によって行われ得ることは理解されるであろう。したがって、本発明を上に示した実施例中の特定の実施形態及び/又は添付の図面に限定することは意図されていない。

Claims (14)

  1. 式:
    [−NR−RSi−(CH−SiR−] (II)
    (式中、t=1〜4であり;n=2〜400であり;
    、R、R、及びRは、独立して、H、C〜C炭化水素、又は式NR’’を有するアルキルアミノ基であり、各R’’は、独立して、H、C〜C炭化水素、C〜C12アリールであるか、又はNR’’は、環状アミン基を形成し、ただし、R、R、R、及びRの少なくとも1つは、Hであり;及び
    Rは、H、C〜C炭化水素、式SiR’2x+1(ここで、x=1〜4であり、及び各R’は、独立して、=H、C〜C炭化水素、又は式NR’’を有するアルキルアミノ基であり、各R’’は、独立して、H、C〜C基、C〜C12アリールであるか、又はNR’’は、環状アミン基を形成する)を有するシリル基、又はR1’2’3’Si(CHSiR4’5’基(ここで、b=1〜2である)であり、R1’、R2’、R3’、R4’、及びR5’は、独立して、H、C〜C炭化水素、C〜C12アリール、又は式NR’’を有するアルキルアミノ基であり、各R’’は、独立して、H、C〜C基、C〜C12アリールであるか、又はNR’’は、環状アミン基を形成し、ただし、R1’、R2’、R3’、R4’、及びR5’の少なくとも1つは、Hである)
    を有する単位を含有する直鎖又は分岐の前駆体を含むSi含有膜形成用組成物。
  2. 前記前駆体の分子量が、500〜1,000,000である、請求項1に記載のSi含有膜形成用組成物。
  3. 前記前駆体の分子量が、1,000〜100,000である、請求項1に記載のSi含有膜形成用組成物。
  4. 前記前駆体の分子量が、3,000〜50,000である、請求項1に記載のSi含有
    膜形成用組成物。
  5. 前記前駆体は、式[−NH−SiH−(CH−SiH−](ここで、t=1〜2である)を有する単位を含有する、請求項1に記載のSi含有膜形成用組成物。
  6. 前記前駆体は、
    [−N(SiH)−SiH−(CH−SiH−]
    [−N(Si)−SiH−(CH−SiH−]
    [−N(Si)−SiH−(CH−SiH−]、及び
    [−N(Si)−SiH−(CH−SiH−]
    (ここで、t=1〜2である)からなる群から選択される式を有する単位を含有する、請求項1に記載のSi含有膜形成用組成物。
  7. 前記前駆体は、
    [−N(Si(Me)−SiH−(CH−SiH−]
    [−N(Si(Et)−SiH−(CH−SiH−]
    [−N(Si(iPr)−SiH−(CH−SiH−]
    [−N(Si(nPr)−SiH−(CH−SiH−]
    [−N(Si(Bu)−SiH−(CH−SiH−]
    [−N(Si(iBu)−SiH−(CH−SiH−]
    [−N(Si(tBu)−SiH−(CH−SiH−]
    [−N(Si(アミル)−SiH−(CH−SiH−]
    [−N(Si(ヘキシル)−SiH−(CH−SiH−]
    [−Nx(SiH(Me)−SiH−(CH−SiH−]
    [−N(SiH(Et)−SiH−(CH−SiH−]
    [−N(SiH(iPr)−SiH−(CH−SiH−]
    [−N(SiH(nPr)−SiH−(CH−SiH−]
    [−N(SiH(Bu)−SiH−(CH−SiH−]
    [−N(SiH(iBu)−SiH−(CH−SiH−]
    [−N(SiH(tBu)−SiH−(CH−SiH−]
    [−N(SiH(アミル)−SiH−(CH−SiH−]
    [−N(SiH(ヘキシル)−SiH−(CH−SiH−]
    [−N(SiH(Me)−SiH−(CH−SiH−]
    [−N(SiH(Et)−SiH−(CH−SiH−]
    [−N(SiH(iPr)−SiH−(CH−SiH−]
    [−N(SiH(nPr)−SiH−(CH−SiH−]
    [−N(SiH(Bu)−SiH−(CH−SiH−]
    [−N(SiH(iBu)−SiH−(CH−SiH−]
    [−N(SiH(tBu)−SiH−(CH−SiH−]
    [−N(SiH(アミル)−SiH−(CH−SiH−]、及び
    [−N(SiH(ヘキシル)−SiH−(CH−SiH−]
    (ここで、t=1〜2である)からなる群から選択される式を有する単位を含有する、請求項1に記載のSi含有膜形成用組成物。
  8. 前記前駆体は、
    [−N(SiH−CH−SiH)−SiH−(CH−SiH−]
    [−N(SiH−CH−CH−SiH)−(CH−CH−SiH−]
    [−N(SiMe−CH−SiMe)−(CH−CH−SiH−]、[−N(SiMe−CH−CH−SiMe)−SiH−(CH−SiH
    −]
    [−N(SiEt−CH−SiEt)−SiH−(CH−SiH−]、及び
    [−N(SiEt−CH−CH−SiEt)−SiH−(CH−SiH−]
    (ここで、t=1〜2である)からなる群から選択される式を有する単位を含有する、請求項1に記載のSi含有膜形成用組成物。
  9. 前記前駆体は、
    [−N(Me)−SiH−(CH−SiH−]
    [−N(Et)−SiH−(CH−SiH−]
    [−N(iPr)−SiH−(CH−SiH−]
    [−N(nPr)−SiH−(CH−SiH−]
    [−N(Bu)−SiH−(CH−SiH−]
    [−N(iBu)−SiH−(CH−SiH−]
    [−N(tBu)−SiH−(CH−SiH−]
    [−N(アミル)−SiH−(CH−SiH−]、及び
    [−N(ヘキシル)−SiH−(CH−SiH−]
    (ここで、t=1〜2である)からなる群から選択される式を有する単位を含有する、請求項1に記載のSi含有膜形成用組成物。
  10. 前記前駆体は、
    [−N(SiHNMe)−HSi−(CH−SiH−]
    [−N(SiHNEt)−HSi−(CH−SiH−]
    [−N(SiHNiPr)−SiH−(CH−SiH−]
    [−N(SiHNnPr)−SiH−(CH−SiH−]
    [−N(SiHNMeEt)−HSi−(CH−SiH−]
    [−N(SiH(NMe)−HSi−(CH−SiH−]、及び
    [−N(SiH(NEt)−HSi−(CH−SiH−]
    (ここで、t=1〜2である)からなる群から選択される式を有する単位を含有する、請求項1に記載のSi含有膜形成用組成物。
  11. 前記前駆体は、
    [−NH−HSi−(CH−SiH(CH=CH)−]
    [−NH−HSi−(CH−SiH(CH−CH=CH)−]
    [−NH−HSi−(CH−SiH(NH)−]
    [−NH−HSi−(CH−SiH(NMe)−]
    [−NH−HSi−(CH−SiH(NMeEt)−]
    [−NH−HSi−(CH−SiH(NEt)−]
    [−NH−HSi−(CH−SiH(NnPr)−]
    [−NH−HSi−(CH−SiH(NiPr)−]
    [−NH−HSi−(CH−SiH(NBu)−]
    [−NH−HSi−(CH−SiH(NiBu)−]
    [−NH−HSi−(CH−SiH(NtBu)−]
    [−NH−HSi−(CH−SiH(NAm)−]
    [−NH−HSi−(CH−SiH(NCyペンチル)−]
    [−NH−HSi−(CH−SiH(Nヘキシル)−]
    [−NH−HSi−(CH−SiH(NCyHex)−]
    [−NH−HSi−(CH−SiH(NMeH)−]
    [−NH−HSi−(CH−SiH(NEtH)−]
    [−NH−HSi−(CH−SiH(NnPrH)−]
    [−NH−HSi−(CH−SiH(NiPrH)−]
    [−NH−HSi−(CH−SiH(NBuH)−]
    [−NH−HSi−(CH−SiH(NiBuH)−]
    [−NH−HSi−(CH−SiH(NtBuH)−]
    [−NH−HSi−(CH−SiH(NAmH)−]
    [−NH−HSi−(CH−SiH(ピリジン)−]
    [−NH−HSi−(CH−SiH(ピロール)−]
    [−NH−HSi−(CH−SiH(ピロリジン)−]、及び
    [−NH−HSi−(CH−SiH(イミダゾール)−]
    (ここで、t=1〜2である)からなる群から選択される式を有する単位を含有する、請求項1に記載のSi含有膜形成用組成物。
  12. 前記前駆体は、
    [−NH−HSi−(CH−Si(CH=CH−]
    [−NH−HSi−(CH−Si(CH−CH=CH−]
    [−NH−HSi−(CH−Si(NH−]
    [−NH−HSi−(CH−Si(NMe−]
    [−NH−HSi−(CH−Si(NMeEt)−]
    [−NH−HSi−(CH−Si(NEt−]
    [−NH−HSi−(CH−Si(NnPr−]
    [−NH−HSi−(CH−Si(NiPr−]
    [−NH−HSi−(CH−Si(NBu−]
    [−NH−HSi−(CH−Si(NiBu−]
    [−NH−HSi−(CH−Si(NtBu−]
    [−NH−HSi−(CH−Si(NAm−]
    [−NH−HSi−(CH−Si(NCyペンチル−]
    [−NH−HSi−(CH−Si(Si(Nヘキシル−]
    [−NH−HSi−(CH−Si(NCyHex−]
    [−NH−HSi−(CH−Si(NMeH)−]
    [−NH−HSi−(CH−Si(NEtH)−]
    [−NH−HSi−(CH−Si(NnPrH)−]
    [−NH−HSi−(CH−Si(NiPrH)−]
    [−NH−HSi−(CH−Si(NBuH)−]
    [−NH−HSi−(CH−Si(NiBuH)−]
    [−NH−HSi−(CH−Si(NtBuH)−]
    [−NH−HSi−(CH−Si(NAmH)−]
    [−NH−HSi−(CH−Si(ピリジン)−]
    [−NH−HSi−(CH−Si(ピロール)−]
    [−NH−HSi−(CH−Si(ピロリジン)−]、及び
    [−NH−HSi−(CH−Si(イミダゾール)−]
    (ここで、t=1〜2である)からなる群から選択される式を有する単位を含有する、請求項1に記載のSi含有膜形成用組成物。
  13. 前記前駆体は、
    [−NH−SiH(CH=CH)−(CH−−SiH(CH=CH)−]
    [−NH−SiH(CH−CH=CH)−(CH−SiH(CH−CH=CH)−]
    [−NH−SiH(NH)−(CH−SiH(NH)−]
    [−NH−SiH(NMe)−(CH−SiH(NMe)−]
    [−NH−SiH(NMeEt)−(CH−SiH(NMeEt)−]
    [−NH−SiH(NEt)−(CH−SiH(NEt)−]
    [−NH−SiH(NnPr)−(CH−SiH(NnPr)−]
    [−NH−SiH(NiPr)−(CH−SiH(NiPr)−]
    [−NH−SiH(NBu)−(CH−SiH(NBu)−]
    [−NH−SiH(NiBu)−(CH−SiH(NiBu)−]
    [−NH−SiH(NtBu)−(CH−SiH(NtBu)−]
    [−NH−SiH(NAm)−(CH−SiH(NAm)−]
    [−NH−SiH(NCyペンチル)−(CH−SiH(NCyペンチル)−]
    [−NH−SiH(Nヘキシル)−(CH−SiH(Nヘキシル)−]
    [−NH−SiH(NCyHex)−(CH−SiH(NCyHex)−]
    [−NH−SiH(NMeH)−(CH−SiH(NMeH)−]
    [−NH−SiH(NEtH)−(CH−SiH(NEtH)−]
    [−NH−SiH(NnPrH)−(CH−SiH(NnPrH)−]
    [−NH−SiH(NiPrH)−(CH−SiH(NiPrH)−]
    [−NH−SiH(NBuH)−(CH−SiH(NBuH)−]
    [−NH−SiH(NiBuH)−(CH−SiH(NiBuH)−]
    [−NH−SiH(NtBuH)−(CH−SiH(NtBuH)−]
    [−NH−SiH(NAmH)−(CH−SiH(NAmH)−]
    [−NH−SiH(ピリジン)−(CH−SiH(ピリジン)−]
    [−NH−SiH(ピロール)−(CH−SiH(ピロール)−]
    [−NH−SiH(ピロリジン)−(CH−SiH(ピロリジン)−]、及び
    [−NH−SiH(イミダゾール)−(CH−SiH(イミダゾール)−]
    (ここで、t=1〜2である)からなる群から選択される式を有する単位を含有する、請求項1に記載のSi含有膜形成用組成物。
  14. 基板上にSi含有膜を形成する方法であって、請求項1〜13のいずれか一項に記載のSi含有膜形成用組成物を含む溶液を形成することと、前記溶液をスピンコーティング法、スプレーコーティング法、ディップコーティング法、又はスリットコーティング法で前記基板と接触させて、前記Si含有膜を形成することとを含む方法。
JP2018550413A 2016-03-23 2017-03-23 Si含有膜形成用組成物並びにそれを製造及び使用する方法 Active JP6868640B2 (ja)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US201662312352P 2016-03-23 2016-03-23
US62/312,352 2016-03-23
PCT/US2017/023779 WO2017165626A1 (en) 2016-03-23 2017-03-23 Si-containing film forming compositions and methods of making and using the same

Publications (3)

Publication Number Publication Date
JP2019513174A JP2019513174A (ja) 2019-05-23
JP2019513174A5 JP2019513174A5 (ja) 2020-04-30
JP6868640B2 true JP6868640B2 (ja) 2021-05-12

Family

ID=59900919

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2018550413A Active JP6868640B2 (ja) 2016-03-23 2017-03-23 Si含有膜形成用組成物並びにそれを製造及び使用する方法

Country Status (7)

Country Link
US (1) US11407922B2 (ja)
EP (1) EP3433302B1 (ja)
JP (1) JP6868640B2 (ja)
KR (2) KR102492744B1 (ja)
CN (1) CN109476848B (ja)
TW (2) TWI753794B (ja)
WO (1) WO2017165626A1 (ja)

Families Citing this family (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
TWI716333B (zh) 2015-03-30 2021-01-11 法商液態空氣喬治斯克勞帝方法研究開發股份有限公司 碳矽烷與氨、胺類及脒類之觸媒去氫耦合
JP6756689B2 (ja) 2017-10-13 2020-09-16 株式会社Kokusai Electric 半導体装置の製造方法、基板処理装置、およびプログラム
US10510852B2 (en) * 2017-11-28 2019-12-17 Taiwan Semiconductor Manufacturing Company, Ltd. Low-k feature formation processes and structures formed thereby
US11499014B2 (en) 2019-12-31 2022-11-15 L'Air Liquide, Société Anonyme pour l'Etude et l'Exploitation des Procédés Georges Claude Cureable formulations for forming low-k dielectric silicon-containing films using polycarbosilazane
WO2021153986A1 (ko) * 2020-01-31 2021-08-05 주식회사 유피케미칼 실리콘 전구체 화합물, 이를 포함하는 실리콘-함유 막 형성용 조성물 및 실리콘-함유 막 형성 방법
KR102591159B1 (ko) 2020-05-07 2023-10-20 메르크 파텐트 게엠베하 폴리카보실라잔, 및 이를 포함하는 조성물 및 이를 사용하는 규소-함유 막의 제조방법

Family Cites Families (73)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH0764642B2 (ja) 1986-06-13 1995-07-12 東燃株式会社 窒化物系セラミツクスの製法
JP3230029B2 (ja) 1994-05-30 2001-11-19 富士通株式会社 Iii−v族化合物半導体結晶成長方法
US5874368A (en) 1997-10-02 1999-02-23 Air Products And Chemicals, Inc. Silicon nitride from bis(tertiarybutylamino)silane
US6841256B2 (en) 1999-06-07 2005-01-11 Honeywell International Inc. Low dielectric constant polyorganosilicon materials generated from polycarbosilanes
US6489030B1 (en) 2000-04-14 2002-12-03 Honeywell International, Inc. Low dielectric constant films used as copper diffusion barrier
JP4868639B2 (ja) 2000-06-12 2012-02-01 株式会社Adeka 化学気相成長用原料及びこれを用いた薄膜の製造方法
JP4196246B2 (ja) 2000-11-17 2008-12-17 株式会社トリケミカル研究所 膜形成材料、膜形成方法、及び素子
JP2002167438A (ja) 2000-11-29 2002-06-11 Jsr Corp ケイ素ポリマー、膜形成用組成物および絶縁膜形成用材料
JP4472338B2 (ja) 2001-10-26 2010-06-02 シグマ−アルドリッチ・カンパニー 化学気相成長のための改良された前駆体
JP2003151972A (ja) 2001-11-15 2003-05-23 Tri Chemical Laboratory Inc 酸化膜、酸化膜形成方法、半導体素子
JP4116283B2 (ja) 2001-11-30 2008-07-09 レール・リキード−ソシエテ・アノニム・プール・レテュード・エ・レクスプロワタシオン・デ・プロセデ・ジョルジュ・クロード ヘキサキス(モノヒドロカルビルアミノ)ジシランおよびその製造方法
JP4021653B2 (ja) 2001-11-30 2007-12-12 レール・リキード−ソシエテ・アノニム・プール・レテュード・エ・レクスプロワタシオン・デ・プロセデ・ジョルジュ・クロード Cvd法によるシリコン窒化物膜またはシリコンオキシ窒化物膜の製造方法
WO2004017383A2 (en) 2002-08-18 2004-02-26 Aviza Technology, Inc. Low termperature deposition of silicon oxides and oxynitrides
JP4358492B2 (ja) 2002-09-25 2009-11-04 レール・リキード−ソシエテ・アノニム・プール・レテュード・エ・レクスプロワタシオン・デ・プロセデ・ジョルジュ・クロード 熱化学気相成長法によるシリコン窒化物膜またはシリコンオキシ窒化物膜の製造方法
US7531679B2 (en) 2002-11-14 2009-05-12 Advanced Technology Materials, Inc. Composition and method for low temperature deposition of silicon-containing films such as films including silicon nitride, silicon dioxide and/or silicon-oxynitride
US7446217B2 (en) 2002-11-14 2008-11-04 Advanced Technology Materials, Inc. Composition and method for low temperature deposition of silicon-containing films
EP1584100A2 (en) 2002-12-20 2005-10-12 Applied Materials, Inc. A method and apparatus for forming a high quality low temperature silicon nitride layer
US7972663B2 (en) 2002-12-20 2011-07-05 Applied Materials, Inc. Method and apparatus for forming a high quality low temperature silicon nitride layer
US7172792B2 (en) 2002-12-20 2007-02-06 Applied Materials, Inc. Method for forming a high quality low temperature silicon nitride film
US6940173B2 (en) 2003-01-29 2005-09-06 International Business Machines Corporation Interconnect structures incorporating low-k dielectric barrier films
JP4265409B2 (ja) 2003-02-13 2009-05-20 三菱マテリアル株式会社 Si−Si結合を有する有機Si含有化合物を用いたSi含有薄膜の形成方法
US7579496B2 (en) * 2003-10-10 2009-08-25 Advanced Technology Materials, Inc. Monosilane or disilane derivatives and method for low temperature deposition of silicon-containing films using the same
US20050227017A1 (en) 2003-10-31 2005-10-13 Yoshihide Senzaki Low temperature deposition of silicon nitride
JP2005213633A (ja) 2004-02-02 2005-08-11 L'air Liquide Sa Pour L'etude & L'exploitation Des Procede S Georges Claude 化学気相成長法によるシリコン窒化物膜またはシリコンオキシ窒化物膜の製造方法
US7098150B2 (en) 2004-03-05 2006-08-29 Air Liquide America L.P. Method for novel deposition of high-k MSiON dielectric films
US20060012014A1 (en) 2004-07-15 2006-01-19 International Business Machines Corporation Reliability of low-k dielectric devices with energy dissipative layer
US7358317B2 (en) 2004-09-22 2008-04-15 Jsr Corporation Polycarbosilane and method of producing the same
JP2006096675A (ja) 2004-09-28 2006-04-13 L'air Liquide Sa Pour L'etude & L'exploitation Des Procede S Georges Claude 新規なアミノジシランおよび炭窒化珪素膜の形成方法
JP4756128B2 (ja) 2004-10-20 2011-08-24 日揮触媒化成株式会社 半導体加工用保護膜形成用塗布液、その調製方法およびこれより得られる半導体加工用保護膜
JP2006152063A (ja) 2004-11-26 2006-06-15 Jsr Corp 新規ポリカルボシランおよびその製造方法、膜形成用組成物、ならびに膜およびその形成方法
US20060121192A1 (en) 2004-12-02 2006-06-08 Jurcik Benjamin J Liquid precursor refill system
US7892648B2 (en) 2005-01-21 2011-02-22 International Business Machines Corporation SiCOH dielectric material with improved toughness and improved Si-C bonding
US20060286819A1 (en) 2005-06-21 2006-12-21 Applied Materials, Inc. Method for silicon based dielectric deposition and clean with photoexcitation
ES2265291B1 (es) 2005-07-22 2008-03-01 Universidad De Alcala Nuevos dendrimeros carbosilanos, su preparacion y sus usos.
US8153832B2 (en) 2006-04-03 2012-04-10 L'air Liquide Societe Anonyme Pour L'etude Et L'exploitation Des Procedes Georges Claude Pentakis(dimethylamino) disilane precursor comprising compound and method for the preparation thereof
US8377511B2 (en) 2006-04-03 2013-02-19 L'air Liquide Societe Anonyme Pour L'etude Et L'exploitation Des Procedes Georges Claude Method for depositing silicon nitride films and/or silicon oxynitride films by chemical vapor deposition
US7875312B2 (en) * 2006-05-23 2011-01-25 Air Products And Chemicals, Inc. Process for producing silicon oxide films for organoaminosilane precursors
US7442822B2 (en) * 2006-09-01 2008-10-28 Air Products And Chemicals, Inc. Stabilization of nitrogen-containing and oxygen-containing organosilanes using weakly basic ion-exchange resins
US20080124815A1 (en) 2006-11-03 2008-05-29 International Business Machines Corporation Method for post cap ild/imd repair with uv irradiation
US7500397B2 (en) 2007-02-15 2009-03-10 Air Products And Chemicals, Inc. Activated chemical process for enhancing material properties of dielectric films
CN100559167C (zh) * 2007-04-23 2009-11-11 陕西师范大学 对硝基芳烃敏感的单分子层聚硅烷荧光传感薄膜的制备方法
WO2009008041A1 (ja) 2007-07-06 2009-01-15 Fujitsu Limited 絶縁膜材料、多層配線基板及びその製造方法、並びに、半導体装置及びその製造方法
US20090096106A1 (en) 2007-10-12 2009-04-16 Air Products And Chemicals, Inc. Antireflective coatings
US20090110884A1 (en) 2007-10-29 2009-04-30 Integrated Surface Technologies Surface Coating
US8765899B2 (en) 2007-11-06 2014-07-01 Braggone Oy Carbosilane polymer compositions for anti-reflective coatings
US9034105B2 (en) 2008-01-10 2015-05-19 American Air Liquide, Inc. Solid precursor sublimator
JP5317089B2 (ja) 2008-01-23 2013-10-16 独立行政法人物質・材料研究機構 成膜方法および絶縁膜
CN102762763B (zh) 2010-02-17 2014-12-31 乔治洛德方法研究和开发液化空气有限公司 SiCOH低K膜的气相沉积法
TWI498447B (zh) 2010-04-01 2015-09-01 Air Liquide 使用胺基金屬與鹵化金屬前驅物組合之含金屬氮化物之薄膜沈積
US8196945B2 (en) 2010-06-22 2012-06-12 Pedal Lock Partnership Bicycle pedal with integrated cable lock
US8853856B2 (en) 2010-06-22 2014-10-07 International Business Machines Corporation Methodology for evaluation of electrical characteristics of carbon nanotubes
US8993072B2 (en) 2011-09-27 2015-03-31 Air Products And Chemicals, Inc. Halogenated organoaminosilane precursors and methods for depositing films comprising same
JP5969253B2 (ja) 2012-02-10 2016-08-17 東京応化工業株式会社 表面処理剤及び表面処理方法
US20130224964A1 (en) 2012-02-28 2013-08-29 Asm Ip Holding B.V. Method for Forming Dielectric Film Containing Si-C bonds by Atomic Layer Deposition Using Precursor Containing Si-C-Si bond
US8871656B2 (en) 2012-03-05 2014-10-28 Applied Materials, Inc. Flowable films using alternative silicon precursors
US9978585B2 (en) 2012-06-01 2018-05-22 Versum Materials Us, Llc Organoaminodisilane precursors and methods for depositing films comprising same
US9337018B2 (en) 2012-06-01 2016-05-10 Air Products And Chemicals, Inc. Methods for depositing films with organoaminodisilane precursors
US9243324B2 (en) 2012-07-30 2016-01-26 Air Products And Chemicals, Inc. Methods of forming non-oxygen containing silicon-based films
US10279959B2 (en) * 2012-12-11 2019-05-07 Versum Materials Us, Llc Alkoxysilylamine compounds and applications thereof
KR101583232B1 (ko) 2012-12-31 2016-01-07 제일모직 주식회사 중합체 제조 방법 및 실리카계 절연막 형성용 조성물
US9395593B2 (en) 2013-03-15 2016-07-19 Kinestral Technologies, Inc. Electrochromic lithium nickel group 6 mixed metal oxides
JP6155063B2 (ja) 2013-03-19 2017-06-28 株式会社日立国際電気 半導体装置の製造方法、基板処理装置及びプログラム
US9796739B2 (en) 2013-06-26 2017-10-24 Versum Materials Us, Llc AZA-polysilane precursors and methods for depositing films comprising same
US10453675B2 (en) * 2013-09-20 2019-10-22 Versum Materials Us, Llc Organoaminosilane precursors and methods for depositing films comprising same
US9382269B2 (en) * 2013-09-27 2016-07-05 Voltaix, Llc Halogen free syntheses of aminosilanes by catalytic dehydrogenative coupling
US10023958B2 (en) 2013-11-22 2018-07-17 Applied Materials, Inc. Atomic layer deposition of films comprising silicon, carbon and nitrogen using halogenated silicon precursors
US9233990B2 (en) 2014-02-28 2016-01-12 Air Products And Chemicals, Inc. Organoaminosilanes and methods for making same
CN103881101A (zh) 2014-03-18 2014-06-25 天津大学 一种碳氮化硅陶瓷用聚碳硅氮烷前驱体及其制备方法
JP6254274B2 (ja) * 2014-06-25 2017-12-27 旭化成株式会社 空隙を有するポリイミドフィルム及びその製造方法
WO2016007708A1 (en) * 2014-07-10 2016-01-14 L'air Liquide, Societe Anonyme Pour L'etude Et L'exploitation Des Procedes Georges Claude Alkylamino-substituted carbosilane precursors
US9969756B2 (en) * 2014-09-23 2018-05-15 L'Air Liquide, Société Anonyme pour l'Etude et l'Exploitation des Procédés George Claude Carbosilane substituted amine precursors for deposition of Si-containing films and methods thereof
US9879340B2 (en) * 2014-11-03 2018-01-30 Versum Materials Us, Llc Silicon-based films and methods of forming the same
TWI716333B (zh) * 2015-03-30 2021-01-11 法商液態空氣喬治斯克勞帝方法研究開發股份有限公司 碳矽烷與氨、胺類及脒類之觸媒去氫耦合

Also Published As

Publication number Publication date
KR102492744B1 (ko) 2023-01-26
KR102403096B1 (ko) 2022-05-26
KR20180136446A (ko) 2018-12-24
TW202124540A (zh) 2021-07-01
CN109476848B (zh) 2021-06-22
US20190040279A1 (en) 2019-02-07
TWI753794B (zh) 2022-01-21
EP3433302B1 (en) 2021-04-28
US11407922B2 (en) 2022-08-09
KR20220069123A (ko) 2022-05-26
EP3433302A4 (en) 2019-10-30
CN109476848A (zh) 2019-03-15
JP2019513174A (ja) 2019-05-23
TW201805343A (zh) 2018-02-16
EP3433302A1 (en) 2019-01-30
TWI724141B (zh) 2021-04-11
WO2017165626A1 (en) 2017-09-28

Similar Documents

Publication Publication Date Title
JP6868640B2 (ja) Si含有膜形成用組成物並びにそれを製造及び使用する方法
JP7390421B2 (ja) コーティング組成物、および基板上へのSi含有膜の形成方法
JP6803368B2 (ja) アルキルアミノ置換ハロカルボシラン前駆体
JP6578353B2 (ja) Si含有膜堆積用カルボシラン置換アミン前駆体及びその方法
EP3056500B1 (en) Bisaminoalkoxysilane compounds and methods for using same to deposit silicon-containing films
JP6882468B2 (ja) 表面フィーチャを充填する低k膜を作るための前駆体および流動性CVD法
TWI550121B (zh) SiCOH低K膜之氣相沈積法
TWI659035B (zh) 經烷胺基取代之碳矽烷前驅物
KR102514167B1 (ko) 액체 폴리실란 및 이성질체 풍부 고급 실란의 제조 방법

Legal Events

Date Code Title Description
A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20181017

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20181002

RD03 Notification of appointment of power of attorney

Free format text: JAPANESE INTERMEDIATE CODE: A7423

Effective date: 20181019

RD04 Notification of resignation of power of attorney

Free format text: JAPANESE INTERMEDIATE CODE: A7424

Effective date: 20181019

A524 Written submission of copy of amendment under article 19 pct

Free format text: JAPANESE INTERMEDIATE CODE: A524

Effective date: 20200319

A621 Written request for application examination

Free format text: JAPANESE INTERMEDIATE CODE: A621

Effective date: 20200319

A977 Report on retrieval

Free format text: JAPANESE INTERMEDIATE CODE: A971007

Effective date: 20201208

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20201215

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20210311

TRDD Decision of grant or rejection written
A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

Effective date: 20210330

A61 First payment of annual fees (during grant procedure)

Free format text: JAPANESE INTERMEDIATE CODE: A61

Effective date: 20210412

R150 Certificate of patent or registration of utility model

Ref document number: 6868640

Country of ref document: JP

Free format text: JAPANESE INTERMEDIATE CODE: R150

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250