KR102514167B1 - 액체 폴리실란 및 이성질체 풍부 고급 실란의 제조 방법 - Google Patents

액체 폴리실란 및 이성질체 풍부 고급 실란의 제조 방법 Download PDF

Info

Publication number
KR102514167B1
KR102514167B1 KR1020217013670A KR20217013670A KR102514167B1 KR 102514167 B1 KR102514167 B1 KR 102514167B1 KR 1020217013670 A KR1020217013670 A KR 1020217013670A KR 20217013670 A KR20217013670 A KR 20217013670A KR 102514167 B1 KR102514167 B1 KR 102514167B1
Authority
KR
South Korea
Prior art keywords
catalyst
containing film
film forming
forming composition
reactor
Prior art date
Application number
KR1020217013670A
Other languages
English (en)
Other versions
KR20210055796A (ko
Inventor
그리고리 니키포로브
길라움 후손
젠나디 이토브
양 왕
Original Assignee
레르 리키드 쏘시에떼 아노님 뿌르 레드 에렉스뿔라따시옹 데 프로세데 조르즈 클로드
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 레르 리키드 쏘시에떼 아노님 뿌르 레드 에렉스뿔라따시옹 데 프로세데 조르즈 클로드 filed Critical 레르 리키드 쏘시에떼 아노님 뿌르 레드 에렉스뿔라따시옹 데 프로세데 조르즈 클로드
Publication of KR20210055796A publication Critical patent/KR20210055796A/ko
Application granted granted Critical
Publication of KR102514167B1 publication Critical patent/KR102514167B1/ko

Links

Images

Classifications

    • CCHEMISTRY; METALLURGY
    • C01INORGANIC CHEMISTRY
    • C01BNON-METALLIC ELEMENTS; COMPOUNDS THEREOF; METALLOIDS OR COMPOUNDS THEREOF NOT COVERED BY SUBCLASS C01C
    • C01B33/00Silicon; Compounds thereof
    • C01B33/04Hydrides of silicon
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B01PHYSICAL OR CHEMICAL PROCESSES OR APPARATUS IN GENERAL
    • B01JCHEMICAL OR PHYSICAL PROCESSES, e.g. CATALYSIS OR COLLOID CHEMISTRY; THEIR RELEVANT APPARATUS
    • B01J23/00Catalysts comprising metals or metal oxides or hydroxides, not provided for in group B01J21/00
    • B01J23/02Catalysts comprising metals or metal oxides or hydroxides, not provided for in group B01J21/00 of the alkali- or alkaline earth metals or beryllium
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B01PHYSICAL OR CHEMICAL PROCESSES OR APPARATUS IN GENERAL
    • B01JCHEMICAL OR PHYSICAL PROCESSES, e.g. CATALYSIS OR COLLOID CHEMISTRY; THEIR RELEVANT APPARATUS
    • B01J23/00Catalysts comprising metals or metal oxides or hydroxides, not provided for in group B01J21/00
    • B01J23/02Catalysts comprising metals or metal oxides or hydroxides, not provided for in group B01J21/00 of the alkali- or alkaline earth metals or beryllium
    • B01J23/04Alkali metals
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B01PHYSICAL OR CHEMICAL PROCESSES OR APPARATUS IN GENERAL
    • B01JCHEMICAL OR PHYSICAL PROCESSES, e.g. CATALYSIS OR COLLOID CHEMISTRY; THEIR RELEVANT APPARATUS
    • B01J27/00Catalysts comprising the elements or compounds of halogens, sulfur, selenium, tellurium, phosphorus or nitrogen; Catalysts comprising carbon compounds
    • B01J27/06Halogens; Compounds thereof
    • B01J27/128Halogens; Compounds thereof with iron group metals or platinum group metals
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B01PHYSICAL OR CHEMICAL PROCESSES OR APPARATUS IN GENERAL
    • B01JCHEMICAL OR PHYSICAL PROCESSES, e.g. CATALYSIS OR COLLOID CHEMISTRY; THEIR RELEVANT APPARATUS
    • B01J31/00Catalysts comprising hydrides, coordination complexes or organic compounds
    • B01J31/02Catalysts comprising hydrides, coordination complexes or organic compounds containing organic compounds or metal hydrides
    • B01J31/0234Nitrogen-, phosphorus-, arsenic- or antimony-containing compounds
    • B01J31/0235Nitrogen containing compounds
    • B01J31/0252Nitrogen containing compounds with a metal-nitrogen link, e.g. metal amides, metal guanidides
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B01PHYSICAL OR CHEMICAL PROCESSES OR APPARATUS IN GENERAL
    • B01JCHEMICAL OR PHYSICAL PROCESSES, e.g. CATALYSIS OR COLLOID CHEMISTRY; THEIR RELEVANT APPARATUS
    • B01J31/00Catalysts comprising hydrides, coordination complexes or organic compounds
    • B01J31/02Catalysts comprising hydrides, coordination complexes or organic compounds containing organic compounds or metal hydrides
    • B01J31/0272Catalysts comprising hydrides, coordination complexes or organic compounds containing organic compounds or metal hydrides containing elements other than those covered by B01J31/0201 - B01J31/0255
    • B01J31/0274Catalysts comprising hydrides, coordination complexes or organic compounds containing organic compounds or metal hydrides containing elements other than those covered by B01J31/0201 - B01J31/0255 containing silicon
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B01PHYSICAL OR CHEMICAL PROCESSES OR APPARATUS IN GENERAL
    • B01JCHEMICAL OR PHYSICAL PROCESSES, e.g. CATALYSIS OR COLLOID CHEMISTRY; THEIR RELEVANT APPARATUS
    • B01J31/00Catalysts comprising hydrides, coordination complexes or organic compounds
    • B01J31/02Catalysts comprising hydrides, coordination complexes or organic compounds containing organic compounds or metal hydrides
    • B01J31/12Catalysts comprising hydrides, coordination complexes or organic compounds containing organic compounds or metal hydrides containing organo-metallic compounds or metal hydrides
    • B01J31/122Metal aryl or alkyl compounds
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B01PHYSICAL OR CHEMICAL PROCESSES OR APPARATUS IN GENERAL
    • B01JCHEMICAL OR PHYSICAL PROCESSES, e.g. CATALYSIS OR COLLOID CHEMISTRY; THEIR RELEVANT APPARATUS
    • B01J31/00Catalysts comprising hydrides, coordination complexes or organic compounds
    • B01J31/02Catalysts comprising hydrides, coordination complexes or organic compounds containing organic compounds or metal hydrides
    • B01J31/12Catalysts comprising hydrides, coordination complexes or organic compounds containing organic compounds or metal hydrides containing organo-metallic compounds or metal hydrides
    • B01J31/14Catalysts comprising hydrides, coordination complexes or organic compounds containing organic compounds or metal hydrides containing organo-metallic compounds or metal hydrides of aluminium or boron
    • B01J31/143Catalysts comprising hydrides, coordination complexes or organic compounds containing organic compounds or metal hydrides containing organo-metallic compounds or metal hydrides of aluminium or boron of aluminium
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B01PHYSICAL OR CHEMICAL PROCESSES OR APPARATUS IN GENERAL
    • B01JCHEMICAL OR PHYSICAL PROCESSES, e.g. CATALYSIS OR COLLOID CHEMISTRY; THEIR RELEVANT APPARATUS
    • B01J31/00Catalysts comprising hydrides, coordination complexes or organic compounds
    • B01J31/16Catalysts comprising hydrides, coordination complexes or organic compounds containing coordination complexes
    • B01J31/22Organic complexes
    • CCHEMISTRY; METALLURGY
    • C01INORGANIC CHEMISTRY
    • C01BNON-METALLIC ELEMENTS; COMPOUNDS THEREOF; METALLOIDS OR COMPOUNDS THEREOF NOT COVERED BY SUBCLASS C01C
    • C01B33/00Silicon; Compounds thereof
    • C01B33/04Hydrides of silicon
    • C01B33/046Purification
    • CCHEMISTRY; METALLURGY
    • C08ORGANIC MACROMOLECULAR COMPOUNDS; THEIR PREPARATION OR CHEMICAL WORKING-UP; COMPOSITIONS BASED THEREON
    • C08GMACROMOLECULAR COMPOUNDS OBTAINED OTHERWISE THAN BY REACTIONS ONLY INVOLVING UNSATURATED CARBON-TO-CARBON BONDS
    • C08G77/00Macromolecular compounds obtained by reactions forming a linkage containing silicon with or without sulfur, nitrogen, oxygen or carbon in the main chain of the macromolecule
    • C08G77/04Polysiloxanes
    • C08G77/12Polysiloxanes containing silicon bound to hydrogen
    • CCHEMISTRY; METALLURGY
    • C08ORGANIC MACROMOLECULAR COMPOUNDS; THEIR PREPARATION OR CHEMICAL WORKING-UP; COMPOSITIONS BASED THEREON
    • C08GMACROMOLECULAR COMPOUNDS OBTAINED OTHERWISE THAN BY REACTIONS ONLY INVOLVING UNSATURATED CARBON-TO-CARBON BONDS
    • C08G77/00Macromolecular compounds obtained by reactions forming a linkage containing silicon with or without sulfur, nitrogen, oxygen or carbon in the main chain of the macromolecule
    • C08G77/60Macromolecular compounds obtained by reactions forming a linkage containing silicon with or without sulfur, nitrogen, oxygen or carbon in the main chain of the macromolecule in which all the silicon atoms are connected by linkages other than oxygen atoms
    • CCHEMISTRY; METALLURGY
    • C08ORGANIC MACROMOLECULAR COMPOUNDS; THEIR PREPARATION OR CHEMICAL WORKING-UP; COMPOSITIONS BASED THEREON
    • C08KUse of inorganic or non-macromolecular organic substances as compounding ingredients
    • C08K3/00Use of inorganic substances as compounding ingredients
    • C08K3/10Metal compounds
    • C08K3/12Hydrides
    • CCHEMISTRY; METALLURGY
    • C08ORGANIC MACROMOLECULAR COMPOUNDS; THEIR PREPARATION OR CHEMICAL WORKING-UP; COMPOSITIONS BASED THEREON
    • C08KUse of inorganic or non-macromolecular organic substances as compounding ingredients
    • C08K3/00Use of inorganic substances as compounding ingredients
    • C08K3/34Silicon-containing compounds
    • CCHEMISTRY; METALLURGY
    • C09DYES; PAINTS; POLISHES; NATURAL RESINS; ADHESIVES; COMPOSITIONS NOT OTHERWISE PROVIDED FOR; APPLICATIONS OF MATERIALS NOT OTHERWISE PROVIDED FOR
    • C09DCOATING COMPOSITIONS, e.g. PAINTS, VARNISHES OR LACQUERS; FILLING PASTES; CHEMICAL PAINT OR INK REMOVERS; INKS; CORRECTING FLUIDS; WOODSTAINS; PASTES OR SOLIDS FOR COLOURING OR PRINTING; USE OF MATERIALS THEREFOR
    • C09D183/00Coating compositions based on macromolecular compounds obtained by reactions forming in the main chain of the macromolecule a linkage containing silicon, with or without sulfur, nitrogen, oxygen, or carbon only; Coating compositions based on derivatives of such polymers
    • C09D183/16Coating compositions based on macromolecular compounds obtained by reactions forming in the main chain of the macromolecule a linkage containing silicon, with or without sulfur, nitrogen, oxygen, or carbon only; Coating compositions based on derivatives of such polymers in which all the silicon atoms are connected by linkages other than oxygen atoms
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B01PHYSICAL OR CHEMICAL PROCESSES OR APPARATUS IN GENERAL
    • B01JCHEMICAL OR PHYSICAL PROCESSES, e.g. CATALYSIS OR COLLOID CHEMISTRY; THEIR RELEVANT APPARATUS
    • B01J2231/00Catalytic reactions performed with catalysts classified in B01J31/00
    • B01J2231/70Oxidation reactions, e.g. epoxidation, (di)hydroxylation, dehydrogenation and analogues
    • B01J2231/76Dehydrogenation
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B01PHYSICAL OR CHEMICAL PROCESSES OR APPARATUS IN GENERAL
    • B01JCHEMICAL OR PHYSICAL PROCESSES, e.g. CATALYSIS OR COLLOID CHEMISTRY; THEIR RELEVANT APPARATUS
    • B01J2523/00Constitutive chemical elements of heterogeneous catalysts
    • B01J2523/10Constitutive chemical elements of heterogeneous catalysts of Group I (IA or IB) of the Periodic Table
    • B01J2523/12Sodium
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B01PHYSICAL OR CHEMICAL PROCESSES OR APPARATUS IN GENERAL
    • B01JCHEMICAL OR PHYSICAL PROCESSES, e.g. CATALYSIS OR COLLOID CHEMISTRY; THEIR RELEVANT APPARATUS
    • B01J2523/00Constitutive chemical elements of heterogeneous catalysts
    • B01J2523/30Constitutive chemical elements of heterogeneous catalysts of Group III (IIIA or IIIB) of the Periodic Table
    • B01J2523/31Aluminium
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B01PHYSICAL OR CHEMICAL PROCESSES OR APPARATUS IN GENERAL
    • B01JCHEMICAL OR PHYSICAL PROCESSES, e.g. CATALYSIS OR COLLOID CHEMISTRY; THEIR RELEVANT APPARATUS
    • B01J2523/00Constitutive chemical elements of heterogeneous catalysts
    • B01J2523/40Constitutive chemical elements of heterogeneous catalysts of Group IV (IVA or IVB) of the Periodic Table
    • B01J2523/41Silicon
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y02TECHNOLOGIES OR APPLICATIONS FOR MITIGATION OR ADAPTATION AGAINST CLIMATE CHANGE
    • Y02PCLIMATE CHANGE MITIGATION TECHNOLOGIES IN THE PRODUCTION OR PROCESSING OF GOODS
    • Y02P20/00Technologies relating to chemical industry
    • Y02P20/50Improvements relating to the production of bulk chemicals
    • Y02P20/582Recycling of unreacted starting or intermediate materials

Landscapes

  • Chemical & Material Sciences (AREA)
  • Organic Chemistry (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Engineering & Computer Science (AREA)
  • Materials Engineering (AREA)
  • Health & Medical Sciences (AREA)
  • Medicinal Chemistry (AREA)
  • Polymers & Plastics (AREA)
  • Inorganic Chemistry (AREA)
  • Wood Science & Technology (AREA)
  • Life Sciences & Earth Sciences (AREA)
  • Silicon Compounds (AREA)
  • Catalysts (AREA)
  • Formation Of Insulating Films (AREA)

Abstract

3개 초과의 규소 원자를 갖는 실란(즉, (SinH(2n+2)이고, n은 4 내지 100임)의 합성이 개시된다. 보다 특별하게는, 개시된 합성 방법은 공정 매개변수, 예컨대, 온도, 체류 시간 및 출발 화합물의 상대적인 양의 선택뿐만 아니라 적절한 촉매의 선택에 의해서 이성질체 비율을 조정하고, 최적화한다. 개시된 합성 방법은 3개 초과의 규소 원자를 함유하는 실란, 특히, 바람직하게는 하나의 주요 이성질체를 함유하는 실란의 손쉬운 제조를 가능하게 한다. 순수한 이성질체 및 이성질체가 풍부한 혼합물은 실란(SiH4), 디실란(Si2H6), 트리실란(Si3H8) 및 이들의 혼합물의 촉매적 전환에 의해서 제조된다.

Description

액체 폴리실란 및 이성질체 풍부 고급 실란의 제조 방법
고급 실란(즉, SinH2n+2, n은 4 내지 100임)의 합성 방법이 개시된다. 보다 특별하게는, 개시된 합성 방법은 고급 실란의 이성질체 비율을 조정하고, 최적화한다. 이성질체 비율은 공정 매개변수, 예컨대, 온도, 체류 시간 및 출발 화합물의 상대적인 양의 선택뿐만 아니라 적절한 촉매의 선택에 의해서 최적화될 수 있다. 개선된 합성 방법은 고급 실란 및 특히 하나의 주요 이성질체를 함유하는 실란의 손쉬운 제조를 가능하게 한다. 순수한 이성질체 및 이성질체가 풍부한 혼합물은 실란(SiH4), 디실란(Si2H6), 트리실란(Si3H8) 또는 이들의 혼합물의 촉매적 전환에 의해서 제조된다.
폴리실란은 다양한 산업에서 사용되어 왔다.
폴리실란을 사용한 규소-함유 막의 증착(vapor deposition)은 특히 세이코 엡슨 코프.(Seiko Epson Corp.)의 일본 특허 제3,185,817호; 문헌[Kanoh et al., Japanese Journal of Applied Physics, Part 1: Regular Papers, Short Notes & Review Papers 1993, 32(6A), 2613-2619]; 쇼와 덴쿄 주식회사(Showa Denko KK)의 일본 특허 제3,484,815호; 및 쇼와 덴쿄 주식회사의 일본 특허 출원 공개 제2000/031066호에 개시되어 있다.
미국 특허 출원 공개 제2010/0184268 A1호는 기판 상에 폴리실라잔과 폴리실란을 포함하는 산화물 막을 형성하기 위해서 코팅 조성물을 코팅하는 단계: 및 산화 분위기에서 열처리하여 홈(groove) 내부에 산화물 막을 형성하는 단계를 포함하는, 반도체 디바이스의 제조 방법을 청구한다. 폴리실라잔(SiH2NH)n(n은 양의 정수임) 및 폴리실란 SinR2n+2과 SinR2n(n은 3 이상임, R은 수소임)의 화학식은 구현예에서만 언급된다.
에피택셜(Epitaxial) Si-함유 막, 예컨대, Si, SiGe, SiC, SiN 및 SiO는 특히 문헌[Hazbun et al., Journal of Crystal Growth 2016, 444, 21-27]; Yi-Chiau Huang 등의 미국 특허 출원 공개 제2017/018427호; Dube 등의 미국 특허 출원 공개 제2016/126093호; 및 문헌[Hart et al., Thin Solid Films 2016, 604, 23-27]]에 개시된 바와 같이 폴리실란을 사용하여 성장되었다.
폴리실란은 Lee 등의 미국 특허 출원 공개 제2009/0269559호; 포르šœ그젠트럼 주엘리히 게엠베하(Forschungszentrum Juelich GmbH)의 PCT 공개 제WO 2015/085980호; Akao 등의 미국 특허 출원 공개 제2010/197102호; 및 쇼와 덴쿄 주식회사의 일본 특허 제6,191,821호에 개시된 바와 같은 인쇄 전자제품을 위한 잉크로서 사용되어 있다.
폴리실란은 또한 특히 문헌[Simone et al., Journal of Propulsion and Power 2006, 22, 1006-1011]; 및 문헌[Hidding et al., Journal of Propulsion and Power 2006, 22, 786-789]]에 개시된 바와 같은 고 비에너지 연료(high specific energy fuel)로서 사용되어 왔다.
저급 실란의 고급 실란으로의 전환은 연구 목적 및 상업적인 목적 둘 다를 위해서 광범위하게 연구되어 왔다. 촉매 반응이 연구되어 왔다(예를 들어, 미국 특허 제5,047,569호(Berris); 문헌[Corey et al., Organometallics, 1991, 10, 924-930]; 문헌[Boudjouk et al., J. Chem. Soc. Chem. Comm. 1991 245-246]; 미국 특허 제5,087,719호(Tilley 등); 문헌[Woo et al., J. Am. Chem. Soc. 1992, 114, 7047-7055]; 문헌[Ohshita et al., Organometallics 1994 13, 5002-5012]; 문헌[Bourg et al., Organometallics, 1995, 14, 564-566; Bourg et al., Organometallics 1995, 14, 564-566]; 문헌[Bourg et al., Organometallics 1995, 14, 564-566]; 미국 특허 제5,700,400호(Ikai 등); 문헌[Woo et al., Mol. Cryst. Liq. Cryst. Sci. Technol., Sect. A, 2000, 349, 87]; 문헌[Rosenberg et al., J. Am. Chem. Soc. 2001, 123, 5120-5121]; 문헌[Fontaine et al., Organometallics 2002, 21, 401-408]; 문헌[Kim et al., Organometallics 2002, 21, 2796]; 문헌[Corey et al., Adv. In Org. Chem. 2004, 51, pp. 1-52]; 문헌[Fontaine et al., J. Am. Chem. Soc. 2004, 126, 8786-8794]; 미국 특허 출원 공개 제2008/085373호(Karshtedt 등); 문헌[Itazaki et al., Angew. Chem. Int. Ed. 2009, 48, 3313-3316]; PCT 공개 제WO2010/003729호(에보닉 데구사 게엠베하(Evonik Degussa GMBH)); 문헌[Smith et al., Organometallics 2010, 29, 6527-6533]; PCT 공개 제WO2012/001180호(스파운트 프리바트 에스.에이.알.엘(SPAWNT PRIVAT S.A.R.L)); PCT 공개 제WO2013/019208호(코비오 인크.(Kovio, Inc.)); 문헌[Feigl et al., Chem. Eur. J. 2013, 19, 12526-12536]; 문헌[Tanabe et al., Organometallics 2013, 32, 1037-1043]; 미국 특허 제8,709,369호(Brausch 등); 문헌[Schmidt et al., Dalton Trans. 2014, 43, 10816-10827]; 및 미국 특허 제9,567,228호(Matsushita 등) 참조).
이러한 모든 개시내용에도 불구하고, 폴리실란의 상업적인 사용은 달성하기 힘들다.
SinH(2n+2)(식 중, n은 4 내지 100임)의 생성 방법이 개시되어 있다. 액체 SiaH(2a+2) 반응물(식 중, a는 1 내지 4임)은 촉매의 존재 하에서 전환되어 SinH(2n+2)(식 중, n은 a보다 큼)을 생성시킨다. 촉매는 a) I, II 또는 III족 원소 또는 이들의 산화물, 알킬, 히드라이드, 실라나이드 또는 실릴 아마이드로부터 선택된 불균질 촉매; 또는 b) 알킬 리튬 LiR 또는 리튬 아마이드 LiNR2(식 중, 각각의 R은 독립적으로 C1-C4 알킬 기임)과 조합된 Fe 할라이드를 포함하는 촉매일 수 있다. 대안적으로, SinH(2n+2)(식 중, n은 4 내지 100임)는 SiaH(2a+2) 반응물(식 중, a는 1 내지 4이고, n은 a보다 큼)을 촉매적으로 전환시킴으로써 생성될 수 있다. 또 다른 대안에서, SiaH(2a+2) 반응물(식 중, a는 1 내지 4임)은 불균질 촉매와 반응하여 SinH(2n+2)(식 중, n은 4 내지 100이고, n은 a보다 큼)를 생성시킨다. 추가의 또 다른 대안에서, SiaH(2a+2) 반응물(식 중, a는 1 내지 4임)은 불균질 촉매와 접촉되어 SinH(2n+2)(식 중, n은 4 내지 100이고, n은 a보다 큼)를 생성시킨다.
화학식 SinH(2n+2)(식 중, n은 5 내지 8임)를 갖는 이성질체적으로 풍부한 폴리실란이 또한 개시된다. 액체 SinH(2n+2) 반응물(식 중, n은 1 내지 4임)은 대략 2:1 내지 대략 15:1의 범위의 하나의 이성질체 대 또 다른 이성질체의 비를 갖는 이성질체적으로 풍부한 폴리실란으로 촉매적으로 전환된다.
개시된 방법들은 이하의 양태 중 하나 이상을 포함할 수 있다:
Figure 112021052414575-pct00001
n은 4 내지 10임;
Figure 112021052414575-pct00002
촉매는 소듐 비스(2-메톡시에톡시)알루미늄 히드라이드임;
Figure 112021052414575-pct00003
촉매는 KN(SiMe3)2임;
Figure 112021052414575-pct00004
촉매는 NaN(SiMe3)2임;
Figure 112021052414575-pct00005
촉매는 K, Na, Na2O, K2O 또는 이들의 조합물임;
Figure 112021052414575-pct00006
촉매는 K, K2O 또는 이들의 조합물임;
Figure 112021052414575-pct00007
촉매는 Na, Na2O 또는 이들의 조합물임;
Figure 112021052414575-pct00008
촉매는 K임;
Figure 112021052414575-pct00009
촉매는 Na임;
Figure 112021052414575-pct00010
촉매는 Na2O임;
Figure 112021052414575-pct00011
촉매는 K2O임;
Figure 112021052414575-pct00012
n은 10 내지 30임;
Figure 112021052414575-pct00013
촉매는 알킬 리튬 LiR 또는 리튬 아마이드 LiNR2(각각의 R은 독립적으로 C1-C4 알킬 기임)와 조합된 Fe 할라이드를 포함함;
Figure 112021052414575-pct00014
촉매는 BuLi과 조합된 5% w/w FeCl3 를 포함함;
Figure 112021052414575-pct00015
촉매는 LiNMe2와 조합된 5% w/w FeCl3를 포함함;
Figure 112021052414575-pct00016
n은 30 내지 50임;
Figure 112021052414575-pct00017
방법은 H2를 사용하지 않음;
Figure 112021052414575-pct00018
SiaH(2a+2) 반응물은 액체임;
Figure 112021052414575-pct00019
SiaH(2a+2) 반응물은 액체와 기체의 혼합물임;
Figure 112021052414575-pct00020
SiaH(2a+2) 반응물은 Si3H8임;
Figure 112021052414575-pct00021
SiaH(2a+2) 반응물은 액체 Si3H8임;
Figure 112021052414575-pct00022
SiaH(2a+2) 반응물은 Si2H6와 Si3H8의 혼합물임;
Figure 112021052414575-pct00023
SiaH(2a+2) 반응물은 Si2H6와 Si3H8의 액체 혼합물임;
Figure 112021052414575-pct00024
SiaH(2a+2) 반응물은 기체 Si2H6와 액체 Si3H8의 혼합물임;
Figure 112021052414575-pct00025
혼합물은 대략 0.1% w/w 내지 대략 60% w/w의 Si3H8 및 대략 40% w/w 내지 99.9% w/w의 Si2H6를 포함함;
Figure 112021052414575-pct00026
혼합물은 대략 0.1% w/w 내지 대략 25% w/w의 Si3H8 및 대략 75% w/w 내지 99.9% w/w의 Si2H6를 포함함;
Figure 112021052414575-pct00027
혼합물은 대략 0.1% w/w 내지 대략 10% w/w의 Si3H8 및 대략 90% w/w 내지 99.9% w/w의 Si2H6를 포함함;
Figure 112021052414575-pct00028
SiaH(2a+2) 반응물은 Si3H8과 Si4H10의 혼합물임;
Figure 112021052414575-pct00029
SiaH(2a+2) 반응물은 Si3H8과 Si4H10의 액체 혼합물임;
Figure 112021052414575-pct00030
SiaH(2a+2) 반응물은 기체 Si3H8과 액체 Si4H10의 혼합물임;
Figure 112021052414575-pct00031
혼합물은 대략 0.1% w/w 내지 대략 60% w/w의 Si4H10 및 대략 40% w/w 내지 99.9% w/w의 Si3H8을 포함함;
Figure 112021052414575-pct00032
혼합물은 대략 0.1% w/w 내지 대략 25% w/w의 Si4H10 및 대략 75% w/w 내지 99.9% w/w의 Si3H8을 포함함;
Figure 112021052414575-pct00033
혼합물은 대략 0.1% w/w 내지 대략 10% w/w의 Si4H10 및 대략 90% w/w 내지 99.9% w/w의 Si3H8을 포함함;
Figure 112021052414575-pct00034
SiaH(2a+2) 반응물 중 대략 20% w/w 내지 대략 60% w/w를 전환시킴;
Figure 112021052414575-pct00035
촉매와 혼합하기 전에 SiaH(2a+2) 반응물을 가열함;
Figure 112021052414575-pct00036
SiaH(2a+2) 반응물 및 촉매를 혼합하여 반응물-촉매 혼합물을 형성함;
Figure 112021052414575-pct00037
SiaH(2a+2) 반응물 및 촉매를 혼합하여 대략 1시간 내지 대략 24시간의 범위의 시간 기간 동안 반응물-촉매 혼합물을 형성함;
Figure 112021052414575-pct00038
반응물-촉매 혼합물을 대략 30℃ 내지 대략 55℃의 범위의 온도로 가열함;
Figure 112021052414575-pct00039
반응물-촉매 혼합물을 대략 실온 내지 대략 53℃ 범위의 온도에서 혼합함;
Figure 112021052414575-pct00040
반응물-촉매 혼합물을 대략 15℃ 내지 대략 50℃ 범위의 온도에서 혼합함;
Figure 112021052414575-pct00041
반응물-촉매 혼합물을 대략 15℃ 내지 대략 30℃ 범위의 온도에서 혼합함;
Figure 112021052414575-pct00042
반응물-촉매 혼합물을 여과하여 생성된 SinH(2n+2) 혼합물로부터 임의의 고체를 분리함;
Figure 112021052414575-pct00043
촉매를 함유하는 반응기를 통해서 유동시키기 전에 SiaH(2a+2) 반응물을 가열함;
Figure 112021052414575-pct00044
촉매를 통해서 유동시키기 전에 SiaH(2a+2) 반응물을 가열함;
Figure 112021052414575-pct00045
SiaH(2a+2) 반응물을 촉매를 함유하는 반응기를 통해서 유동시킴;
Figure 112021052414575-pct00046
SiaH(2a+2) 반응물을 유리솜(glass wool) 상에 촉매를 함유하는 반응기를 통해서 유동시킴;
Figure 112021052414575-pct00047
SiaH(2a+2) 반응물을 촉매 펠릿을 함유하는 반응기를 통해서 유동시킴;
Figure 112021052414575-pct00048
SiaH(2a+2) 반응물을 촉매를 함유하는 반응기를 통해서 유동시켜 SinH(2n+2) 혼합물을 생성함;
Figure 112021052414575-pct00049
SiaH(2a+2) 반응물은 대략 200초 내지 대략 600초의 범위의 반응기에서의 체류 시간을 가짐;
Figure 112021052414575-pct00050
반응기를 대략 15℃ 내지 대략 170℃ 범위의 온도까지 가열함;
Figure 112021052414575-pct00051
반응기를 대략 15℃ 내지 대략 150℃ 범위의 온도까지 가열함;
Figure 112021052414575-pct00052
반응기를 대략 15℃ 내지 대략 100℃ 범위의 온도까지 가열함;
Figure 112021052414575-pct00053
반응기를 대략 15℃ 내지 대략 50℃ 범위의 온도까지 가열함;
Figure 112021052414575-pct00054
반응기를 대략 20℃ 내지 대략 150℃ 범위의 온도까지 가열함;
Figure 112021052414575-pct00055
반응기를 대략 50℃ 내지 대략 100℃ 범위의 온도까지 가열함;
Figure 112021052414575-pct00056
반응기를 대략 40℃ 내지 대략 150℃ 범위의 온도까지 가열함;
Figure 112021052414575-pct00057
반응기를 대략 10 psig(69 kPa) 내지 대략 50 psig(345 kPa)의 범위의 압력에서 유지시킴;
Figure 112021052414575-pct00058
방법은 켄칭제(quenching agent)가 필요하지 않음;
Figure 112021052414575-pct00059
미반응 SiaH(2a+2) 반응물을 재순환시킴;
Figure 112021052414575-pct00060
불균질 촉매는 주기율표의 I족으로부터 선택된 원소를 포함함;
Figure 112021052414575-pct00061
불균질 촉매는 K를 포함함;
Figure 112021052414575-pct00062
불균질 촉매는 Na를 포함함;
Figure 112021052414575-pct00063
불균질 촉매는 주기율표의 III족으로부터 선택된 원소를 포함함;
Figure 112021052414575-pct00064
불균질 촉매는 Al을 포함함;
Figure 112021052414575-pct00065
불균질 촉매는 주기율표의 I족으로부터 선택된 원소 및 주기율표의 III족으로부터 선택된 원소 둘 다를 포함함;
Figure 112021052414575-pct00066
불균질 촉매는 Na 및 Al을 포함함;
Figure 112021052414575-pct00067
불균질 촉매는 Li 및 Al을 포함함;
Figure 112021052414575-pct00068
불균질 촉매는 I, II 또는 III족 원소 또는 이들의 산화물, 알킬, 히드라이드, 실라나이드 또는 실릴 아마이드로부터 선택됨;
Figure 112021052414575-pct00069
불균질 촉매는 산화물 촉매임;
Figure 112021052414575-pct00070
불균질 촉매는 I족 금속 산화물 촉매임;
Figure 112021052414575-pct00071
불균질 촉매는 Na2O임;
Figure 112021052414575-pct00072
불균질 촉매는 K2O임;
Figure 112021052414575-pct00073
불균질 촉매는 K, K2O 또는 이들의 조합물임;
Figure 112021052414575-pct00074
불균질 촉매는 Na, Na2O 또는 이들의 조합물임;
Figure 112021052414575-pct00075
히드라이드 촉매는 주기율표의 I, II 또는 III족으로부터의 원소를 포함함;
Figure 112021052414575-pct00076
히드라이드 촉매는 LiAIH4, LiAIHnR4-n, NaAlHnR4-n, KAlHnR4-n, RbAlHnR4-n, CsAlHnR4-n 및 이들의 조합물로부터 이루어진 군으로부터 선택되고, 식 중, n은 1, 2 또는 3이고, 각각의 R은 독립적으로 CmH2m+1(식 중, m은 1 내지 10임) 또는 산소 또는 질소 원자를 갖는 지방족 기임;
Figure 112021052414575-pct00077
히드라이드 촉매는 LiAIH4임;
Figure 112021052414575-pct00078
히드라이드 촉매는 LiAIHnR4-n(식 중, n은 1, 2 또는 3이고, 각각의 R은 독립적으로 CmH2m+1이고, m은 1 내지 10임) 또는 산소 또는 질소 원자를 갖는 지방족 기임;
Figure 112021052414575-pct00079
히드라이드 촉매는 NaAlHnR4-n(식 중, n은 1, 2 또는 3이고, 각각의 R은 독립적으로 CmH2m+1이고, m은 1 내지 10임) 또는 산소 또는 질소 원자를 갖는 지방족 기로 이루어진 군으로부터 선택됨;
Figure 112021052414575-pct00080
히드라이드 촉매는 KAlHnR4-n(식 중, n은 1, 2 또는 3이고, 각각의 R은 독립적으로 CmH2m+1이고, m은 1 내지 10임) 또는 산소 또는 질소 원자를 갖는 지방족 기로 이루어진 군으로부터 선택됨;
Figure 112021052414575-pct00081
히드라이드 촉매는 RbAlHnR4-n(식 중, n은 1, 2 또는 3이고, 각각의 R은 독립적으로 CmH2m+1이고, m은 1 내지 10임) 또는 산소 또는 질소 원자를 갖는 지방족 기로 이루어진 군으로부터 선택됨;
Figure 112021052414575-pct00082
히드라이드 촉매는 CsAlHnR4-n(식 중, n은 1, 2 또는 3이고, 각각의 R은 독립적으로 CmH2m+1이고, m은 1 내지 10임) 또는 산소 또는 질소 원자를 갖는 지방족 기로 이루어진 군으로부터 선택됨;
Figure 112021052414575-pct00083
산소 또는 질소 원자를 갖는 지방족 기는 -CH2OMe, -CH2CH2OMe, -OCH2CH2CH2OMe, -CH2CH2NMe2, 방향족 기 및 이들의 조합물로 이루어진 군으로부터 선택됨;
Figure 112021052414575-pct00084
불균질 촉매는 소듐 비스(2-메톡시에톡시)알루미늄 히드라이드 [Na(-O(Me)-C2H4-O-)2AlH2]임;
Figure 112021052414575-pct00085
방향족 기는 페닐 또는 치환된 페닐임;
Figure 112021052414575-pct00086
불균질 촉매는 금속 실릴아마이드 촉매임;
Figure 112021052414575-pct00087
금속 실릴아마이드 촉매는 화학식 M[N(SiR3)2]x를 갖고, 식 중 M이 Li, Na, K, Rb 또는 Cs인 경우 x는 1이고; M이 Mg, Ca, Sr 또는 Ba인 경우 x는 2이고; M이 Al 또는 Ga인 경우 x는 3이고; 각각의 R은 독립적으로 CmH2m+1(m은 1 내지 10임) 또는 방향족 기임;
Figure 112021052414575-pct00088
금속 실릴아마이드 촉매는 화학식 Li[N(SiR3)2]를 갖고, 식 중 각각의 R은 독립적으로 CmH2m+1(m은 1 내지 10임) 또는 방향족 기임;
Figure 112021052414575-pct00089
금속 실릴아마이드 촉매는 화학식 Na[N(SiR3)2]를 갖고, 식 중 각각의 R은 독립적으로 CmH2m+1(m은 1 내지 10임) 또는 방향족 기임;
Figure 112021052414575-pct00090
금속 실릴아마이드 촉매는 화학식 Na[N(SiR3)2]를 갖고, 식 중, 각각의 R은 독립적으로 CmH2m+1이고, m은 1 내지 4임;
Figure 112021052414575-pct00091
금속 실릴아마이드 촉매는 소듐 비스(트리메틸실릴)아마이드임;
Figure 112021052414575-pct00092
금속 실릴아마이드 촉매는 화학식 K[N(SiR3)2]를 갖고, 식 중, 각각의 R은 독립적으로 CmH2m+1(m은 1 내지 10임) 또는 방향족 기임;
Figure 112021052414575-pct00093
금속 실릴아마이드 촉매는 화학식 K[N(SiR3)2]를 갖고, 식 중, 각각의 R은 독립적으로 CmH2m+1이고, m은 1 내지 4임;
Figure 112021052414575-pct00094
금속 실릴아마이드 촉매는 포타슘 비스(트리메틸실릴)아마이드임;
Figure 112021052414575-pct00095
금속 실릴아마이드 촉매는 화학식 Mg[N(SiR3)2]2를 갖고, 각각의 R은 독립적으로 CmH2m+1(m은 1 내지 10임) 또는 방향족 기임;
Figure 112021052414575-pct00096
금속 실릴아마이드 촉매는 화학식 Ca[N(SiR3)2]2를 갖고, 각각의 R은 독립적으로 CmH2m+1(m은 1 내지 10임) 또는 방향족 기임;
Figure 112021052414575-pct00097
금속 실릴아마이드 촉매는 화학식 Sr[N(SiR3)2]2를 갖고, 각각의 R은 독립적으로 CmH2m+1(m은 1 내지 10임) 또는 방향족 기임;
Figure 112021052414575-pct00098
금속 실릴아마이드 촉매는 화학식 Ba[N(SiR3)2]2를 갖고, 각각의 R은 독립적으로 CmH2m+1(m은 1 내지 10임) 또는 방향족 기임;
Figure 112021052414575-pct00099
금속 실릴아마이드 촉매는 화학식 Al[N(SiR3)2]3를 갖고, 각각의 R은 독립적으로 CmH2m+1(m은 1 내지 10임) 또는 방향족 기임;
Figure 112021052414575-pct00100
금속 실릴아마이드 촉매 화학식 Ga[N(SiR3)2]3를 갖고, 각각의 R은 독립적으로 CmH2m+1(m은 1 내지 10임) 또는 방향족 기임;
Figure 112021052414575-pct00101
불균질 촉매는 금속 실라나이드 촉매임;
Figure 112021052414575-pct00102
금속 실라나이드 촉매는 화학식 Na[Al(SiH3)(Si2H5)(OCH2CH2OMe)2]를 가짐;
Figure 112021052414575-pct00103
금속 실라나이드 촉매는 화학식 M(SinH2n+1)x 또는 M(SiR3)x를 갖고, 식 중, n은 1 내지 4이고, M이 Li, Na, K, Rb 또는 Cs인 경우 x는 1이고; M이 Mg, Ca, Sr 또는 Ba인 경우 x는 2이고; M이 Al 또는 Ga인 경우 x는 3이고; 각각의 R은 독립적으로 CmH2m+1(m은 1 내지 10임) 또는 방향족 기임;
Figure 112021052414575-pct00104
금속 실라나이드 촉매는 화학식 M(SinH2n+1)x를 갖고, 식 중, n은 1 내지 4이고, M이 Li, Na, K, Rb 또는 Cs인 경우 x는 1이고; M이 Mg, Ca, Sr 또는 Ba인 경우 x는 2이고; M=Al 또는 Ga인 경우 x는 3임;
Figure 112021052414575-pct00105
금속 실라나이드 촉매는 화학식 LiSiH3를 가짐;
Figure 112021052414575-pct00106
금속 실라나이드 촉매는 화학식 NaSiH3를 가짐;
Figure 112021052414575-pct00107
금속 실라나이드 촉매는 화학식 KSiH3를 가짐;
Figure 112021052414575-pct00108
금속 실라나이드 촉매는 화학식 RbSiH3를 가짐;
Figure 112021052414575-pct00109
금속 실라나이드 촉매는 화학식 CsSiH3를 가짐;
Figure 112021052414575-pct00110
금속 실라나이드 촉매는 화학식 LiSiPh3를 가짐;
Figure 112021052414575-pct00111
금속 실라나이드 촉매는 화학식 NaSiPh3를 가짐;
Figure 112021052414575-pct00112
금속 실라나이드 촉매는 화학식 KSiPh3를 가짐;
Figure 112021052414575-pct00113
금속 실라나이드 촉매는 화학식 RbSiPh3를 가짐;
Figure 112021052414575-pct00114
금속 실라나이드 촉매는 화학식 CsSiPh3를 가짐;
Figure 112021052414575-pct00115
금속 실라나이드 촉매는 화학식 LiSi2H5를 가짐;
Figure 112021052414575-pct00116
금속 실라나이드 촉매는 화학식 NaSi2H5를 가짐;
Figure 112021052414575-pct00117
금속 실라나이드 촉매는 화학식 KSi2H5를 가짐;
Figure 112021052414575-pct00118
금속 실라나이드 촉매는 화학식 RbSi2H5를 가짐;
Figure 112021052414575-pct00119
금속 실라나이드 촉매는 화학식 CsSi2H5를 가짐;
Figure 112021052414575-pct00120
금속 실라나이드 촉매는 화학식 Mg(SiH3)2를 가짐,
Figure 112021052414575-pct00121
금속 실라나이드 촉매는 화학식 Ca(SiH3)2를 가짐,
Figure 112021052414575-pct00122
금속 실라나이드 촉매는 화학식 Sr(SiH3)2를 가짐,
Figure 112021052414575-pct00123
금속 실라나이드 촉매는 화학식 Ba(SiH3)2를 가짐,
Figure 112021052414575-pct00124
금속 실라나이드 촉매는 화학식 Al(SiH3)3를 가짐;
Figure 112021052414575-pct00125
금속 실라나이드 촉매는 화학식 Ga(SiH3)3를 가짐;
Figure 112021052414575-pct00126
금속 실라나이드 촉매는 화학식 M(SiR3)x를 갖고, 식 중, M는 Li, Na, K, Rb 또는 Cs인 경우 x는 1이고; M이 Mg, Ca, Sr 또는 Ba인 경우 x는 2이고; M이 Al 또는 Ga인 경우 x는 3이고; 각각의 R은 독립적으로 CmH2m+1(m은 1 내지 10임) 또는 방향족 기임;
Figure 112021052414575-pct00127
금속 실라나이드 촉매는 화학식 Li(SiR3)를 갖고, 식 중, 각각의 R은 임 독립적으로 CmH2m+1(m은 1 내지 10임) 또는 방향족 기임;
Figure 112021052414575-pct00128
금속 실라나이드 촉매는 화학식 Na(SiR3)를 갖고, 식 중, 각각의 R은 독립적으로 CmH2m+1(m은 1 내지 10임) 또는 방향족 기임;
Figure 112021052414575-pct00129
금속 실라나이드 촉매는 화학식 K(SiR3)를 갖고, 식 중, 각각의 R은 독립적으로 CmH2m+1(m은 1 내지 10임) 또는 방향족 기임;
Figure 112021052414575-pct00130
금속 실라나이드 촉매는 화학식 Rb(SiR3)를 갖고, 식 중, 각각의 R은 독립적으로 CmH2m+1(m은 1 내지 10임) 또는 방향족 기임;
Figure 112021052414575-pct00131
금속 실라나이드 촉매는 화학식 Cs(SiR3)를 갖고, 식 중, 각각의 R은 독립적으로 CmH2m+1(m은 1 내지 10임) 또는 방향족 기임;
Figure 112021052414575-pct00132
금속 실라나이드 촉매는 화학식 Mg(SiR3)2를 갖고, 식 중, 각각의 R은 독립적으로 CmH2m+1(m은 1 내지 10임) 또는 방향족 기임;
Figure 112021052414575-pct00133
금속 실라나이드 촉매는 화학식 Ca(SiR3)2를 갖고, 식 중, 각각의 R은 독립적으로 CmH2m+1(m은 1 내지 10임) 또는 방향족 기임;
Figure 112021052414575-pct00134
금속 실라나이드 촉매는 화학식 Sr(SiR3)2를 갖고, 식 중, 각각의 R은 독립적으로 CmH2m+1(m은 1 내지 10임) 또는 방향족 기임;
Figure 112021052414575-pct00135
금속 실라나이드 촉매는 화학식 Ba(SiR3)2를 갖고, 식 중, 각각의 R은 독립적으로 CmH2m+1(m은 1 내지 10임) 또는 방향족 기임;
Figure 112021052414575-pct00136
금속 실라나이드 촉매는 화학식 Al(SiR3)3를 갖고, 식 중, 각각의 R은 독립적으로 CmH2m+1(m은 1 내지 10임) 또는 방향족 기임;
Figure 112021052414575-pct00137
금속 실라나이드 촉매는 화학식 Ga(SiR3)3를 갖고, 식 중, 각각의 R은 독립적으로 CmH2m+1 (m은 1 내지 10임) 또는 방향족 기임;
Figure 112021052414575-pct00138
불균질 촉매는 지지체 상에 존재함;
Figure 112021052414575-pct00139
촉매는 지지체 상에 물리적으로 결합됨;
Figure 112021052414575-pct00140
촉매는 지지체 상에 화학적으로 결합됨;
Figure 112021052414575-pct00141
촉매는 지지체 상에 물리적으로 그리고 화학적으로 결합됨;
Figure 112021052414575-pct00142
지지체는 알루미나(Al2O3), 실리카(SiO2) 또는 이들의 조합물임;
Figure 112021052414575-pct00143
지지체는 알루미나(Al2O3)임;
Figure 112021052414575-pct00144
지지체는 실리카(SiO2)임;
Figure 112021052414575-pct00145
불균질 촉매는 펠릿 형태로 존재함;
Figure 112021052414575-pct00146
불균질 촉매는 대략 0.1% w/w 내지 대략 70% w/w의 불균질 촉매 및 지지체 조합물을 포함함;
Figure 112021052414575-pct00147
불균질 촉매는 대략 1% w/w 내지 대략 50% w/w의 불균질 촉매 및 지지체 조합물을 포함함;
Figure 112021052414575-pct00148
불균질 촉매는 대략 1% w/w 내지 대략 5% w/w의 불균질 촉매 및 지지체 조합물을 포함함;
Figure 112021052414575-pct00149
SinH(2n+2)를 분별 증류하여 대략 95% w/w 내지 대략 100% w/w의 n-Si5H12를 포함하는 Si-함유 막 형성 조성물을 제조함;
Figure 112021052414575-pct00150
SinH(2n+2)를 분별 증류하여 대략 95% w/w 내지 대략 100% w/w의 Si6H14을 포함하는 Si-함유 막 형성 조성물을 제조함;
Figure 112021052414575-pct00151
SinH(2n+2)를 분별 증류하여 대략 95% w/w 내지 대략 100% w/w의 n-Si7H16을 포함하는 Si-함유 막 형성 조성물을 제조함; 및/또는
Figure 112021052414575-pct00152
SinH(2n+2)를 분별 증류하여 대략 95% w/w 내지 대략 100% w/w의 n-Si8H18을 포함하는 Si-함유 막 형성 조성물을 제조함.
상기에 개시된 방법 중 임의의 것에 의해서 제조된 Si-함유 막 형성 조성물이 또한 개시된다. 개시된 방법은 다음 양태들 중 하나 이상을 추가로 포함할 수 있다:
Figure 112021052414575-pct00153
Si-함유 막 형성 조성물은 대략 95% w/w 내지 대략 100% w/w의 n-Si5H12를 포함함;
Figure 112021052414575-pct00154
Si-함유 막 형성 조성물은 대략 95% w/w 내지 대략 100% w/w의 n-Si6H14을 포함함;
Figure 112021052414575-pct00155
Si-함유 막 형성 조성물은 대략 95% w/w 내지 대략 100% w/w의 n-Si7H16을 포함함;
Figure 112021052414575-pct00156
Si-함유 막 형성 조성물은 대략 95% w/w 내지 대략 100% w/w의 n-Si8H18을 포함함;
Figure 112021052414575-pct00157
Si-함유 막 형성 조성물은 대략 0 ppmw 내지 대략 100 ppmw의 할라이드 불순물을 포함함;
Figure 112021052414575-pct00158
Si-함유 막 형성 조성물은 대략 0 ppmw 내지 대략 25 ppmw의 할라이드 불순물을 포함함; 및/또는
Figure 112021052414575-pct00159
Si-함유 막 형성 조성물은 대략 0 ppmw 내지 대략 5 ppmw의 할라이드 불순물을 포함함.
증착 공정 동안 휘발성 폴리실란의 증기압을 유지시키는 방법이 또한 개시된다. 증착 공정은 상기에 개시된 Si-함유 막 형성 조성물 중 임의의 것을 사용한다. Si-함유 막 형성 조성물은 기화 온도에서 유지된다. 개시된 방법은 다음 양태들 중 하나 이상을 추가로 포함할 수 있다:
Figure 112021052414575-pct00160
Si-함유 막 형성 조성물은 H(2n+2)이고, 식 중, n은 4 내지 10임;
Figure 112021052414575-pct00161
Si-함유 막 형성 조성물은 대략 90% w/w 내지 대략 100% w/w의 Si5H12를 포함함;
Figure 112021052414575-pct00162
Si-함유 막 형성 조성물은 대략 90% w/w 내지 대략 100% w/w의 Si6H14을 포함함;
Figure 112021052414575-pct00163
Si-함유 막 형성 조성물은 대략 90% w/w 내지 대략 100% w/w의 Si7H16을 포함함;
Figure 112021052414575-pct00164
Si-함유 막 형성 조성물은 대략 90% w/w 내지 대략 100% w/w의 Si8H18을 포함함;
Figure 112021052414575-pct00165
Si-함유 막 형성 조성물은 기화 온도에서 초기 증기압을 가짐;
Figure 112021052414575-pct00166
기화 온도는 대략 0℃ 내지 대략 50℃의 범위임;
Figure 112021052414575-pct00167
Si-함유 막 형성 조성물의 대략 75% w/w가 소모될 때까지 Si-함유 막 형성 조성물의 초기 증기압의 대략 80%를 기화 온도에서 유지시킴;
Figure 112021052414575-pct00168
Si-함유 막 형성 조성물의 대략 75% w/w가 소모될 때까지 Si-함유 막 형성 조성물의 초기 증기압의 대략 90%를 기화 온도에서 유지시킴;
Figure 112021052414575-pct00169
Si-함유 막 형성 조성물의 대략 75% w/w가 소모될 때까지 Si-함유 막 형성 조성물의 초기 증기압의 대략 95%를 기화 온도에서 유지시킴.
중합 동안 분지화 폴리실란의 형성을 감소시키는 방법이 또한 개시된다. 중합 공정은 상기에 개시된 Si-함유 막 형성 조성물 중 임의의 것을 사용한다. 개시된 방법은 다음 양태들 중 하나 이상을 추가로 포함할 수 있다:
Figure 112021052414575-pct00170
Si-함유 막 형성 조성물은 대략 90% w/w 내지 대략 100% w/w의 Si5H12를 포함함;
Figure 112021052414575-pct00171
Si-함유 막 형성 조성물은 대략 90% w/w 내지 대략 100% w/w의 Si6H14을 포함함;
Figure 112021052414575-pct00172
Si-함유 막 형성 조성물은 대략 90% w/w 내지 대략 100% w/w의 Si7H16을 포함함; 그리고/또는
Figure 112021052414575-pct00173
Si-함유 막 형성 조성물은 대략 90% w/w 내지 대략 100% w/w의 Si8H18을 포함함.
또한, 기판 상에 Si-함유 막을 형성시키는 코팅 방법이 개시된다. 상기에 개시된 Si-함유 막 형성 조성물은 기판과 접촉되며, 스핀 코팅, 스프레이 코팅, 딥 코팅, 또는 슬릿 코팅 기술을 통해 Si-함유 막이 형성된다. 개시된 방법은 하기 양태들을 포함할 수 있다:
Figure 112021052414575-pct00174
Si-함유 막 형성 조성물은 대략 0.5% w/w 내지 대략 99.5% w/w의 퍼히드로폴리실라잔을 더 포함함;
Figure 112021052414575-pct00175
Si-함유 막 형성 조성물은 대략 10% w/w 내지 대략 90% w/w의 퍼히드로폴리실라잔을 더 포함함;
Figure 112021052414575-pct00176
스핀 코팅 기술을 통해 Si-함유 필름을 형성함;
Figure 112021052414575-pct00177
스프레이 코팅 기술을 통해 Si-함유 필름을 형성함;
Figure 112021052414575-pct00178
딥 코팅 기술을 통해 Si-함유 필름을 형성함;
Figure 112021052414575-pct00179
슬릿 코팅 기술을 통해 Si-함유 필름을 형성함;
Figure 112021052414575-pct00180
Si-함유 필름을 열 경화시킴;
Figure 112021052414575-pct00181
Si-함유 필름을 광자 경화시킴;
Figure 112021052414575-pct00182
Si-함유 필름을 어닐링함;
Figure 112021052414575-pct00183
Si-함유 필름을 레이저 처리함;
Figure 112021052414575-pct00184
Si-함유 막은 SiN임;
Figure 112021052414575-pct00185
Si-함유 막은 SiO2임;
Figure 112021052414575-pct00186
SiO2 막은 1100℃에서 성장된 열 산화물(thermal oxide)과 비교하여 대략 1 내지 대략 5 범위의 습식 에칭 속도(wet etch rate)를 가짐;
Figure 112021052414575-pct00187
SiO2 막은 1100℃에서 성장된 열 산화물과 비교하여 대략 1 내지 대략 3 범위의 습식 에칭 속도를 가짐;
Figure 112021052414575-pct00188
Si-함유 막은 SiN임;
Figure 112021052414575-pct00189
Si-함유 막은 SiC임;
Figure 112021052414575-pct00190
Si-함유 필름이 SiON임;
Figure 112021052414575-pct00191
기판은 대략 1:1 내지 대략 1:100 범위의 종횡비를 갖는 트렌치를 포함함; 또는
Figure 112021052414575-pct00192
트렌치는 대략 10 ㎚ 내지 대략 1 마이크론 범위의 임계 치수를 가짐.
표기법 및 명명법
특정 약어, 기호, 및 용어가 다음의 설명 및 청구범위 전체에 걸쳐 사용되며 다음을 포함한다:
본 명세서에서 사용되는 바와 같이, 단수형은 하나 이상을 의미한다.
본 명세서에서 사용되는 바와 같이, 용어 "대략" 또는 "약"은 언급된 값의 ±10%를 의미한다.
본 명세서에서 사용되는 바와 같이, 용어 "포함하는"은 포괄적 또는 개방형 용어이며, 추가적인 인용되지 않은 재료 또는 방법 단계를 배제하지 않고; 용어 "~로 본질적으로 이루어진"은 청구범위를 명시된 재료 또는 단계, 및 청구된 발명의 기본적이고 신규한 특징에 실질적으로 영향을 주지 않는 추가적인 재료 또는 단계로 제한하고; 용어 "~로 이루어진"은 청구범위에 명시되지 않은 임의의 추가적인 재료 또는 방법 단계를 배제한다.
본 명세서에서 사용되는 바와 같이, 용어 "고급 실란"은 SinH2n+2를 의미하고, 식 중, n은 4 내지 100이고, 용어 "저급 실란"은 SiaH2a+2를 의미하고, a는 1 내지 4이다. 고급 실란은 선형 또는 분지형일 수 있다.
본 명세서에서 사용되는 바와 같이, 용어 "촉매"는 반응에서 전체 표준 깁스 에너지 변화를 변경하지 않고 반응 속도를 증가시키는 물질을 의미한다. 본 명세서에서 사용되는 바와 같이, 용어 "촉매"는 임의의 영구적인 화학 변화를 겪지 않는 물질뿐만 아니라 영구적인 화학 변화를 겪는 물질을 포함한다(후자는 때로는 "프리-촉매(pre-촉매)"라고 지칭).
본 명세서에서 사용되는 바와 같이, 용어 "불균질 촉매"는 반응물(예를 들어, 고체 촉매 대 액체 반응물; 또는 액체 반응물과 혼합될 수 없는 액체 촉매)과 상이한 상으로 존재하는 촉매를 의미한다. 불균질 촉매는 본질적으로 불활성이거나 촉매보다 덜 활성인 지지체와 블렌딩될 수 있다.
본 명세서에서 사용되는 바와 같이, 용어 "켄칭제"는 반응을 탈활성화시키는 물질을 의미한다.
본 명세서에서 사용되는 바와 같이, 용어 "체류 시간"은 저급 실란 화합물이 반응기를 통해서 유동하는데 걸리는 시간의 양을 의미한다.
본 명세서에서 사용되는 바와 같이, 용어 "퍼히드로폴리실라잔" 또는 "PHPS"는 반복 -SiHx-NH- 단위를 특징으로 하는 Si, H, 및 N 만을 함유하는 분자, 올리고머 또는 중합체를 의미하고, x는 0 내지 2이고, 규소 원자는 N 또는 H 원자에만 결합된다.
본 명세서에서 사용되는 바와 같이, 약어 "RT"는 실온 또는 대략 18℃ 내지 대략 25℃ 범위의 온도를 의미한다.
본 명세서에서 사용되는 바와 같이, 용어 "히드로카르빌 기"는 탄소 및 수소를 함유하는 작용기를 지칭하고, 용어 "알킬 기"는 탄소 및 수소 원자만을 배타적으로 함유하는 포화 작용기를 지칭한다. 히드로카르빌 기는 포화되거나 포화되지 않을 수 있다. 두 용어 모두 선형, 분지형, 또는 환식 기를 지칭한다. 선형 알킬 기의 예는 제한 없이 메틸 기, 에틸 기, 프로필 기, 부틸 기 등을 포함한다. 분지형 알킬 기의 예는 제한 없이 t-부틸을 포함한다. 환식 알킬 기의 예는 제한 없이 시클로프로필 기, 시클로펜틸 기, 시클로헥실 기 등을 포함한다.
본 명세서에서 사용되는 바와 같이, 약어 "Me"는 메틸 기를 지칭하고; 약어 "Et"는 에틸 기를 지칭하고; 약어 "Pr"은 프로필 기를 지칭하고; 약어 "nPr"은 "노르말" 또는 선형 프로필 기를 지칭하고; 약어 "iPr"은 이소프로필 기를 지칭하고; 약어 "Bu"는 부틸 기를 지칭하고; 약어 "nBu"는 "노르말" 또는 선형 부틸 기를 지칭하고; 약어 "tBu"는 1,1-디메틸에틸로도 공지된 tert-부틸 기를 지칭하고; 약어 "sBu"는 1-메틸프로필로도 공지된 sec-부틸 기를 지칭하고; 약어 "iBu"는 2-메틸프로필로도 공지된 이소-부틸 기를 지칭하고; 용어 "할라이드"는 할로겐 음이온 F-, Cl-, Br-, 및 I-를 지칭하고; 약어 "TMS"는 트리메틸실릴 또는 -SiMe3를 지칭한다.
본 명세서에서 사용되는 바와 같이, 용어 "방향족 기"는 동일한 원자 집합을 갖는 다른 기하학적 또는 연결적 배열보다 더 큰 안정성을 나타내는 공명 결합 고리를 갖는 환식, 평면형 분자를 지칭한다. 예시적인 방향족 기는 치환 또는 비치환된 페닐 기(즉, C6R5, 식 중, R은 독립적으로 H 또는 히드로카르빌 기임)를 포함한다.
본 명세서에서 사용되는 바와 같이, 용어 "독립적으로"는 R 기를 설명하는 문맥에서 사용될 때 대상 R 기가 동일하거나 상이한 아래첨자 또는 위첨자를 갖는 다른 R 기에 대해 독립적으로 선택될 뿐만 아니라 동일한 R 기의 임의의 추가 화학종에 대해서도 독립적으로 선택된다는 것을 의미하는 것으로 이해되어야 한다. 예를 들어, 화학식 MR1 x(NR2R3)(4-x)(식 중, x는 2 또는 3임)에서, 2개 또는 3개의 R1 기는 서로, 또는 R2 또는 R3와 동일할 수 있지만 동일할 필요는 없다. 게다가, 특별히 달리 언급되지 않는 한, 상이한 화학식에서 사용될 때 R 기들의 값은 서로 독립적인 것으로 이해되어야 한다.
본 명세서에서 사용되는 바와 같이, 약어 Mn은 수 평균 분자량, 또는 샘플 내 모든 중합체 분자의 총 중량을 샘플 내 모든 중합체 분자의 총 수로 나눈 값 (즉, Mn=ΣNiMi/ΣNi, 여기서 Ni는 질량 Mi의 분자의 수임)을 나타내고, 약어 Mw는 질량 평균 분자량 또는 분자 각 유형의 총 질량을 곱한 분자 각 유형의 무게 분율의 총합(즉, Mw=Σ [(NiMi/ΣNiMi)*NiMi]을 나타내고, 용어 "다분산도 지수" 또는 PDI는 Mw:Mn의 비를 의미한다.
원소 주기율표로부터의 원소의 표준 약어가 본 명세서에서 사용된다. 원소는 이러한 약어에 의해 지칭될 수 있음이 이해되어야 한다(예를 들어, Si는 규소를 지칭하고, C는 탄소를 지칭하고, H는 수소를 지칭하는 등임).
본 명세서에서 사용되는 바와 같이, 주기율표는 화학 원소의 배열 표를 지칭하고; 주기율표의 I족은 H, Li, Na, K, Rb, Cs 및 Fr을 지칭한다. 주기율표의 II족은 Be, Mg, Ca, Sr, Ba 및 Ra를 지칭한다. 주기율표의 III족은 B, Al, Ga, In, Tl 및 Nh을 지칭한다.
본 명세서에 언급된 임의의 그리고 모든 범위는 용어 "포괄적으로"의 사용 여부와 상관없이 그의 종점을 포함한다(즉, x는 1 내지 4인 것, 또는 x는 1 내지 4의 범위인 것은 x는 1인 것, x는 4인 것 및 x는 그 사이의 임의의 수인 것을 포함한다).
본 발명의 본질 및 목적의 추가 이해를 위하여, 첨부된 도면과 함께 하기 상세한 설명이 참조되어야 한다:
본 발명의 본질 및 목적의 추가 이해를 위하여, 첨부된 도면과 함께 하기 상세한 설명이 참조되어야 하며, 도면에서 참조 번호는 전체적으로 동일하게 사용된다.
도 1은 개시된 합성 방법이 수행될 수 있는 회분식 장치(batch apparatus)의 개략도이고;
도 2은 개시된 합성 방법이 수행될 수 있는 유동식(flow-through) 장치의 개략도이고;
도 3은 도 2의 유동식 장치의 일 실시형태의 개략도이고;
도 4도 3의 반응기의 일 실시형태의 개략도이고;
도 5는 Si-함유 막 형성 조성물의 제조, 실리콘 기판의 제조, 및 스핀-코팅 공정의 단계에 대해 예시적인 공정을 나타내는 흐름도이고;
도 6은 실시예 2의 비증류된 액체의 GPC이고;
도 7은 실리카 촉매 상의 Vitride™를 통한 액체 Si3H8의 1회 통과 후 드라이아이스 트랩으로부터의 휘발성 액체 생성물의 기체 크로마토그램이고;
도 8은 실시예 5의 비-증류된 액체의 기체 크로마토그래피이다.
고급 실란(즉, SinH2n+2, n은 4 내지 100임)의 합성 방법이 개시된다. 화학식 SinH(2n+2)(식 중, n은 5 내지 8임)를 갖는 이성질체적으로 풍부한 폴리실란이 또한 개시된다.
고급 실란은 약간의 증기압 차이를 갖는 다양한 이성질체로 존재한다. 예를 들어, 80 내지 90%의 n-Si4H10의 비등점은 겔레스트(Gelest)로부터의 온라인 카탈로그에 따라서 107℃이다. 이에 반해서, i-Si4H10에 대한 비등점은 101.7℃이다(문헌[
Figure 112021052414575-pct00193
et al., Inorg. Nucl. Chem. Lett., 1973, 9, 931]).
상이한 증기압 이외에도, 이성질체는 또한 적어도 상이한 입체 기하학적 구조로 인해서, 상이한 증발 거동 및 열 안정성을 가질 수 있다. 이러한 차이점은, 하나의 이성질체가 시간에 따라서 풍부해진다면, 임의의 증기 공정에서의 이동을 생성할 수 있다.  이러한 효과는 이성질체의 다른 유형에서 입증되어 있다(예를 들어, 문헌[Mehwash Zia and Muhammad Zia-ul-Haq, Journal of Contemporary Research in Chemistry (2016) 1(1): 34-41] 참조). 그 결과, 하나의 이성질체로 본질적으로 이루어지거나, 하나의 이성질체가 풍부하거나 또는 고정된 이성질체 비율을 갖는 고급 실란 전구체의 공급은 증착 공정에서 사이클당 재현 가능한 막 성장률에 중요하다.
유사하게, 상이한 이성질체를 사용한 중합은 상이한 중합 생성물을 생성시킬 수 있다. 즉, 이소-테트라실란은 n-테트라실란에 의해서 생성된 것보다 더 많은 분지를 갖는 중합체를 생성시킬 수 있다.
본 출원인들은, 적은 양의 규소 원자(6 내지 30개)를 갖는 폴리실란의 선택적인 제조뿐만 아니라 테트라실란 이성질체 비율을 조정하고, 최적화하는 방법을 발견하였다. 순수한 이성질체 또는 이성질체가 풍부한 혼합물은 실란(SiH4), 디실란(Si2H6), 트리실란(Si3H8), 테트라실란(Si4H10) 또는 이들의 혼합물의 촉매적 전환에 의해서 제조된다. 저급 실란 반응물(즉, SiaH2a+2, a는 1 내지 4임)은 상업적인 입수 가능성으로 인해서 매력적인 출발 물질을 제공한다. 다양한 공정 매개변수를 조정하여 목적하는 폴리실란 및/또는 이성질체 양을 생성시킬 수 있다. 예시적인 공정 매개변수는 출발 화합물의 상대적인 양 및 촉매 선택을 포함한다. 회분식 공정에 대한 온도 및 반응 시간 또는 유동식 공정에서의 체류 시간이 또한 이성질체 수율에 영향을 미칠 수 있다. 생성된 고급 실란 생성물은 이성질체 함량 특이적 및 고순도이다. 당업자는 이러한 반응물 및 생성물을 사용하여 작업하는 경우 안전성 프로토콜이 필요하다는 것을 인지할 것이다.
고급 실란은 SiaH(2a+2) 반응물(식 중, a는 1 내지 4임)을 불균질 촉매 또는 알킬 리튬 LiR 또는 리튬 아마이드 LiNR2(각각의 R은 독립적으로 C1-C4 알킬 기임)와 조합된 Fe 할라이드를 포함하는 촉매와 반응시킴으로써 합성된다. SiaH(2a+2) 반응물은 SiH4, Si2H6, Si3H8, Si4H10 또는 이들의 조합물일 수 있다. 이러한 반응물은 상업적으로 입수 가능하다. 이러한 반응물은 기체 또는 액체 형태로 또는 혼합물의 경우에는, 조합물로서 개시된 공정에서 사용될 수 있다. 예를 들어, 반응물은 기체 Si3H8 및 액체 Si4H10일 수 있다.
하기 실시예에서, SiaH(2a+2) 반응물은 기체 또는 액체 Si3H8 또는 액체 Si3H8와 액체 Si2H6 또는 Si4H10의 혼합물이다. 실시예는, 액체 Si3H8의 사용이 기체 Si3H8의 사용과 비교할 때 더 양호한 n-Si4H10/i-Si4H10 선택성을 야기한다는 것을 입증한다. 액체 Si3H8은 또한 기체 Si3H8에 의해서 생성되는 것보다 많은 더 고급 폴리실란(Si는 6이상임)을 생성한다. 실시예 6 및 실시예 9는 Si2H6/Si3H8 반응 혼합물은 고급 폴리실란(Si는 6 이상임)의 수를 감소시키는 반면, Si3H8/Si4H10 반응 혼합물은 더 고급 폴리실란(Si는 5 이상임)을 생성시킨다는 것을 입증한다. 그 결과, 목적하는 폴리실란의 합성은 적절한 SiaH(2a+2) 반응물을 선택함으로써 최적화될 수 있다. 더 고급 폴리실란의 수를 감소시킬 일부 선택적인 반응물 조합물은 대략 0.1 % w/w 내지 대략 60% w/w의 Si3H8 및 대략 40% w/w 내지 99.9% w/w의 Si2H6; 대략 0.1 % w/w 내지 대략 25% w/w의 Si3H8 및 대략 75% w/w 내지 99.9% w/w의 Si2H6; 또는 대략 0.1 % w/w 내지 대략 10% w/w의 Si3H8 및 대략 90% w/w 내지 99.9% w/w의 Si2H6를 포함한다. 다량의 더 고급 폴리실란을 생성시킬 일부 선택적인 반응물 조합물은 대략 0.1 % w/w 내지 대략 60% w/w의 Si4H10 및 대략 40% w/w 내지 99.9% w/w의 Si3H8; 대략 0.1 % w/w 내지 대략 25% w/w의 Si4H10 및 대략 75% w/w 내지 99.9% w/w의 Si3H8; 또는 대략 0.1 % w/w 내지 대략 10% w/w의 Si4H10 및 대략 90% w/w 내지 99.9% w/w의 Si3H8을 포함한다.
불균질 촉매는 원소 주기율표로부터의 I, II 또는 III족 원소 또는 또는 이들의 산화물, 알킬, 히드라이드, 실라나이드 또는 실릴 아마이드로부터 선택된다. 개시된 촉매작용 반응은 켄칭제의 사용을 필요로 하지 않는데, 그 이유는 불균질 촉매가 회분식 공정에서 여과를 사용하여 반응물 및 생성물로부터 분리될 수 있기 때문이다. 대안적으로, 유동식 반응기는 SiaH(2a+2) 반응물의 촉매적 전환을 허용하기에 적합한 체류 시간을 갖도록 설계될 수 있다. 불균질 촉매는 I, II 또는 III족 원소일 수 있다. 예시적인 촉매는 K 또는 Na를 포함한다. 이들 촉매는 상업적으로 입수 가능하거나 당업계에 공지된 방법에 의해서 합성될 수 있다. 예를 들어, 30 내지 40% Na/실리카는 시그나 케미스트리, 인크(SiGNa Chemistry, Inc)에 의해서 상표명 ActiveGel™ 하에 판매된다.
불균질 촉매는 금속 산화물 촉매, 바람직하게는 I족 산화물 촉매일 수 있다. 예시적인 산화물 촉매는 Na2O 또는 K2O를 포함한다. 이들 촉매는 이들 촉매는 상업적으로 입수 가능하거나 당업계에 공지된 방법에 의해서 합성될 수 있다.
불균질 촉매는 금속 알킬 촉매 MR일 수 있고, 식 중 R은 C1-C4 탄화수소이다. 예시적인 금속 알킬 촉매는 BuLi을 포함한다. 이들 촉매는 상업적으로 입수 가능하거나 당업계에 공지된 방법에 의해서 합성될 수 있다.
금속 히드라이드 촉매는 혼합 금속 히드라이드 촉매일 수 있다. 예시적인 혼합 금속 히드라이드 촉매는 LiAIH4, LiAIHnR4-n, NaAlHnR4-n, KAlHnR4-n, RbAlHnR4-n, CsAlHnR4-n 및 이들의 조합물을 포함하고, 식 중, n은 1, 2 또는 3이고, 각각의 R은 독립적으로 CmH2m+1(m은 1 내지 10임) 또는 산소 또는 질소 원자를 갖는 지방족 기이다. 산소 또는 질소 원자를 갖는 예시적인 지방족 기는 -CH2OMe, -CH2CH2OMe, -OCH2CH2CH2OMe, -CH2CH2NMe2, 방향족 기 및 이들의 조합물을 포함한다. 특히 바람직한 혼합 금속 할라이드 촉매는 소듐 비스(2-메톡시에톡시)알루미늄 히드라이드[Na(-O(Me)-C2H4-O-)2AlH2]이다. 이들 촉매는 이들 촉매는 상업적으로 입수 가능하거나 당업계에 공지된 방법에 의해서 합성될 수 있다.
불균질 촉매는 금속 실릴아마이드 촉매일 수 있다. 금속 실릴아마이드 촉매는 화학식 M[N(SiR3)2]x를 가질 수 있고, 식 중, M이 Li, Na 또는 K인 경우 x는 1이고; M이 Mg, Ca, Sr 또는 Ba인 경우 x는 2이고; M이 Al 또는 Ga인 경우 x는 3이고; 각각의 R은 독립적으로 CmH2m+1(m은 1 내지 10임) 또는 방향족 기이고, 바람직하게는 m은 1 내지 4이다. 특히 바람직한 금속 실릴아마이드 촉매는 소듐 비스((트리메틸실릴)아마이드 및 포타슘 비스(트리메틸실릴)아마이드를 포함한다. 이들 촉매는 이들 촉매는 상업적으로 입수 가능하거나 당업계에 공지된 방법에 의해서 합성될 수 있다.
불균질 촉매는 금속 실라나이드 촉매일 수 있다. 금속 실라나이드 촉매는 화학식 Na[Al(SiH3)(Si2H5)(OCH2CH2OMe)2], M(SinH2n+1)x 또는 M(SiR3)x를 가질 수 있고, 식 중, n은 1 내지 4이고, M이 Li, Na, K, Rb 또는 Cs인 경우 x는 1이고; M이 Mg, Ca, Sr 또는 Ba인 경우 x는 2이고; M이 Al 또는 Ga인 경우 x는 3이고; 각각의 R은 독립적으로 CmH2m+1(m은 1 내지 10임) 또는 방향족 기이다. 현재, 이러한 전구체는 상업적으로 입수 가능하지 않고, 이것이 발화성 고체이기 때문에 합성하기 어렵다. 그러나, GC 밍 FT-IR 데이터에 기초하여, 본 출원인들은 금속 실릴아마이드 촉매, 금속 알킬 촉매 또는 버텔러스 홀딩스 엘엘씨(Vertellus Holdings LLC)에 의해서 상표명 Vitride™ 또는 시그마-알드리치 바이오테크놀로지 엘피(Sigma-Aldrich Biotechnology LP)에 의해서 Red-Al® 하에 시판되는 Na[AlH2(OCH2CH2OMe)2]가 프리-촉매로서 작용하여, 금속 실라나이드 촉매로 전환될 수 있다고 생각한다. 보다 특별하게는, 본 출원인들은 하기 반응이 일어날 수 있다고 생각한다:
Figure 112021052414575-pct00194
.
그 결과, 고체 KSi2H5, LiSi2H5 또는 Na[Al(SiH3)(Si2H5)(OCH2CH2OMe)2] 촉매는 SiaH2a+2(a는 1 내지 4임) 반응물을 촉매적으로 전환시켜 SinH(2n+2) 혼합물(n은 1 내지 100임)을 생성시킬 수 있다.
불균질 촉매는 2종 이상의 촉매의 혼합물일 수 있다. 예시적인 촉매 혼합물은 K 및 K2O 또는 Na 및 Na2O를 포함한다. 이들 촉매는 이들 촉매는 상업적으로 입수 가능하거나 당업계에 공지된 방법에 의해서 합성될 수 있다. 예를 들어, 12~15% Na2O/0~3% Na/85~90% Al2O3는 시그나 케미스트리, 인크에 의해서 상표명 Activelsom™ 하에 시판된다.
상기에 개시된 불균질 촉매 중 임의의 것은 지지체 상에 위치될 수 있다. 예시적인 지지체는 알루미나(Al2O3), 실리카(SiO2) 또는 이들의 조합물이다. 당업자는 촉매가 지지체에 물리적으로 그리고/또는 화학적으로 결합될 수 있음을 인지할 것이다. 예를 들어, 촉매는 실리카 또는 알루미나 지지체 상의 -OH 기와 화학적으로 반응할 수 있다. 대안적으로, 촉매 및 지지체는 화학 반응이 일어나지 않으면서, 단순히 함께 물리적으로 혼합될 수 있다. 또 다른 대안에서, 촉매와 지지체를 물리적으로 혼합하면 물리적 결합 및 화학적 결합 둘 다가 일어날 수 있다. 불균질 촉매는 총 불균질 촉매와 지지체 조합물의 대략 0.1% w/w 내지 대략 70% w/w를 차지할 수 있다. 대안적으로 불균질 촉매는 총 불균질 촉매와 지지체 조합물의 대략 1% w/w 내지 대략 50% w/w를 차지할 수 있다.
또 다른 대안에서, 불균질 촉매는 펠릿 형태로 상업적으로 공급될 수 있다.
하기 실시예에 나타난 바와 같이, 청구된 촉매는 IV족(Ti, Zr, Hf), VIII족(Ru), IX족(Co, Rh, Ir) 및 X족(Ni, Pd, Pt) 및 란탄계열(Nd)의 선행 기술 전이 금속 촉매보다 중합 공정을 더 양호하게 제어할 수 있다. 추가로, 선행 기술 불균질 촉매와 달리, 청구된 불균질 촉매는 또한 반응 혼합물로부터 쉽게 분리될 수 있다. 그 결과, 반응은 상업적인 부피로 규모 확대되기가 더 쉽고, 반응 생성물은 반도체 허용 가능한 수준(즉, 매우 순수함)까지 쉽게 정제된다.
저급 실란 반응물(즉, SiaH2a+2, a는 1 내지 4임)의 촉매작용은, 저급 실란 반응물이 불균질 촉매와 접촉할 때 일어난다. 반응은 회분식 반응기 또는 유동식 반응기에서 수행될 수 있다. 저급 실란 반응물 및 촉매를 회분식 반응기에서 혼합하여 혼합물을 형성할 수 있다. 반응물 및 촉매에 따라서, 촉매-반응물 혼합물을 대략 1시간 내지 대략 24시간 범위의 시간 구간 동안 혼합할 수 있다.
회분식 반응은 대략 실온 내지 대략 53℃ 범위의 온도에서 수행될 수 있다. 대안적으로, 반응은 대략 15℃ 내지 대략 50℃ 범위의 온도에서 수행될 수 있다. 또 다른 대안에서, 반응은 대략 15℃ 내지 대략 30℃ 범위의 온도에서 수행될 수 있다. 당업자는 반응 온도가 선택된 촉매뿐만 아니라 목적하는 SinH(2n+2) 생성물에 따라서 달라질 것임을 인지할 것이다. 표 1 및 실시예 1에 제시된 바와 같이, 압력이 높을수록 더 고급 폴리실란(Si는 6 이상임)을 생성시키는 경향이 있다. SinH(2n+2) 생성물을 여과시켜 고체, 예컨대, 촉매 및/또는 임의의 고체 SinH(2n+2) 생성물을 제거할 수 있다.
연속식 반응기(flow reactor)에서, SiaH(2a+2) 반응물은 촉매 펠릿 또는 유리솜 상에 지지된 촉매를 함유하는 반응기를 유동할 수 있다. SiaH(2a+2) 반응물은 대략 200초 내지 대략 600초 범위의 반응기에서의 체류 시간을 가질 수 있다. 반응기 내의 압력은 대략 10 psig(69 kPa) 내지 대략 50 psig(345 kPa)의 범위일 수 있다.
유동 반응은 대략 15℃ 내지 대략 170℃ 범위의 온도에서 수행될 수 있다. 대안적으로, 반응은 대략 15℃ 내지 대략 150℃ 범위의 온도에서 수행될 수 있다. 또 다른 대안에서, 반응은 대략 15℃ 내지 대략 100℃ 범위의 온도에서 수행될 수 있다. 또 다른 대안에서, 반응은 대략 15℃ 내지 대략 50℃ 범위의 온도에서 수행될 수 있다. 또 다른 대안에서, 반응은 대략 20℃ 내지 대략 150℃ 범위의 온도에서 수행될 수 있다. 또 다른 대안에서, 반응은 대략 50℃ 내지 대략 100℃ 범위의 온도에서 수행될 수 있다. 당업자는 반응 온도가 선택된 촉매뿐만 아니라 목적하는 SinH(2n+2) 생성물에 따라서 달라질 것임을 인지할 것이다. 실시예 1의 표 1에 나타난 바와 같이, 온도가 높을수록 더 고급 폴리실란(Si는 6 이상임)을 생성시키는 경향이 있다.
촉매는 저급 실란 반응물을 SinH(2n+2) 혼합물로 전환시키고, 식 중, n은 1 내지 100이다. 바람직하게는, 촉매는 저급 실란 반응물 중 대략 20% w/w 내지 대략 60% w/w를 전환시킨다. 목적하는 폴리실란은 SinH(2n+2) 혼합물로부터 단리된다. n이 5 내지 8인 경우, 대략 2:1 내지 대략 15:1 범위의 하나의 이성질체 대 또 다른 이성질체의 비를 갖는 이성질체적으로 풍부한 폴리실란이 SinH(2n+2) 혼합물로부터 분별 증류되어 대략 95% w/w 내지 대략 100% w/w의 n-Si5H12, n-Si6H14, n-Si7H16, 또는 n-Si8H18 바람직하게는 대략 98% w/w 내지 대략 100% w/w의 n-Si5H12, n-Si6H14, n-Si7H16 또는 n-Si8H18을 포함하는 Si-함유 막 형성 조성물을 생성시킬 수 있다.
당업자는 반응 속도 및 생성물 수율이 저급 실란 반응물이 치환되었는지의 여부에 따라서 달라질 것이라는 것을 인지할 것이다. 청구된 비치환된 저급 실란(즉, SiaH(2a+2), 식 중, a는 1 내지 4임)에 의해서 생성된 반응 생성물은 하나 이상의 유기 기를 함유하는 치환된 실란에 의해서 생성된 것과 상이할 것이다(즉, SinRxH(2n+2-x), 식 중, R은 유기 기이고, x는 1 이상임). 니폰 오일 코. 엘티디.(Nippon Oil Co, Ltd.)의 미국 특허 제5,700,400호가 Ru 및 Rh 촉매의 사용을 개시하지만, Ru/C 및 Rh/C가 각각 비치환된 액체 또는 기체 트리실란의 전환에 대해서 활성이 아니라는 것을 입증하는 비교예 1 및 2를 참고하기 바란다.
촉매작용 반응은 비반응성 기체, 예컨대, H2, N2, Ar 또는 He의 존재 또는 부재 하에서 수행될 수 있다. 비반응성 기체를 사용하여 불활성 분위기를 유지시킬 수 있다. 비반응성 기체를 또한 사용하여 반응 혼합물을 희석시킬 수 있다. 비반응성 기체를 또한 사용하여 반응 혼합물의 유동을 목적하는 범위, 예를 들어, 대략 0.1 내지 대략 1,000 ㎖/분, 대안적으로 대략 1 내지 대략 10 ㎖/분으로 유지시키는 것을 도울 수 있다. 물론, 이러한 비반응성 기체의 첨가는 반응 생성물로부터 이것을 제거하는 것이 추가로 필요할 것이다. 따라서, 또 다른 대안에서 그리고 하기 실시예에서 입증된 바와 같이, 촉매작용 반응은 반응물의 증기압 하에서 수행될 수 있다.
도 1은 저급 실란 반응물의 SinH(2n+2)(식 중, n은 4 내지 100임)로의 촉매적 전환을 위한 예시적인 회분식 공정 시스템의 다이어그램이다. 도 1에서, 트리실란(10) 및 선택적으로 디실란 또는 테트라실란(11)을 저급 실란 반응물로서 사용한다. 촉매작용은 불활성 분위기, 예컨대, N2, 노블 가스(noble gas)(즉, He, Ne, Ar, Kr, Xe) 또는 이들의 조합물 하에서 수행될 수 있다. 진공 및/또는 불활성 기체 주기를 적용함으로써 시스템의 다양한 부분(예를 들어, 반응기(20), 증류 유닛(40), 증류 유닛(50) 등)으로부터 임의의 및 모든 공기가 제거되어야 한다. 불활성 기체는 또한 트리실란(10) 및 선택적인 디실란 또는 테트라실란(11)을 가압하는 작용을 하여 반응기(20)에 반응물을 전달하는 것을 도울 수 있다. 액체 질소, 냉각된 에탄올, 아세톤/드라이아이스 혼합물 또는 열 전달제, 예컨대, 모노에틸렌 글리콜(MEG) 또는 상표명 SYLTHERM™ 하에 다우 코닝 코프.(Dow Corning Corp.)에서 판매되는 열 전달 유체를 사용하여 시스템의 다양한 부품(예를 들어, 증류 셋 업(distillation set up)(40), 증류 셋 업(50))을 냉각할 수 있다.
Si3H8 반응물(10) 및 선택적인 Si2H6 또는 Si4H10 반응물(11)을 각각 라인(12) 및 (13)을 통해서 반응기(20)에 첨가한다. 반응기(20)는 촉매를 함유한다(도시되지 않음). 반응기(20)는 또한 교반 기구(mechanism)(도시되지 않음), 예컨대, 패들 혼합기 또는 균질화기를 포함한다. 반응기(20)에는 또한 다수의 "주입 포트", 압력 게이지, 다이어프램 밸브(diaphragm valve)(도시되지 않음)가 구비되어 있을 수 있다.
반응기(20) 및 트리실란(10) 및 선택적인 디실란 또는 테트라실란(11) 반응물과 접촉하는 임의의 및 모든 성분 및 부산물("접촉 성분")은 폴리실란 생성물(45)의 의도되지 않은 반응 및/또는 오염을 방지하기 위해서 깨끗하고, 공기-무함유 및 수분-무함유이어야 한다. 반응기(20) 및 다른 접촉 성분은 실란과 반응하거나 실란을 오염시킬 수 있는 어떠한 불순물도 존재하지 않아야 한다. 반응기(20) 및 다른 접촉 성분은 또한 트리실란(10) 및 선택적인 디실란 또는 테트라실란(11) 반응물 및 생성물 및 부산물과 상용성이어야 한다.
예시적인 반응기(20)는 낮은 표면 조도(roughness) 및 거울 마감을 갖는 스테인리스강 캐니스터(stainless steel canister)를 포함한다. 낮은 표면 조도 및 거울 마감은 기계적 연마에 의해서 그리고/또는 전기연마에 의해서 얻어질 수 있다. 고순도는 (a) 묽은 산(HF, HNO3) 또는 염기(KOH, NaOH)를 사용한 세정; 그 다음 (b) 미량의 산 또는 염기를 완전히 제거하는 것을 보장하기 위한 고순도의 탈이온수로의 헹굼; 그 다음 (c) 반응기(20)의 건조를 포함하지만 이들로 제한되지 않는 처리에 의해서 얻어질 수 있다. 탈이온수(DIW) 헹굼(단계 b)의 완결은 헹굼수의 전도도가 100 μS/㎝, 바람직하게는 25 μS/㎝ 미만에 도달할 때 일어날 수 있다.
건조 단계는 불활성 기체, 예컨대, He, N2, Ar(바람직하게는 N2 또는 Ar)로 퍼징하는 단계; 압력을 반응기(20) 또는 다른 접촉 성분에서 감소시켜 표면으로부터의 기체 방출을 가속화하는 단계; 반응기(20) 또는 다른 접촉 성분을 가열하는 단계 또는 이들의 임의의 조합을 포함할 수 있다. 건조 단계는 퍼지 및 진공 단계의 교호하는 단계를 포함할 수 있는데, 여기서 퍼지 동안 불활성 기체의 특정 유동이 용기를 통해서 유동한다. 대안적으로, 건조 단계는 반응기(20) 또는 다른 접촉 성분에서 저압을 유지시키면서, 퍼지 기체를 연속적으로 유동시킴으로써 수행될 수 있다. 건조 효율 및 종점은 반응기(20) 또는 다른 접촉 성분으로부터 발생하는 기체 중의 미량의 H2O 수준을 측정함으로써 평가될 수 있다. 10 ppb 미만의 H2O를 갖는 유입 기체의 경우, 유출 기체는 대략 0 ppm 내지 대략 10 ppm 범위, 바람직하게는 대략 0 ppm 내지 대략 1 ppm 범위, 보다 바람직하게는 대략 0 ppb 내지 대략 200 ppb 범위의 수분 함량을 가져야 한다. 퍼지 단계 및 진공 단계 동안, 반응기(20) 또는 다른 접촉 성분을 가열하는 것이 건조 시간을 가속화한다고 공지되어 있다. 반응기(20)는 전형적으로 건조 동안 대략 40℃ 내지 대략 150℃ 범위의 온도에서 유지된다.
세정 및 건조 후, 반응기(20)는 1×10-6 std ㎤/s, 바람직하게는 1×10-8 std ㎤/s 미만의 총 누출량을 가져야 한다.
촉매작용을 위해서 시스템을 준비하기 위해서 또는 촉매작용 공정 동안 사용되는 임의의 기체는 반도체 등급이어야 한다(즉, 불순물 무함유, 예컨대, 미량의 수분 및 산소(1 ppm 미만, 바람직하게는 10 ppb 미만), 및 입자(0.5 ㎛에서 리터당 5개 미만의 입자)).
반응기(20), 트리실란(10) 및 선택적인 디실란 또는 테트라실란(11)의 공급 용기, 폴리실란 생성물 용기 및 임의의 다른 접촉 성분은 또한 반응 전에 실릴화제, 예컨대, 실란, 디실란 또는 트리실란에 노출함으로써 부동태화될 수 있다. 부동태화는 저급 또는 고급 실란과 부동태화된 물질 간의 반응을 최소화시키는 것을 돕는다.
도 1에 도시된 바와 같이, Si3H8 반응물(10) 및 선택적인 Si2H6 또는 Si4H10 반응물(11)을 공기 무함유 및 수분 무함유 반응기(20)에 도입하기 전에 라인(14)에서 혼합할 수 있다. 대안적으로, Si3H8 반응물(10) 및 선택적인 Si2H6 또는 Si4H10 반응물(11)은 라인(12) 및 (13)(도시되지 않음)을 통해서 반응기(20)에 직접 도입될 수 있다. Si3H8 반응물(10) 및 선택적인 Si2H6 또는 Si4H10 반응물(11)은 액체 계량투입 펌프(도시되지 않음), 예컨대, 다이어프램 펌프, 연동 펌프(peristaltic pump) 또는 주사기 펌프를 통해서 반응기(20)에 첨가될 수 있다.
Si3H8 반응물(10) 및 선택적인 Si2H6 또는 Si4H10 반응물(11)의 첨가 완결 후, 반응기(20)는 대략 25℃ 내지 대략 150℃ 또는 대안적으로 대략 15℃ 내지 대략 100℃ 범위의 온도까지 가열될 수 있다. 반응기(20)는 자켓(도시되지 않음)에 의해서 목적하는 온도에서 유지될 수 있다. 자켓은 유입구 및 유출구(도시되지 않음)를 가질 수 있다. 유입구 및 유출구는 열교환기/칠러(chiller)(도시되지 않음) 및/또는 펌프(도시되지 않음)에 연결되어 가열 또는 냉각 유체의 재순환을 제공할 수 있다. 대안적으로, 반응기(20)의 온도는 가열 테이프(도시되지 않음) 또는 가열 맨틀(도시되지 않음)을 사용하여 유지될 수 있고, 가열 부재는 온도 제어 유닛에 연결되어 있다(도시되지 않음). 온도 센서(도시되지 않음)를 사용하여 반응기(20)의 내용물의 온도를 모니터링할 수 있다.
저급 실란 반응물 및 촉매는 대략 0.1시간 내지 대략 72시간, 대안적으로 대략 1시간 내지 대략 30시간 범위의 시간 구간 동안 교반될 수 있다. 혼합은 대략 대기압에서 수행될 수 있다. 반응 진행은 예를 들어, 기체 크로마토그래피를 사용하여 모니터링될 수 있다. 우세한 반응 생성물은 SiH4, Si5H12 등이다.
반응의 완결 후, 반응기(20)를 대략 실온까지 냉각한다. 반응기(20)가 재킷으로 감싸져있는 경우, 임의의 가열 유체를 냉각 유체로 대체하여 반응기(20) 및 이의 내용물을 냉각시키는 것을 도울 수 있다. 액체 질소, 냉각된 에탄올, 아세톤/드라이아이스 혼합물 또는 열 전달제를 사용하여 반응기(20)를 냉각시킬 수 있다. 대안적으로, 임의의 가열 기구, 예컨대, 가열 테이프 또는 가열 맨틀을 끌 수 있고, 자연 냉각이 일어날 수 있다. 임의의 더 고급 액체 비휘발성 실란(23)을 촉매 및 고체 반응 생성물로부터 여과시키고, 라인(22)을 통해서 반응기(20)으로부터 제거한다. 휘발성 실란(21)을 차동 압력에 의해서 반응기(20)로부터 제거한다.
휘발성 실란(21)을 하나 이상의 트랩(30)에서 수집하여 SinH(2n+2) 혼합물(31)을 생성시킬 수 있고, 식 중, n은 1 내지 100이다. 예시적인 트랩(30)은 드라이아이스/이소프로판올, 드라이아이스/아세톤, 냉각된 에탄올 및/또는 액체 질소 트랩을 포함한다. SinH(2n+2) 혼합물(31)을 하나 이상의 용기에 수집될 수 있고, 다음 공정 단계를 수행하기 전에 새로운 위치로 수송될 수 있다. 대안적으로, 혼합물(31)은 증류 유닛(40)으로 즉시 안내되어 임의의 반응물 및 반응 부산물로부터 반응 생성물이 추가로 단리될 수 있다. 증류 유닛(40)은 SiH4 반응 부산물(43), 휘발성 SinH2n+2(식 중, n은 5 이상임) 반응 부산물(44) 및 임의의 미반응 Si3H8 반응물(41) 및 선택적인 미반응 Si2H6 또는 Si4H10 반응물(42)로부터 목적하는 폴리실란 생성물(45)을 분리시킨다. 미반응 Si3H8 반응물(41) 및 선택적인 미반응 Si2H6 또는 Si4H10 반응물(42)은 추가 공정에서의 사용을 위해서 재순환될 수 있다.
다시 한번, 폴리실란 생성물(45)는 다음 공정 단계의 수행 전에 새로운 위치로 수송될 수 있다. 대안적으로, 폴리실란 생성물(45)을 분별 증류 유닛(50)으로 안내하여 다른 이성질체(52)로부터 n-이성질체(51)를 분리시킬 수 있다. 분별 증류는 고정 칼럼 또는 스피닝 밴드 칼럼(spinning band column)을 사용하여 수행될 수 있다. 스피닝 밴드 증류 칼럼의 길이는 고정 칼럼의 길이보다 훨씬 더 작고, 공간을 훨씬 덜 차지하기 때문에 복잡한 시설에서 사용하기에 바람직할 수 있다. 대략 90%의 n-테트라실란을 생성시키기에 적합한 고정 칼럼은 대략 90 내지 대략 120개의 이론단이 필요할 것이고, 대략 6 내지 7 미터 길이일 것이다.
도 2는 저급 실란 반응물의 SinH(2n+2) 혼합물로의 촉매적 전환을 위한 유동 공정의 다이어그램이다. 도 1로부터의 동일한 참조 부호가 도 2에서의 동일한 성분에 대해서 사용되었다. 도 1에서와 같이, 도 2의 접촉 성분 모두는 깨끗하고, 공기 무함유 및 수분 무함유이어야 한다. 도 1에서와 같이, 도 2의 촉매작용은 불활성 분위기, 예컨대, N2, 노블 가스(즉, He, Ne, Ar, Kr, Xe) 또는 이들의 조합물 하에서 수행될 수 있다.
트리실란(10) 및 선택적으로 디실란 또는 테트라실란(11)은 각각 라인(1213)을 통해서 연속식 반응기(25)에 첨가된다. 도 1에서와 같이, Si3H8 반응물(10) 및 선택적인 Si2H6 또는 Si4H10 반응물(11)을 연속식 반응기(25)에 도입하기 전에 라인(14)에서 혼합할 수 있다. 대안적으로, Si3H8 반응물(10) 및 선택적인 Si2H6 또는 Si4H10 반응물(11)은 라인(12) 및 (13)(도시되지 않음)을 통해서 연속식 반응기(25)에 직접 도입될 수 있다. Si3H8 반응물(10) 및 선택적인 Si2H6 또는 Si4H10 반응물(11)은 액체 계량투입 펌프(도시되지 않음), 예컨대, 다이어프램 펌프, 연동 펌프 또는 주사기 펌프를 통해서 연속식 반응기(25)에 첨가될 수 있다. 바람직하게는 혼합은 불활성 분위기 하에서 대략 대기압에서 수행된다.
하기 도 4의 논의에서 추가로 상세하게 제공될 바와 같이, 촉매(도시되지 않음)는 연속식 반응기(25) 내에 위치된다. 연속식 반응기(25)는 대략 25℃ 내지 대략 250℃, 대안적으로 대략 40℃ 내지 대략 250℃ 또는 또 다른 대안에서는 대략 50℃ 내지 대략 100℃ 범위의 온도에서 유지된다. 선택되는 온도는 선택되는 촉매뿐만 아니라 목표 반응 생성물에 좌우될 것이다. 연속식 반응기(25)는 대략 0.1 atm 내지 대략 10 atm 범위의 압력에서 유지된다. 트리실란(10) 및 선택적으로 디실란 또는 테트라실란(11) 반응물은 연속식 반응기(25)에서 대략 0.01 내지 대략 100분의 체류 시간, 대안적으로 대략 2분 내지 대략 20분의 체류 시간, 대안적으로 대략 1초 내지 대략 1,000초 또는 또 다른 대안에서는, 대략 100초 내지 대략 600초의 체류 시간을 제공하도록 선택된다.
SinH(2n+2) 혼합물(26)(식 중, n은 1 내지 100임)은 연속식 반응기(25)를 통과한 후 리시버(35)에 수집된다. 리시버(35)는 드라이아이스/이소프로판올, 드라이아이스/아세톤, 냉각된 에탄올 및/또는 액체 질소 트랩을 포함하지만 이들로 제한되지 않는 임의의 종류의 트랩일 수 있다.
상기 도 1에서와 같이, SinH(2n+2) 혼합물(31)은 하나 이상의 용기에 수집될 수 있고, 다음 공정 단계를 수행하기 전에 새로운 위치로 수송될 수 있다. 대안적으로, 혼합물(31)은 증류 유닛(40)으로 즉시 안내되어 임의의 반응물 및 반응 부산물로부터 반응 생성물이 추가로 단리될 수 있다. 증류 유닛(40)은 SiH4 반응 부산물(43), 휘발성 SinH2n+2(식 중, n은 5 이상임) 반응 부산물(44) 및 임의의 미반응 Si3H8 반응물(41) 및 선택적인 Si2H6 또는 Si4H10 반응물(42)로부터 목적하는 폴리실란 생성물(45)을 분리시킨다. 미반응 Si3H8 반응물(41) 및 선택적인 미반응 Si2H6 또는 Si4H10 반응물(42)은 재순환될 수 있다. 미반응 Si3H8 반응물(41) 및 미반응의 선택적인 Si2H6 또는 Si4H10 반응물(42)이 이러한 연속적인 합성 공정, 예컨대, 필터 및/또는 동일계 GC 분석 동안 품질을 유지시키기 위해서 제공될 수 있다
다시 한번, 폴리실란 생성물(45)는 다음 공정 단계의 수행 전에 새로운 위치로 수송될 수 있다. 대안적으로, 폴리실란 생성물(45)을 분별 증류 유닛(50)으로 안내하여 다른 이성질체(52)로부터 n-이성질체(51)를 분리시킬 수 있다. 분별 증류는 고정 칼럼 또는 스피닝 밴드 칼럼을 사용하여 형성될 수 있다. 스피닝 밴드 증류 칼럼 길이는 고정 칼럼의 길이보다 훨씬 더 작고, 공간을 훨씬 덜 차지하기 때문에 복잡한 시설에서 사용하기에 바람직할 수 있다. 대략 90%의 n-테트라실란을 생성시키기에 적합한 고정 칼럼은 대략 90 내지 대략 120개의 이론단이 필요할 것이고, 대략 6 내지 7 미터 길이일 것이다.
도 3도 2의 연속식 반응기(20)의 다이어그램이다. 도면을 보다 쉽게 판독하도록 밸브는 이러한 도면에서 포함되지 않았음을 주목하기 바란다.
SiaH(2a+2) 반응물(100)은 SiaH(2a+2) 반응물을 라인(102)을 통해서 연속식 반응기(120)에 공급하기 위해서 질소로 가압된다. 라인(102)은 또한 진공(110)에 연결된다. 유동 조절기(101)는 SiaH(2a+2) 반응물의 유동을 제어한다. 유동 조절기(101)는 눈금이 매겨진 니들 밸브(graduated needle valve), 전자식 유량계(electronic flow meter) 등일 수 있다. 게이지(103a)는 압력을 측정하고, 유동 조절기(101)와 소통하여 이에 따라서 조정할 수 있다.
연속식 반응기(120)는 2개의 열전쌍(121122)을 포함한다. 본 명세서의 교시로부터 벗어남이 없이 추가 밸브(도시되지 않음)가 이용될 수 있다. 본 명세서의 교시에서 사용하기에 적합한 예시적인 열전쌍은 Type K 또는 Type J 열전쌍을 포함한다.
SinH(2n+2) 반응 혼합물은 라인(123)을 통해서 연속식 반응기(120)를 빠져나온다. 압력 조절기(104)는 반응기(120)에서 압력을 설정하고, SinH(2n+2) 반응 혼합물이 연속식 반응기(120)로부터 드라이아이스/이소프로판올 트랩(130)으로 이동하는 차동 압력을 제공한다. 게이지(103b)는 반응기(120) 내의 압력을 나타낸다. 드라이아이스/이소프로판올 트랩(130)은 대략 -78℃ 초과에서 응축하는 임의의 SinH(2n+2) 반응 생성물을 포획한다.
드라이아이스/이소프로판올 트랩에 포획되지 않은 임의의 휘발성 SinH(2n+2) 반응 혼합물은 액체 질소 트랩(140)에 대한 라인(131)을 통해서 응축된다. 액체 질소 트랩(140)은 대략 -78℃ 및 대략 -196℃ 미만에서 응축하는 임의의 SinH(2n+2) 반응 생성물을 포획한다. 라인(131)은 또한 진공 라인(110)에 연결된다. 압력 게이지(103c)는 라인(131) 내의 압력을 모니터링한다. SiH4 부산물은 라인(150)을 통해서 배기 스크러버(exhaust scrubber)(도시되지 않음)로 보내진다. N2(105)를 사용하여 배기 스크러버로 이동하는 중에 SiH4 부산물을 희석시킨다. 체크 밸브(106)는 이러한 발화성 부산물의 역류를 예방한다.
도 4도 3의 연속식 반응기(120)의 다이어그램이다. 도 4에서, 밸브(201)는 트러블슈팅 또는 예방 정비를 위해서 스테인리스강 튜브 연속식 반응기(220)에 접근하는 것을 가능하게 한다. 스테인리스강 튜브 연속식 반응기(220)는 2개의 열전쌍(221222)을 포함한다. 도 3에서와 같이, 더 많거나 더 적은 열전쌍이 본 명세서의 교시로부터 벗어남 없이 사용될 수 있다. 유리솜(202)이 스테인리강 튜브 연속식 반응기(220)의 시작부 및 말단부에 위치된다. 촉매(도시되지 않음)는 반응기의 시작부에 위치된 유리솜(202)과 말단부에 위치된 유리솜 사이에 패킹될 수 있거나, 연속식 반응기(220)의 시작부에 위치된 유리솜(202)과 말단부에 위치된 유리솜 사이에 패킹된 유리솜(도시되지 않음)에 위치될 수 있다. 그 결과, SinH(2n+2) 반응물은, 그것이 연속식 반응기(220)의 시작부에서 유리솜을 통과할 때 촉매보다 먼저 가열될 수 있다. 당업자는 유리 비드 및 펠릿 촉매의 층이 유리솜/촉매 혼합물 대신에 사용될 수 있음을 인지할 것이다.
필요한 경우, 가열 테이프(203)가 스테인리스강 튜브 연속식 반응기(220)에 열을 제공한다. 단열부(204)가 스테인리스강 튜브 연속식 반응기(220)의 온도를 유지시키는 것을 돕는다. 당업자는 본 명세서의 교시로부터 벗어남이 없이 대안적인 가열 수단이 포함될 수 있음을 인지할 것이다. 예를 들어, 스테인리스강 튜브 연속식 반응기(220)는 대안적으로 오븐(도시되지 않음)에 위치될 수 있다. 이러한 실시형태에서, 단열부(204)는 필요하지 않을 것이다.
당업자는 개시된 방법을 수행하는 데 사용되는 시스템의 장비 성분에 대한 공급처를 인지할 것이다. 원하는 온도 범위, 압력 범위, 현지 규정 등에 따라 성분의 일부 주문 제작을 필요로 할 수 있다. 예시적인 장비 공급처는 스테인리스강으로부터 제조된 파르 인스트루먼트 컴퍼니(Parr Instrument Company) 장비 및 성분을 포함한다.
목적하는 폴리실란 생성물(도 1도 2에서 (50))의 분별 증류는 대략 90% w/w 내지 대략 100% w/w의 n-Si5H12, n-Si6H14, n-Si7H16 또는 n-Si8H18, 바람직하게는 대략 95% w/w 내지 대략 100% w/w의 n-Si5H12, n-Si6H14, n-Si7H16 또는 n-Si8H18, 보다 바람직하게는 대략 97% w/w 내지 대략 100% w/w의 n-Si5H12, n-Si6H14, n-Si7H16 또는 n-Si8H18을 포함하는 Si-함유 막 형성 조성물을 생성시킨다. Si-함유 막 형성 조성물은 대략 0% w/w 내지 대략 10% w/w의 비-n-이성질체, 바람직하게는 대략 0% w/w 내지 대략 5% w/w의 비-n-이성질체; 보다 바람직하게는 대략 0% w/w 내지 대략 3% w/w의 비-n-이성질체를 추가로 포함한다. 예를 들어, 1 ㎝ 직경 및 100 ㎝ 길이의 스피닝 밴드 증류 칼럼을 사용하여 대략 192 그램의 3:1 n-Si4H10:i-Si4H10 혼합물을 분별 증류한 후, 본 출원인은 대략 90% w/w 내지 대략 95% w/w의 n-테트라실란을 생성시킬 수 있었다. 당업자는 더 큰 n-Si4H10:i-Si4H10 비를 갖는 혼합물 및/또는 더 큰 증류 칼럼으로부터 더 높은 순도의 n-테트라실란이 얻어질 것임을 인지할 것이다.
Si-함유 막 형성 조성물은 대략 97% ㏖/㏖ 내지 대략 100% ㏖/㏖, 바람직하게는 대략 99% ㏖/㏖ 내지 대략 100% ㏖/㏖, 보다 바람직하게는 대략 99.5% ㏖/㏖ 내지 대략 100% ㏖/㏖, 보다 더 바람직하게는 대략 99.97% ㏖/㏖ 내지 대략 100% ㏖/㏖ 범위의 순도를 갖는다.
Si-함유 막 형성 조성물은 바람직하게는 검출 한계 내지 100 ppbw의 각각의 잠재적인 금속 불순물(예를 들어, 적어도 Ag, Al, Au, Ca, Cr, Cu, Fe, Mg, Mo, Ni, K, Na, Sb, Ti, Zn 등)을 포함한다.
Si-함유 막 형성 조성물에서 X(식 중, X = Cl, Br 또는 I임)의 농도는 대략 0 ppmw 내지 대략 100 ppmw, 보다 바람직하게는 대략 0 ppmw 내지 대략 10 ppmw 범위일 수 있다.
하기 실시예에 제시된 바와 같이, 정제된 생성물은 기체 크로마토그래피 질량 분석법(gas chromatography mass spectrometry: GCMS)에 의해서 분석될 수 있다. 생성물의 구조는 1H 및/또는 29Si NMR에 의해서 확인될 수 있다.
상기에 상세하게 논의되고, 하기 실시예에 예시된 바와 같이, Si-함유 막 형성 조성물은 순도를 유지하기 위해서 그것과 반응하지 않는 깨끗한 건조 저장 용기에서 저장되어야 한다.
개시된 합성 방법의 이점은 하기와 같다:
Figure 112021052414575-pct00195
열분해 공정에 비해서 목적하는 폴리실란의 더 낮은 공정 온도 및 더 높은 수율(이것은 비용 및 생성물 단리 문제를 감소시키는데 도움이 됨);
Figure 112021052414575-pct00196
불균질 촉매의 적용은 반응 생성물이 촉매로 오염되는 문제를 본질적으로 제거하여, 잔류 촉매로부터 반응 생성물을 정제하는 단계가 제거됨;
Figure 112021052414575-pct00197
불균질 촉매의 적용은 반응 시간을 더 양호하게 제어하게 하는 반면, 보고된 균질 촉매는 트리실란을 켄칭 시까지, 거의 비-제어되는 방식으로 중합시킴;
Figure 112021052414575-pct00198
공정이 무용매성(solventless)임
Figure 112021052414575-pct00199
증류에 의해서만 정제함;
Figure 112021052414575-pct00200
폐기물 생성이 적으며, 환경 친화적임; 및
Figure 112021052414575-pct00201
출발 물질 중 다수가 저비용이고, 쉽게 입수 가능함.
규모 확대 가능한 산업 공정의 개발 관점에서 상기 모두가 이점이다. 그 결과, 반응 생성물은 안정화제, 예컨대, 균질 촉매를 사용하면 반응을 켄칭할 필요가 있을 수 있는 독성 유기 아민을 사용하지 않고 반도체 산업에 적합한 순도 수준을 유지한다.
또한 증착 방법을 위해서 개시된 Si-함유 막 형성 조성물을 사용하는 방법이 개시된다. 개시된 방법은 전자 또는 광전자 디바이스 또는 회로의 제조를 위해서 규소-함유 막, 예컨대, 규소 원소 막을 침착(deposition)시키기 위한 Si-함유 막 형성 조성물의 용도를 제공한다. 이 방법은 반도체, 광전지, LCD-TFT 또는 플랫 패널형 디바이스의 제조에 유용할 수 있다. 방법은 하기를 포함한다: 개시된 Si-함유 막 형성 조성물의 증기를 내부에 배치된 기판을 갖는 반응기 내에 도입하고, 개시된 Si-함유 막 형성 조성물의 적어도 일부를 침착 공정을 통해서 기판 상에 침착시켜 Si-함유 층을 형성하는 단계를 포함한다.
개시된 방법은 또한 증착 공정을 사용하여, 그리고 보다 특별하게는 SiMOx 또는 SiMNx 막(여기서, x는 0 내지 4일 수 있으며, M은 Ta, Nb, V, Hf, Zr, Ti, Al, B, C, P, As, Ge, 란탄계열(예를 들어, Er), 또는 이들의 조합물임)의 침착을 위해, 기판 상에 2-원소-함유 층을 형성하는 단계를 제공한다.
기판 상에 규소-함유 층을 형성하는 개시된 방법은 반도체, 광전지, LCD-TFT, 또는 플랫 패널형 디바이스의 제조에서 유용할 수 있다. 개시된 Si-함유 막 형성 조성물은 당업계에 공지된 임의의 증착 방법을 사용하여 Si-함유 막을 침착시킬 수 있다. 적합한 증착 방법의 예는 화학적 증착(chemical vapor deposition: CVD) 또는 원자층 증착(atomic layer deposition: ALD)을 포함한다. 예시적인 CVD 방법은 열적 CVD, 플라즈마 강화 CVD(plasma enhanced CVD: PECVD), 펄스화 CVD(pulsed CVD: PCVD), 저압 CVD(low pressure CVD: LPCVD), 대기압 이하 CVD(sub-atmospheric CVD: SACVD) 또는 대기압 CVD(atmospheric pressure CVD: APCVD), 유동성 CVD(flowable CVD: f-CVD), 금속 유기 화학적 증착(금속 organic chemical vapor deposition: MOCVD), 열선 CVD(hot-wire CVD: HWCVD, cat-CVD로도 공지됨, 여기서, 열선이 침착 공정을 위한 에너지 공급원으로서 역할을 함), 라디칼 혼입 CVD 및 이들의 조합을 포함한다. 예시적인 ALD 방법은 열적 ALD, 플라즈마 강화 ALD(PEALD), 공간 분할형 ALD(spatial isolation ALD), 열선 ALD(HWALD), 라디칼 혼입 ALD 및 이들의 조합을 포함한다. 초임계 유체 침착이 또한 사용될 수 있다. 이들 중에서, 고 침착률, 우수한 막 균일성 및 컨포멀(conformal) 막 품질이 필요한 공정의 경우 열적 CVD 침착이 바람직하다. 가혹 조건 하에서 높은 균일성을 갖는 막(예를 들어, 트렌치, 구멍 또는 바이어스)을 형성하는 공정의 경우 열적 ALD 침착이 바람직하다. 일 대안에서, 특히 신속한 성장, 컨포멀리티(conformality), 공정-지향(process-orientation) 및 단방향(one direction) 막이 필요한 경우 PECVD 침착이 바람직하다. 또 다른 대안에서, 특히 도전적인 표면 상에 침착된 막(예를 들어, 트렌치, 구멍 및 바이어스)의 우수한 컨포멀리티가 필요한 경우 PEALD 침착 공정이 바람직하다.
Si-함유 막 형성 조성물의 증기는 기판을 함유한 반응 챔버 내에 도입된다. 반응 챔버 내의 온도 및 압력, 및 기판의 온도는 기판 상에 Si-함유 막 형성 조성물의 적어도 일부의 증착에 적합한 조건에서 유지된다. 다시 말해서, 챔버 내에 기화된 조성물의 도입 후에, 챔버 내의 조건은 기화된 전구체의 적어도 일부가 규소-함유 막을 형성하기 위해 기판 상에 침착되게 한다. 보조 반응물(co-reactant)을 또한 사용하여 Si-함유 층의 형성을 도울 수 있다.
반응 챔버는, 침착 방법이 수행되는 디바이스의 임의의 인클로저(enclosure) 또는 챔버, 예를 들어, 비제한적으로, 평행-판형 반응기(parallel-plate type reactor), 저온-벽체형 반응기(cold-wall type reactor), 고온-벽체형 반응기(hot-wall type reactor), 단일-웨이퍼 반응기, 다중-웨이퍼 반응기 또는 다른 이러한 유형의 침착 시스템일 수 있다. 이들 예시적인 반응 챔버는 모두 ALD 반응 챔버로서 사용될 수 있다. 반응 챔버는 약 0.5 mTorr 내지 약 760 Torr 범위의 압력에서 유지될 수 있다. 또한, 반응 챔버 내의 온도는 약 20℃ 내지 약 700℃의 범위일 수 있다. 당업자는, 온도가 목적하는 결과를 달성하기 위해 단순한 실험을 통해 최적화될 수 있음을 인지할 것이다.
반응기의 온도는 기판 홀더의 온도를 제어하고/하거나 반응기 벽의 온도를 제어함으로써 제어될 수 있다. 기판을 가열하기 위해 사용되는 디바이스는 당업계에 공지되어 있다. 반응기 벽은 충분한 성장 속도로 목적하는 물리적 상태 및 조성의 원하는 막을 얻기에 충분한 온도로 가열될 수 있다. 반응기 벽이 가열될 수 있는 비제한적인 예시적인 온도 범위는 대략 20℃ 내지 대략 700℃를 포함한다. 플라즈마 침착 공정이 이용되는 경우, 침착 온도는 대략 20 ℃ 내지 대략 550℃의 범위일 수 있다. 대안적으로, 열적 공정이 수행될 때, 침착 온도는 대략 300℃ 내지 대략 700℃의 범위일 수 있다.
대안적으로, 기판은 충분한 성장 속도에서 그리고 목적하는 물리적 상태 및 조성을 갖는 목적하는 규소-함유 막을 얻기 위해 충분한 온도까지 가열될 수 있다. 기판이 가열될 수 있는 비제한적인 예시적인 온도 범위는 150℃ 내지 700℃를 포함한다. 바람직하게, 기판의 온도는 500℃ 이하에서 유지된다.
규소-함유 막이 침착될 기판의 유형은 의도된 최종 용도에 따라 달라질 것이다. 기판은 일반적으로 공정이 수행되는 물질로서 정의된다. 기판은 반도체, 광전지, 플랫 패널, 또는 LCD-TFT 디바이스 제조에 사용되는 임의의 적합한 기판을 포함하지만 이들로 제한되지 않는다. 적합한 기판의 예는 웨이퍼, 예컨대, 규소 웨이퍼, 실리카 웨이퍼, 유리 웨이퍼, 또는 GaAs 웨이퍼를 포함한다. 웨이퍼는 이전의 제조 단계로부터 웨이퍼 상에 침착된 상이한 물질의 하나 이상의 층을 가질 수 있다. 예를 들어, 웨이퍼는 규소 층(결정질, 비정질, 다공성 등), 산화규소 층, 질화규소 층, 산질화규소 층, 탄소 도핑된 산화규소(SiCOH) 층 또는 이들의 조합물을 포함할 수 있다. 추가로, 웨이퍼는 구리 층, 텅스텐 층 또는 금속 층(예를 들어, 백금, 팔라듐, 니켈, 로듐 또는 금)을 포함할 수 있다. 웨이퍼는 장벽 층, 예컨대, 망간, 산화망간, 탄탈럼, 질화탄탈럼 등을 포함할 수 있다. 층은 평탄하거나 패턴화될 수 있다. 일부 구현예에서, 기판은 패턴화된 포토레지스트 막으로 코팅될 수 있다. 일부 구현예에서, 기판은 MIM, DRAM 또는 FeRam 기술에서 유전 물질로 사용되는 산화물(예를 들어, ZrO2계 물질, HfO2계 물질, TiO2계 물질, 희토류 산화물계 물질, 3원계 산화물계 물질 등)의 층, 또는 구리와 저유전율 층(low-k layer) 사이의 전자이동(electromigration) 장벽 및 접착 층으로서 사용되는 질화물계 막(예를 들어, TaN)으로부터의 층을 포함할 수 있다. 개시된 공정은 (패턴화된 층이 기판을 형성될 때) 웨이퍼 상에 규소-함유 층을 직접적으로 침착시키거나, 웨이퍼의 상부 상의 층들 중 하나 또는 하나 초과의 층 상에 직접적으로 침착시킬 수 있다. 또한, 당업자는 본 명세서에서 사용되는 용어 "막" 또는 "층"이 표면 상에 놓여 있거나 표면에 걸쳐 퍼져있는 일정 두께의 일부 물질을 지칭하며 그러한 표면은 트렌치 또는 라인일 수 있음을 인식할 것이다. 명세서 및 청구범위 전체를 통해서, 웨이퍼 및 상부의 임의의 연관된 층이 기판이라고 지칭된다. 사용되는 실제 기판은 또한, 사용되는 특정 전구체 구현예에 따라 달라질 수 있다. 그러나, 많은 경우에, 사용되는 바람직한 기판은 수소화 탄소, TiN, SRO, Ru 및 Si형 기판, 예컨대 폴리규소 또는 결정질 규소 기판 중에서 선택될 것이다.
기판은 고 종횡비를 갖는 비아 또는 트렌치를 포함하도록 패턴화될 수 있다. 예를 들어, 컨포멀(conformal) Si-함유 막, 예컨대, SiN 또는 SiO2는 임의의 ALD 기술을 이용하여 대략 20:1 내지 대략 100:1 범위의 종횡비를 갖는 관통 규소 비아(through silicon via: TSV) 상에 침착될 수 있다.
n이 4 내지 10인 경우, Si-함유 막 형성 조성물은 니트(neat)로 제공될 수 있다. 대안적으로, Si-함유 막 형성 조성물은 증착에 사용하기에 적합한 용매를 추가로 포함할 수 있다. 용매는 다른 것 중에서 C1-C16 포화 또는 불포화 탄화수소로부터 선택될 수 있다.
증착을 위해서, Si-함유 막 형성 조성물은 튜빙(tubing) 및/또는 유량계와 같은 통상적인 수단에 의해 증기 형태로 반응기 내로 도입된다. 증기 형태는 통상적인 기화 단계, 예컨대, 직접 액체 주입, 캐리어 가스의 부재 하에서의 직접 증기 배출을 통해서, 액체를 통한 캐리어 가스의 버블링에 의해서 또는 액체를 통해서 버블링하지 않고 캐리어 가스를 사용하여 증기를 스위핑(sweeping)함으로써 생성될 수 있다. Si-함유 막 형성 조성물은 액체 상태로 기화기에 공급될 수 있는데(직접 액체 주입), 여기서 이것은 기화되고, 반응기에 도입되기 전에 캐리어 가스와 혼합된다. 대안적으로, Si-함유 막 형성 조성물은 조성물을 함유한 용기 내에 캐리어 가스를 통과시킴으로써 또는 조성물 내로 캐리어 가스를 버블링함으로써 기화될 수 있다. 캐리어 가스는 Ar, He 또는 N2 및 이들의 혼합물을 포함할 수 있지만, 이들로 제한되지 않는다. 이어서 캐리어 가스 및 조성물을 증기로서 반응기 내로 도입한다.
필요한 경우, Si-함유 막 형성 조성물은 Si-함유 막 형성 조성물이 충분한 증기압을 갖는 것을 허용하는 온도까지 가열될 수 있다. 전달 디바이스는 예를 들어, 0 내지 150℃ 범위의 온도에서 유지될 수 있다. 당업자는, 전달 디바이스의 온도가 기화된 Si-함유 막 형성 조성물의 양을 제어하기 위해 공지된 방식으로 조정될 수 있음을 인지한다.
개시된 조성물에 더하여, 반응 기체가 또한 반응기 내로 도입될 수 있다. 반응 기체는, 산화제, 예컨대, O2; O3; H2O; H2O2; N2O; 산소 함유 라디칼, 예컨대, Oㆍ 또는 OHㆍ; NO; NO2; 카르복실산, 예컨대, 포름산, 아세트산, 프로피온산; NO, NO2 또는 카르복실산의 라디칼 종; 파라-포름알데히드; 및 이들의 혼합물일 수 있다. 바람직하게는, 산화 기체는 O2, O3, H2O, H2O2, 이들의 산소 함유 라디칼, 예컨대, Oㆍ 또는 OHㆍ 및 이들의 혼합물로 이루어진 군으로부터 선택된다. 바람직하게, ALD 공정이 수행될 때, 보조 반응물은 플라즈마 처리된 산소, 오존 또는 이들의 조합물이다. 산화 기체가 사용될 때, 생성된 규소 함유 막은 또한 산소를 함유할 것이다.
대안적으로, 반응 기체는 H2, NH3, (SiH3)3N, 히드리도실란(예컨대, SiH4, Si2H6, Si3H8, Si4H10, Si5H10, Si6H12), 클로로실란 및 클로로폴리실란(예컨대, SiHCl3, SiH2Cl2, SiH3Cl, Si2Cl6, Si2HCl5, Si3Cl8), 알킬실란(예컨대, Me2SiH2, Et2SiH2, MeSiH3, EtSiH3), 히드라진(예컨대, N2H4, MeHNNH2, MeHNNHMe), 유기 아민(예컨대, NMeH2, NEtH2, NMe2H, NEt2H, NMe3, NEt3, (SiMe3)2NH), 디아민, 예컨대, 에틸렌 디아민, 디메틸에틸렌 디아민, 테트라메틸에틸렌 디아민, 피라졸린, 피리딘, B-함유 분자(예컨대, B2H6, 트리메틸보론, 트리에틸보론, 보라진, 치환된 보라진, 디알킬아미노보란), 알킬 금속(예컨대, 트리메틸알루미늄, 트리에틸알루미늄, 디메틸징크, 디에틸징크), 이들의 라디칼 종 또는 이들의 혼합물일 수 있다. H2 또는 무기 Si 함유 기체가 사용되는 경우, 생성된 규소 함유 막은 순수한 Si일 수 있다.
대안적으로, 반응 기체는 탄화수소, 포화되거나 불포화된, 선형, 분지형 또는 환식, 예컨대, 비제한적으로, 에틸렌, 아세틸렌, 프로필렌, 이소프렌, 시클로헥산, 시클로헥센, 시클로헥사디엔, 펜텐, 펜틴, 시클로펜탄, 부타디엔, 시클로부탄, 테르피넨, 옥탄, 옥텐 또는 이들의 조합물일 수 있다.
반응 기체는 반응 기체를 이의 라디칼 형태로 분해시키기 위해, 플라즈마에 의해 처리될 수 있다. 플라즈마로 처리될 때 환원제로서 N2가 또한 이용될 수 있다. 예를 들어, 플라즈마는 약 50 W 내지 약 500 W, 바람직하게, 약 100 W 내지 약 200 W 범위의 출력으로 발생될 수 있다. 플라즈마는 반응기 자체 내에서 발생되거나 존재할 수 있다. 대안적으로, 플라즈마는 일반적으로 반응기에서 벗어난 위치, 예를 들어, 원격으로 위치된 플라즈마 시스템에 존재할 수 있다. 당업자는 그러한 플라즈마 처리에 적합한 방법 및 장치를 인지할 것이다.
목적하는 규소-함유 막은 또한 다른 원소, 예를 들어, 예컨대 그리고 비제한적으로, B, P, As, Zr, Hf, Ti, Nb, V, Ta, Al, Si, 또는 Ge를 함유할 수 있다.
Si-함유 막 형성 조성물 및 1종 이상의 보조 반응물은 반응 챔버 내에, 동시에(화학적 증착), 순차적으로(원자층 증착), 또는 다른 조합으로 도입될 수 있다. 예를 들어, Si-함유 막 형성 조성물의 증기는 1회 펄스로 도입될 수 있으며, 2종의 추가적인 금속 공급원이 별도의 펄스(변형된 원자층 증착)로 함께 도입될 수 있다. 대안적으로, 반응 챔버는 Si-함유 막 형성 조성물의 도입 이전에 이미 보조 반응물을 함유할 수 있다. 보조 반응물은 반응 챔버 내에서 국소화되거나 이로부터 원격으로 플라즈마 시스템을 통과하고, 라디칼로 분해될 수 있다. 대안적으로, Si-함유 막 형성 조성물은 반응 챔버에 연속적으로 도입되며, 다른 전구체 또는 반응물은 펄스에 의해(펄스화-화학적 증착) 도입된다. 다른 대안에서, Si-함유 막 형성 조성물 및 1종 이상의 보조 반응물은, 수 개의 웨이퍼를 수용하는 서셉터(susceptor)가 아래에서 회전하는 샤워헤드로부터 동시에 분사될 수 있다(공간 분할형 ALD).
하나의 비제한적인 예시적인 원자층 증착 공정에서, Si-함유 막 형성 조성물의 증기상은 반응 챔버 내에 도입되며, 여기서, 이는 적합한 기판과 접촉된다. 과량의 조성물은 이후에, 반응 챔버를 퍼징하고/하거나 배기시킴으로써 반응 챔버로부터 제거될 수 있다. 산소 공급원은 자가-제한적인 방식으로 흡수된 Si-함유 막 형성 조성물과 반응하는 반응 챔버 내에 도입된다. 임의의 과량의 산소 공급원은 반응 챔버를 퍼징하고/하거나 배기시킴으로써 반응 챔버로부터 제거된다. 목적하는 막이 산화규소 막인 경우에, 이러한 2-단계 공정은 목적하는 막 두께를 제공할 수 있거나, 필요한 두께를 갖는 막이 얻어질 때까지 반복될 수 있다.
대안적으로, 목적하는 막이 규소 금속/메탈로이드 산화물 막(즉, SiMOx, 식 중, x는 0 내지 4일 수 있으며, M은 B, Zr, Hf, Ti, Nb, V, Ta, Al, Si, Ga, Ge, 또는 이들의 조합임)인 경우에, 상기 2-단계 공정에 후속하여 반응 챔버 내에 금속- 또는 메탈로이드-함유 전구체의 증기가 도입될 수 있다. 금속- 또는 메탈로이드-함유 전구체는 침착되는 규소 금속/메탈로이드 산화물 막의 특성을 기초로 하여 선택될 것이다. 반응 챔버 내에 도입 후에, 금속- 또는 메탈로이드-함유 전구체는 기판과 접촉된다. 임의의 과량의 금속- 또는 메탈로이드-함유 전구체는 반응 챔버를 퍼징하고/하거나 배기시킴으로써 반응 챔버로부터 제거된다. 다시 한번, 금속- 또는 메탈로이드-함유 전구체와 반응시키기 위해, 산소 공급원이 반응 챔버 내에 도입될 수 있다. 과량의 산소 공급원은 반응 챔버를 퍼징하고/하거나 배기시킴으로써 반응 챔버로부터 제거된다. 목적하는 막 두께가 달성되면, 공정을 종료할 수 있다. 그러나, 더 두꺼운 막이 요구되는 경우, 전체 4단계 공정을 반복할 수 있다. Si-함유 막 형성 조성물, 금속- 또는 메탈로이드-함유 전구체, 및 산소 공급원의 제공을 교대로 함으로써, 목적하는 조성 및 두께의 막이 침착될 수 있다.
추가적으로, 펄스의 수에 변화를 줌으로써, 목적하는 화학양론적 M:Si 비를 갖는 필름이 얻어질 수 있다. 예를 들어, SiMO2 막은 Si-함유 막 형성 조성물의 1회 펄스 및 금속- 또는 메탈로이드-함유 전구체의 1회 펄스를 가짐으로써 얻어질 수 있으며, 각 펄스 이후에 산소 공급원의 펄스가 이어진다. 그러나, 당업자는 목적하는 막을 얻기 위해 요구되는 펄스의 수가 얻어진 막의 화학양론적 비율과 동일하지 않을 수 있음을 인지할 것이다.
상기에서 논의된 공정으로부터 얻어진 규소-함유 막은 SiO2; SiC; SiN; SiON; SiOC; SiONC; SiBN; SiBCN; SiCN; SiMO, SiMN(여기서, M은 물론 M의 산화 상태에 따라, Zr, Hf, Ti, Nb, V, Ta, Al, Ge로부터 선택됨)를 포함할 수 있다. 당업자는 적절한 Si-함유 막 형성 조성물 및 보조 반응물의 공평한 선택에 의해, 목적하는 막 조성물이 얻어질 수 있다는 것을 인지할 것이다.
목적하는 막 두께를 얻은 후에, 막은 열적 어닐링, 퍼니스-어닐링(furnace-annealing), 급속 열적 어닐링(rapid thermal annealing), UV 또는 e-빔 경화, 및/또는 플라즈마 가스 노출과 같은 추가 공정을 거칠 수 있다. 당업자는 이들 추가 처리 단계를 수행하는 데 이용되는 시스템 및 방법을 인지한다. 예를 들어, 규소-함유 막은 불활성 분위기, H-함유 분위기, N-함유 분위기 또는 이들의 조합 하에서 대략 0.1초부터 대략 7200초까지의 범위의 시간 동안 대략 200℃ 내지 대략 1000℃의 범위의 온도에 노출될 수 있다. 가장 바람직하게, 온도는 3600초 미만 동안 600℃이다. 더욱 더 바람직하게, 온도는 400℃미만이다. 어닐링 단계는 침착 공정이 수행되는 동일한 반응 챔버에서 수행될 수 있다. 대안적으로, 기판은 반응 챔버로부터 제거될 수 있고, 별도의 장치에서 어닐링/플래시 어닐링 공정이 수행된다. 임의의 상기 후처리 방법, 특히, UV-경화는 막의 연결성(connectivity) 및 가교를 향상시키고 막이 SiN 함유 막일 때 막의 H 함량을 감소시키기 위해 효과적인 것으로 확인되었다. 전형적으로, 400℃ 미만(바람직하게는 약 100℃ 내지 300℃)으로의 열적 어닐링 및 UV 경화의 조합을 사용하여 최고 밀도를 갖는 막을 얻는다.
개시된 Si-함유 막 형성 조성물은 또한 전자기기 및 광학 산업에서 사용되는 규소, 질화규소, 산화규소, 또는 탄화규소, 규소 산질화물 막을 형성하기 위해 코팅 침착 공정에서 사용될 수 있다. 산화규소 막은 O2, O3, H2O, H2O2, NO, N2O 및 이들의 조합물 중 적어도 하나를 함유한 산화성 분위기 하에서 침착된 막의 열 처리로부터 얻어진다. 개시된 Si-함유 막 형성 조성물은 또한, 항공기, 자동차, 군용, 또는 철강 산업, 또는 고온을 견딜 수 있는 강력한 물질을 필요로 하는 임의의 다른 산업에서 사용하기 위한 보호 코팅 또는 사전 세라믹 물질(즉, 질화물 및 산질화물)을 형성하기 위해 사용될 수 있다.
코팅 공정을 위해서, Si-함유 막 형성 조성물은 바람직하게는 단리된 SinH(2n+2) 화합물 또는 SinH(2n+2) 혼합물을 포함하고, 여기서 n은 10 내지 100, 바람직하게는 10 내지 30 또는 30 내지 50이다. SinH(2n+2) 혼합물은 대략 400 Da 내지 대략 1000 Da 범위의 Mn, 대략 1000 Da 내지 대략 2000 Da 범위의 Mw 및 대략 1 내지 대략 10 범위의 Mw/Mn을 가질 수 있다.
코팅 공정에 사용되는 Si-함유 막 형성 조성물은 코팅 조성물의 성질, 예를 들어, 점도 또는 층 두께를 조정하기 위하여 상이한 비등점을 갖는 용매 또는 용매 시스템을 추가로 포함할 수 있다. 예시적인 용매는 탄화수소, 예컨대, 벤젠, 톨루엔, 자일렌, 메시틸렌 또는 n-헥산; 케톤, 예컨대, 메틸에틸케톤, 시클로헥산온 또는 2-헵탄온; 에테르, 예컨대, 에틸 에테르, 디-부틸 에테르 또는 테트라히드로퓨란; 실란, 예컨대, m-톨릴 실란, o-톨릴 실란, p-톨릴 실란, p-에틸페닐 실란, m-에틸페닐 실란, o-에틸페닐 실란, m-자일렌, o-자일렌 또는 이들의 조합물; 및 아민, 예컨대, 피리딘, 자일렌 또는 메틸 피리딘; 에스테르, 예컨대, 2-히드록시 에틸 프로피오네이트 또는 히드록실 에틸 아세테이트; 및 이들의 조합물을 포함한다. 예시적인 용매 시스템은 저온에서 비등하는, 30℃ 내지 100℃의 비등점(BP)을 갖는 1종의 용매, 예를 들어, 펜탄, 헥산, 벤젠, 디에틸에테르, 메틸에틸에테르, 시클로헥산, 아세톤 등을 함유할 수 있다. 용매 시스템은 또한, 더 높은 비등점, 70℃ 내지 200℃의 BP를 가질 수 있는 제2 용매, 예를 들어, 톨루엔, THF, 자일렌, 메틸 이소부틸 케톤, 시클로헥산온, 시클로펜탄온, 글리콜 등을 포함할 수 있다. 코팅 방법에 적합하게 하기 위하여, Si-함유 막 형성 조성물은 대략 500 내지 대략 1,000,000, 바람직하게, 대략 1,000 내지 대략 100,000, 및 더욱 바람직하게, 대략 3,000 내지 대략 50,000 범위의 분자량을 가져야 한다. 용매는 대략 60% w/w 내지 대략 99.5% w/w의 Si-함유 막 형성 조성물, 바람직하게, 대략 80% w/w 내지 대략 99% w/w, 및 더욱 바람직하게는 대략 85% w/w 내지 대략 95% w/w의 Si-함유 막 형성 조성물을 포함할 수 있다.
Si-함유 막 형성 조성물은 퍼히드로폴리실라잔을 추가로 포함할 수 있다. 특별히 바람직한 하나의 퍼히드로폴리실라잔은 미국 특허 출원 공개 제2018/072571호에 개시되어 있다. Si-함유 막 형성 조성물은 대략 0.5% w/w 내지 대략 99.5% w/w의 퍼히드로폴리실라잔, 바람직하게는 대략 10% w/w 내지 대략 90% w/w의 퍼히드로폴리실라잔을 포함할 수 있다.
Si-함유 막 형성 조성물에 적합한 다른 첨가제는 중합 개시제, 계면활성제, 안료, UV 흡수제, pH 조절제, 표면 개질제, 가소제, 분산제, 촉매 및 이들의 조합물을 포함한다. 촉매는 Si-함유 막 형성 조성물을 합성하기 위해 사용되는 촉매와 동일하거나 상이할 수 있다. 예시적인 촉매는 탈실릴화 커플링(de실릴ative coupling: DSC), 가교, 또는 H2 제거를 촉진시킴으로써 후속 가공 단계에서 Si-함유 막 형성 조성물의 추가 조밀화(densification)를 촉진시키기 위해 선택될 수 있다. 이러한 촉매는 실온에서 이의 낮은 활성이 저장 시에 조성물을 안정하게 유지시키고, 단지 실온보다 높은 온도, 및 이상적으로, 50℃ 내지 200℃의 온도까지 가열될 때 반응을 유도하도록 선택되어야 한다. 예를 들어, P(Ph)3, P(톨릴)3 또는 금속 카르보닐은 고온 활성화를 위해 적합한 촉매일 수 있다. 조성물은 또한, 광자에 노출 시에 추가 가교를 유도하는 광활성 물질, 예를 들어, 광-산 발생제 및 광개시제, 예를 들어, 라디칼 개시제, 양이온성 개시제, 음이온성 개시제, 예를 들어, 모노-아릴 케톤, 트리메틸벤조일디페닐 포스피네이트 및/또는 포스핀 옥사이드를 함유할 수 있다.
촉매는 또한, Si-함유 막 형성 조성물의 실리카로의 전환을 증진시킬 수 있다.
Si-함유 막은 당업계에 공지된 임의의 코팅 방법을 이용하여 침착될 수 있다. 적합한 코팅 방법의 예는 스핀 코팅, 딥 코팅, 스프레이 코팅, 섬유 스피닝, 압출, 몰딩, 주조, 함침, 롤 코팅, 전사 코팅, 슬릿 코팅 등을 포함한다. 비 반도체 응용 분야에 사용하기 위해, 개시된 Si-함유 막 형성 조성물은 또한, 세라믹 충전제, 예컨대, BN, SiN, SiCN, SiC, Al2O3, ZrO2, Y2O3 및/또는 Li2O 분말을 함유할 수 있다. 코팅 방법은, 적합한 막 두께 조절과 갭필(gapfill) 성능을 제공하기 위해, 바람직하게는 스핀 코팅이다.
개시된 Si-함유 막 형성 조성물은, 기판의 중심에 직접적으로 도포된 다음, 회전에 의해 전체 기판으로 퍼질 수 있거나, 분무에 의해 전체 기판에 적용될 수 있다. 기판의 중심에 직접적으로 도포되는 경우, 기판은 기판 위에 조성물을 균일하게 분포시키기 위해 원심력을 이용하도록 회전될 수 있다. 당업자는, Si-함유 막 형성 조성물의 점도가 기판의 회전 필요성 여부를 결정하는 데 기여할 것이라는 것을 인지할 것이다. 대안적으로, 기판은 개시된 Si-함유 막 형성 조성물에 침지될 수 있다. 얻어진 필름은 실온에서, 필름의 용매 또는 휘발성 성분을 증발시키기 위한 시간 동안 건조될 수 있거나, 강제 건조(force-drying) 또는 베이킹에 의해 또는 열 경화 및 조사, 예를 들어, 이온 자극, 전자 조사, UV 및/또는 가시광 조사, 등을 포함하는 임의의 적합한 공정 중 하나 또는 조합의 이용에 의해 건조될 수 있다.
스핀-온 Si-함유 막 형성 조성물은 또한, 광학 응용 분야에 적합한 투명한 규소 산질화물 막의 형성을 위해 사용될 수 있다.
스핀 코팅, 딥 코팅 또는 스프레이 코팅용으로 사용되는 경우, 개시된 Si-함유 막 형성 조성물은 수분 또는 산소 장벽으로서, 또는 디스플레이, 발광 디바이스 및 광전지 디바이스에서 부동태화 층으로서 유용한, 산화규소 또는 질화규소 장벽 층의 형성을 위해 사용될 수 있다.
반도체 응용 분야에서, Si-함유 막 형성 조성물은, 희생층, 예컨대, 에칭 하드 마스크, 이온 주입 마스크, 반사 방지 코팅, 톤 역전 층을 형성하기 위해 사용될 수 있다. 대안적으로, Si-함유 막 형성 조성물은, 비 희생층("남겨지는" 막), 예컨대, 갭필 산화물층, 예비 금속 유전체층, 트랜지스터 응력층, 에칭 정지층, 층간 유전체층을 형성하기 위해 사용될 수 있다.
갭필 응용 분야에서, 트렌치 또는 홀은 대략 0.5:1 내지 대략 100:1 범위의 종횡비를 가질 수 있다. Si-함유 막 형성 조성물은 통상적으로 기판 상에서 회전되고, 50℃ 내지 300℃에서 용매(들)를 증발시키기 위해 사전 베이킹되고, 결국, 300 내지 1000℃ 범위의 온도에서, 통상적으로 O2, O3, H2O, H2O2, N2O, NO를 함유한 산화 분위기에서 기판을 어닐링함으로써 산화규소로 변환된다. 상기 산화물 품질은 다양한 분위기(산화성 또는 불활성)에서 다단계 어닐링 공정에 의해 개선될 수 있다.
도 5는 Si-함유 막 형성 조성물의 제조, 실리콘 기판의 제조, 및 스핀 코팅 공정의 단계에 대한 예시적인 공정을 다이어그램으로 나타낸 흐름도이다. 당업자는, 도 5에 제공된 단계보다 적거나 추가적인 단계를, 본 명세서의 교시를 벗어나지 않고 수행할 수 있음을 인지할 것이다. 예를 들어, R&D 환경에서 사용되는 특징 분석 단계는 상업적 작업에서 요구되지 않을 수 있다. 당업자는, 본 공정이 바람직하게는, 막의 원하지 않는 산화를 방지하기 위해 불활성 분위기 하에서 그리고/또는 막의 입자 오염을 방지하는 것을 보조하기 위해, 클린룸에서 수행됨을 추가로 인지할 것이다.
단계 A에서, 목적하는 SinH(2n+2) 생성물(여기서 n은 10 내지 30이거나 또는 n은 30 내지 50임)은 용매와 혼합되어 1 내지 50 wt%의 혼합물을 형성한다. 당업계에 공지된 혼합 기구를 사용하여 이들 두 성분을 혼합할 수 있다(예를 들어, 기계적 교반, 기계적 진탕 등). 성분에 따라, 혼합물을 27℃에서 약 100℃ 범위의 온도까지 가열할 수 있다. 가열 온도는 항상 사전베이킹 온도보다 낮게 유지되어야 한다. 특정 성분에 따라, 혼합은 1분 내지 1시간 동안 일어날 수 있다.
단계 B에서, 선택적인 촉매, 선택적인 퍼히드로폴리실란, 예컨대, 미국 특허 출원 제2018/072571호에 개시된 것 또는 둘 다를 혼합물에 첨가하고, 동일한 방식으로 기계적으로 교반할 수 있다. 성분에 따라, 혼합물을 27℃에서 약 100℃ 범위의 온도까지 가열할 수 있다. 특정 성분에 따라, 혼합은 1분 내지 1시간 동안 일어날 수 있다.
선택적 단계 C에서, 혼합물은, 첨가제들 사이의 모든 반응을 평형에 도달시키도록 에이징될 수 있다. 혼합 후, 혼합물은 사용하기 전에 1시간 내지 2주 동안 에이징될 수 있다. 성분에 따라, 혼합물은 27℃ 내지 약 100℃의 온도 범위에서 에이징될 수 있다. 촉매 함유 조성물의 경우, 촉매와 폴리실란은 짧은 시간 동안 부분적으로 반응할 수 있다. 따라서, 조성물을 안정화하기 위해 사용하기 전에 에이징이 권장된다. 초기 에이징 시험 결과는, 최종 산화물 막의 수축이 더 발생하지 않는 평형에 도달했음을 나타낸다. 당업자는 적절한 에이징 지속 기간을 결정하기 위해 필요한 에이징 시험을 수행할 수 있다.
단계 B 또는 선택적 단계 C 후에, 혼합물을 여과하여 임의의 입자 또는 기타 고체 함량을 제거할 수 있다. 당업자는, 필터가 Si-함유 막 형성 조성물의 성분과 호환될 수 있어야 함을 인지할 것이다. 폴리테트라플루오로에틸렌(PTFE)이 일반적으로 적합한 여과 재료이다. 필터 크기는 약 0.02 마이크론 내지 약 1 마이크론 범위이다.
당업자는, 혼합을 용이하게 하고, 목적하는 SinH(2n+2) 생성물을 갖는 보다 균질한 혼합물이 가능하게 하도록, 용매 또는 용매 중 하나에서 촉매의 사전 블렌딩과 같이, 다른 첨가 순서가 가능함을 또한 인지할 것이다.
스핀 코팅 공정용 기판을 제조하기 위한 선택적인 공정은, 도 5에 또한 제공된다.
Si-함유 막이 그 위에 침착될 평면 또는 패턴화된 기판은, 단계 1 및 단계 2 그리고 대안적인 단계 3a 및 3b에서의 증착 공정을 위해 제조될 수 있다. 고순도 기체 및 용매가 상기 제조 공정에서 사용된다. 기체는 통상적으로 반도체 등급이고, 입자 오염이 없다. 반도체 사용을 위하여, 용매는 입자 부재, 통상적으로 100개 입자/㎖ 미만(0.5 ㎛ 입자, 보다 바람직하게는 10개 입자/㎖ 미만)이고 표면 오염을 초래하는 비 휘발성 잔류물이 존재하지 않아야 한다. 50 ppb 미만의 금속 오염(각 원소에 대해, 그리고 바람직하게는 5 ppb 미만)을 갖는 반도체 등급 용매를 권고한다.
선택적 단계 1에서, 기판은 실온(대략 20℃ 내지 대략 25℃에서 대략 60초 내지 대략 120초 동안, 그리고 바람직하게는 대략 90초 동안 세정 용매, 예컨대, 아세톤 중에서 초음파처리될 수 있다. 평면 또는 패턴화된 기판은 실온에서 대략 60초 내지 대략 120초 동안, 바람직하게는 대략 90초 동안 또 다른 세정 용매, 예컨대, 이소프로필 알코올(IPA) 중에서 초음파처리된다. 당업자는, 이들 단계가 동일한 또는 상이한 초음파처리기에서 수행될 수 있음을 인지할 것이다. 상이한 초음파처리기는 더 많은 장치를 필요로 하지만, 더 용이한 공정을 제공한다. 초음파처리기는, 두 단계 모두에서 기판의 임의의 오염을 방지하기 위해 사용되는 경우, 단계 1과 단계 2 사이에 철저히 세정되어야 한다. 개시된 방법을 위해 적합한 예시적인 초음파처리기는, Leela Electronics Leela Sonic Models 50, 60, 100, 150, 200, 250, 또는 500, 또는 Branson's B 시리즈를 포함한다.
선택적 단계 2에서, 기판은 IPA 초음파처리기로부터 제거될 수 있고, 새로운 세정 용매로 헹궈질 수 있다. 헹궈진 기판은 불활성 기체, 예컨대 N2 또는 Ar을 사용하여 건조된다.
선택적 단계 3a에서, 단계 2의 기판은, 친수성 표면이 요구되는 경우, 생성된 OH-종결 친수성 표면에 대해 25℃ 및 대기압에서 1 시간 동안 UV-오존으로 처리될 수 있다. 단계 3a는 유기물 오염을 또한 제거한다.
선택적 단계 3b에서, 단계 2의 기판을 25℃에서 1% HF 수용액에 1 내지 2 분 동안 담가 자연적인 산화물 상부층을 에칭하고 소수성 표면이 필요한 경우에 H로 종결된 소수성 표면을 생성한다.
당업자는, 선택적 단계 1, 2 및 대안적인 단계 3a 및 3B가 예시적인 웨이퍼 제조 공정을 제공하는 것을 인지할 것이다. 다수의 웨이퍼 제조 공정이 있고, 본 명세서의 교시에서 벗어나지 않는다면 이를 이용할 수 있다. 예를 들어, 문헌[Handbook of Silicon Wafer Cleaning Technology, 3rd Edition, 2017 (William Andrew)]을 참조하기 바란다. 당업자는, 적어도 기판 물질 및 요구되는 청결 정도를 기반으로 하여 적절한 웨이퍼 제조 공정을 결정할 수 있다.
기판은, 단계 2, 3a 또는 3b 중 어느 하나 이후에 스핀 코팅 공정으로 진행될 수 있다.
도 5의 흐름도는 또한 예시적인 스핀-코팅 공정을 다이어그램으로 나타낸다.
상기에서 선택적으로 제조된 기판은 스핀 코팅기로 이송된다. 예시적인 적합한 스핀 코팅기는 스크린(Screen)의 Coat/Develop Track DT-3000, 에스-큐베드(S-cubed)의 Scene12, EVG의 150XT, 브류어 사이언스(Brewer Science)의 Cee® Precision 스핀 코팅기, 로렐(Laurell)의 650 시리즈 스핀 코팅기, 스페셜티 코팅 시스템(Specialty Coating System)의 G3 스핀 코팅기 또는 도쿄 일렉트론(Tokyo Electron)의 CLEAN TRACK ACT 장비 계열을 포함한다. 단계 4에서, 단계 B 또는 C의 Si-함유 막 형성 조성물은 단계 2, 3a 또는 3b의 기판 상에 분배된다. 웨이퍼 기판은 단계 5에서 회전된다. 당업자는 단계 4 및 단계 5가 순차적으로(정적 모드) 또는 동시에(동적 모드) 수행될 수 있음을 인지할 것이다. 단계 4는 수동 또는 자동 분배 장치(예컨대, 피펫, 시린지, 또는 액체 유량계)를 이용하여 수행된다. 단계 4 및 5가 동시에 수행되는 경우, 초기 스핀 속도는 느리다(즉, 대략 5 rpm 내지 대략 999 rpm, 바람직하게는 대략 5 rpm 내지 대략 300 rpm). 모든 Si-함유 막 형성 조성물이 분배된 후(즉, 단계 4가 정적 또는 동적 모드 중 어느 하나에서 완료되는 경우), 스핀 속도는 대략 1000 rpm 내지 대략 4000 rpm의 범위이다. 웨이퍼는 기판 전체에 걸쳐 균일한 코팅이 달성될 때까지 회전되며, 이는 통상적으로, 대략 10 초 내지 대략 3 분이 소요된다. 단계 4 및 단계 5는 웨이퍼 상에 Si-함유 막을 생성한다. 당업자는, 스핀 코팅 공정의 필수 지속 시간, 가속도 비율, 용매 증발 비율 등이, 목표 막 두께 및 균일성을 얻기 위해 각각의 새로운 제형에 대한 최적화를 필요로 하는 조절 가능한 매개변수임을 인지할 것이다(예를 들어, 문헌[University of Louisville, Micro/Nano Technology Center - Spin Coating Theory, October 2013] 참조).
Si-함유 막이 형성된 후에, 웨이퍼는 단계 6에서 사전베이킹되거나 소프트 베이킹되어, 스핀-코팅 공정으로부터 PHPS 조성물의 임의의 휘발성 유기 잔류 성분 및/또는 부산물을 제거한다. 촉매의 활성화 온도에 따라, 촉매화는 단계 6에서 또한 시작할 수 있다. 단계 6은 열적 챔버에서 또는 핫 플레이트 상에서 대략 30℃ 내지 대략 300℃, 바람직하게는 80℃ 내지 200℃ 범위의 온도에서 대략 1분 내지 대략 120분 범위의 시간 동안 일어날 수 있다. 예시적인 핫 플레이트는 EVG의 105 Bake Module, 브류어 사이언스의 Cee® Model 10 또는 11 또는 폴로(Polo)의 정밀 베이크 플레이트를 포함한다.
단계 7에서, 기판은 경화되어 원하는 물질을 생성한다. 3개의 비제한적인 옵션이 도 5에 나타나 있다. 임의의 3개 옵션은 불활성 또는 반응성 기체를 사용하여 수행될 수 있다. 예시적인 불활성 기체는 N2, Ar, He, Kr, Xe 등을 포함한다. 반응성 기체는 막 내에 산소, 질소, 또는 탄소를 도입하기 위해 사용될 수 있다.
막 내에 산소를 도입하는 예시적인 반응성 기체는 O2, O3, 공기, H2O, H2O2, N2O, NO 등의 산소 함유 기체를 포함한다. O2/Ar 하에서, 경화 온도는 대략 400℃ 내지 대략 800℃ 범위일 수 있다. O2가 경화 기체로서 사용될 수 있다. 대안적으로, 경화는 H2O2에서 약 300℃ 내지 약 500℃ 범위의 온도에서 일어날 수 있다. H2O2는 강력한 산화제이며 트렌치 내에서 일관된 Si 산화물 막의 일관성을 허용할 수 있다.
막에 탄소를 도입하는 예시적인 반응성 기체는, 탄소 함유 기체, 특히 알켄 및 알킨(에틸렌, 아세틸렌, 프로필렌 등)과 같은 불포화 탄소 함유 기체를 포함한다.
막 내에 질소를 도입하는 예시적인 반응성 기체는, DHC 반응이 진행될 수 있도록 적어도 하나의 N-H 결합을 가져야 한다. C가 완전히 없는 막의 경우, 이는, 경화 기체가 NH3 또는 N2H4를 포함할 수 있음을 의미한다. 대안적으로, C-함유 N-공급원을 사용할 수 있으나, 막 내에 일부 C를 생성할 수 있다. 예시적인 C-함유 N 공급원은 치환된 히드라진(즉, N2R4, 여기서 각각의 R은 독립적으로 H 또는 C1 내지 C4 탄화수소이고, 단 적어도 하나의 R은 H임)(예를 들어, MeHNNH2, Me2NNH2, MeHNNHMe, 페닐 히드라진, t-부틸 히드라진, 2-시클로헥실-1,1-디메틸히드라진, 1-3차-부틸-1,2,2-트리메틸히드라진, 1,2-디에틸히드라진, 1-(1-페닐에틸)히드라진, 1-(2-메틸페닐)히드라진, 1,2-비스(4-메틸페닐)히드라진, 1,2-비스(트리틸)히드라진, 1-(1-메틸-2-페닐에틸)히드라진, 1-이소프로필히드라진, 1,2-디메틸히드라진, N,N-디메틸히드라진, 1-Boc-1-메틸히드라진, 테트라메틸히드라진, 에틸히드라진, 2-벤질리덴-1,1-디메틸히드라진, 1-벤질-2-메틸히드라진, 2-히드라지노피라진), 1차 또는 2차 아민(즉, HxNR3-x,식 중, 각각의 R은 독립적으로 C1 내지 C4 탄화수소이고, x는 1 또는 2임)(예를 들어, NMeH2, NEtH2, NMe2H, NEt2H, (SiMe3)2NH, n-부틸아민, 이차-부틸아민, 3차-부틸아민, 디부틸아민, 디이소프로필아민, N,N-디이소프로필에틸아민, N,N-디메틸에틸아민, 디프로필아민, 에틸메틸아민, 헥실아민, 이소부틸아민, 이소프로필아민, 메틸헥산아민, 펜틸아민, 프로필아민, 피롤리딘 또는 피리미딘과 같은 환식 아민), 에틸렌 디아민(즉, R2N-C2H4-NR2, 식 중, 각각의 R은 독립적으로 H이고, C1-C4 탄화수소이고, 단 적어도 하나의 R은 H임)(예를 들어, 에틸렌 디아민, N,N'-디메틸렌에틸렌 디아민, 테트라메틸에틸렌 디아민), 피라졸린, 피리딘, 이들의 라디칼, 또는 이들의 혼합물을 포함한다. 원하는 Si-함유 막이 산소를 또한 포함하면, C-함유 N 공급원은 H2N-CxH2x-OH를 포함할 수 있고, 여기서 x는 1 내지 4개의 탄화수소이고, 예컨대, 에탄올아민이다. 바람직하게, 반응물은 NH3, 이들의 라디칼, 또는 이들의 혼합물이다.
단계 7a에서, 기판은 불활성 또는 반응성 기체 하에, 대략 101℃ 내지 대략 1,000℃, 바람직하게는 대략 200℃ 내지 대략 800℃ 범위의 온도에서 열 경화된다. 퍼니스 또는 급속 열 프로세서가, 열 경화 공정을 수행하기 위해 이용될 수 있다. 예시적인 퍼니스는, ThermoFisher Lindberg/Blue M™ 튜브 퍼니스, Thermo Scientific Thermolyne™ 벤치톱 튜브 퍼니스 또는 머플 퍼니스, Inseto 테이블톱 석영 튜브 퍼니스, NeyTech Vulcan 벤치톱 퍼니스, Tokyo Electron TELINDY™ 열 가공 장비, 또는 ASM International ADVANCE® 수직 퍼니스를 포함한다. 예시적인 급속 열 프로세서는 Solaris 100, ULVAC RTP-6, 또는 Annealsys As-one 100을 포함한다.
대안적으로, 단계 7b에서, 기판은 단색 또는 다색 광원을 이용하여 대략 190 ㎚ 내지 대략 400 ㎚ 범위의 파장에서 UV 경화된다. 단계 8b를 수행하기 위해 적합한 예시적인 VUV- 또는 UV-경화 시스템은, Nordson Coolwaves® 2 UV 경화 시스템, Heraeus Noblelight Light Hammer® 10 제품 플랫폼, 또는 Radium Xeradex® 램프를 포함하지만, 이들로 제한되지 않는다.
단계 7c의 다른 대안으로, 열 공정 및 UV 공정 둘 모두는, 단계 7a 및 7b에 대해 특정된 동일한 온도 및 파장 기준에서 수행될 수 있다. 열 및 UV 경화는, 동시에 또는 순차적으로 수행될 수 있다. 당업자는, 경화 방법 및 조건의 선택이, 원하는 목표의 실리콘-함유 막에 의해 결정될 것임을 인지할 것이다.
다른 대안으로, 열 경화 공정은 단계별 방식으로 진행할 수 있다. 보다 구체적으로, 열 경화는 불활성 또는 반응성 기체 하에서, 대략 50℃ 내지 대략 500℃ 범위의 온도에서 대략 10 내지 대략 30분 범위의 시간 동안 개시될 수 있다. 온도는 대략 50℃ 내지 대략 150℃만큼 증가될 수 있고, 추가적인 10 내지 30분 동안 유지될 수 있다. 필요한 경우에, 추가적인 증분 온도의 증가를 사용할 수 있다. 대안적으로, 온도는 특정된 승온 속도를 이용하여 증가될 수 있고, 이후에, 특정 온도에서 짧은 시간 동안 유지될 수 있다. 예를 들어, 웨이퍼는 대략 1℃/분 내지 대략 100℃/분, 바람직하게는 대략 5℃/분 내지 대략 40℃/분, 및 보다 바람직하게는 대략 10℃/분 내지 대략 20℃/분의 승온 속도로 가열되는 실온 챔버에 배치될 수 있다. 온도가 목적하는 가열 온도, 예를 들어 대략 100℃ 내지 대략 400℃에 도달하게 되면, 승온은 특정 시간, 예를 들어 대략 5분 내지 대략 120분의 시간 동안 정지될 수 있다. 동일하거나 상이한 승온 속도를 이후에 사용해서, 챔버 온도를 다음의 목적하는 가열 온도, 예를 들어 대략 300℃ 내지 대략 600℃까지 증가시키고 다른 특정 시간, 예를 들어 대략 5분 내지 대략 120분 범위의 시간 동안 유지되도록 할 수 있다. 이는 제3 가열 온도, 예를 들어 대략 500℃ 내지 대략 1,000℃가 목적하는 경우에 다시 반복될 수 있고, 다른 특정 시간, 예를 들어 대략 5분 내지 대략 300분 범위의 시간 동안 유지될 수 있다. 또 다른 대안예에서, 경화는 임의의 특정 온도에서 소비되는 임의의 특정 시간 없이 느리고, 일정한 가열 경사로(예를 들어, 대략 0.5/분 내지 대략 3℃/분)를 이용할 수 있다. 경화가 완료되면, 퍼니스는 대략 1℃/분 내지 대략 100℃/분 범위의 냉각 속도로 실온까지 냉각될 수 있다. 본 출원인은, 임의의 이들 열 경화 단계가 최종 막에서 크랙 및 공극의 형성을 감소시키는 데 도움을 줄 수 있는 것으로 생각한다.
추가적으로, 수축은 산소 함유 분위기가 필요한 경우에 O2:H2O 비를 조절함으로써 추가 감소될 수 있다. 바람직하게, O2:H2O 비는 대략 6:1 내지 대략 2.5:1의 범위이다. 대안적으로, 수축은 H2O2:H2O 분위기를 사용하여 감소될 수 있다. 수축은 다음과 같이 계산될 수 있다: 100% X [1-(하드베이킹된 막 두께/사전베이킹된 막 두께)]. 개시된 Si-함유 막 형성 조성물은 대략 -5% 내지 대략 15%, 바람직하게는 대략 0% 내지 대략 10%, 그리고 보다 바람직하게는 대략 0% 내지 대략 5% 범위의 산화물 수축을 제공할 수 있다. 경화 후, 생성된 SiO2 막은 대략 1.8:1 내지 대략 2.1:1 범위의 O:Si 비를 갖는다. 최종 SiO2 막의 C 함량은 대략 0 원자% 내지 대략 7 원자%, 바람직하게는 대략 0 원자% 내지 대략 5 원자%의 범위이다. Si, O, 및 C 농도는 X-선 광전자 분광법(XPS)에 의해 결정될 수 있다. 1% HF-물 용액을 사용한 SiO2 경화 막의 습식 에칭 속도 비율은, 1100℃에서 성장된 열 산화물과 비교하여 대략 1:1 내지 대략 5:1의 범위이다.
단계 8에서, 경화 막은 표준 분석 툴을 이용하여 특성화된다. 예시적인 툴은 엘립소미터, x-선 광전자 분광법, 원자력 현미경 검사, x-선 형광, 푸리에-변환 적외선 분광법, 주사 전자 현미경 검사, 2차 이온 질량 분석법(secondary ion mass spectrometry: SIMS), 러더퍼드 후방산란 분광법(Rutherford backscattering spectrometry: RBS), 응력 분석용 조면계, 또는 이들의 조합을 포함하지만, 이들로 제한되지 않는다.
전술한 공정으로부터 유래한 실리콘 함유 막은, SiO2; SiN; SiON; SiOC; SiONC; SiCN; SiMCO를 포함할 수 있고, 여기서 M은 Zr, Hf, Ti, Nb, V, Ta, Al, Ge, B, Nb로부터 선택된다. 당업자는, 적절한 Si-함유 막 형성 조성물 및 보조 반응물의 사리 분별적 선택에 의해 원하는 막 조성물을 얻을 수 있음을 인지할 것이다.
반도체 전자 디바이스에서 얕은 트렌치 분리 유전체, 사전 금속 유전체, 및 층간 유전체에 적용하기 위해, 개시된 Si-함유 막 형성 조성물은 종래 기술의 NH-함유 PHPS 조성물보다 Si-함유 막의 수축을 덜 제공한다. 본 출원인은, 개시된 Si-함유 막 형성 조성물로부터 생성된 산화물 막이 X선 광전자 분광법(X-ray Photoelectron Spectroscopy: XPS) 또는 에너지 분산 X-선(Energy Dispersive X-ray: EDX0 분광법에 의해 측정된 바와 같이, 임의의 특징부의 바닥과 상단 사이에 약 95 내지 100%의 화학량론적 균일성, 바람직하게는 98 내지 100%를 갖을 것이고 생각한다. 본 출원인은 추가로 생성된 산화물 막이 조면계(profilometer)에 의해 결정되는 경우 대략 -160 MPa 내지 대략 +160 MPa 범위의 박막 응력 측정치를 가질 것이라고 생각한다.
짧은 올리고머가 경화 단계 중에 산화되기 전에 이의 손실(휘발)과 수축이 관련이 있다고 생각하기 때문에, 막의 경화 및 SiO2로의 전환에 대한 방법이 수축을 줄이기 위해 널리 연구되고 있다. 이처럼 짧은 사슬 규소 함유 올리고머의 증발과 경화 동안의 산화 사이에 경쟁이 있고, 경화 방법(기상 조성물, 승온 속도 등은 최종 막의 수축에 상당한 영향을 미친다.
전체적으로 두 매개변수는 최종적인 수축을 생성하기 위해 조합된다.
본 발명의 본질 및 목적의 추가 이해를 위하여, 첨부된 도면과 함께 하기 상세한 설명이 참조되어야 한다:
실시예
본 발명의 구현예를 추가로 예시하기 위해 하기 비제한적인 실시예가 제공된다. 그러나, 실시예는 모든 것을 포괄하도록 의도된 것이 아니며, 본 명세서에 기술된 본 발명의 범위를 제한하도록 의도된 것이 아니다.
반응 생성물은 임의의 적합한 수단에 의해서, 예컨대, 생성물 스트림의 부분 또는 생성물의 분취물을 사용한 기체 크로마토그래피(GC)에 의해서 분석될 수 있다. 하기 실시예에서, GC 분석은 열 전도성 검출기(Thermal Conductivity Detector: TCD)가 구비된 Agilent 7890A 및 Agilent 6890 기체 크로마토그래프에서 수행하였다. 주입 포트는 불활성(N2 또는 Ar) 분위기에 존재하였다.
예시적인 방법: 칼럼: Rtx-1(크로스 본드 디메틸 폴리실록산) 105 m × 0.53 mm × 5 ㎛. 검출기 T = 250℃; 표준 유동: 20 ㎖/분; 구성 유동: 5 ㎖/분; 캐리어 가스: 5 ㎖/분(헬륨); 오븐: 35℃, 8분, 상승 20℃/분, 200℃, 13분; 주입기: 200℃; 스플리트리스(Splitless) 모드; 샘플 크기: 1.0 ㎕.
실시예 1: 촉매의 일반적인 개요
본 출원인은 놀랍게도 표 1 및 표 2에 요약된 바와 같이기율표의 I족, II족 및 III족으로부터 선택된 원소를 포함하는 불균질 촉매의 촉매 활성을 발견하였다.
Figure 112021052414575-pct00202
Figure 112021052414575-pct00203
적용된 조건에서, n-Si 4 H 10 에 대한 선택성: KH > KN(SiMe3)2
Figure 112021052414575-pct00204
KSiPh3 > LiAlH4 > Vitride™ / 실리카 > Activelsom™ > ActiveGel™ > FeCl3/silica/LiNMe2 > MgH2 > BuLi
Figure 112021052414575-pct00205
FeCl3/silica/BuLi
액체 Si 3 H 8 의 전환에서의 활성: Vitride™ / Silica > KSiPh3 > KN(SiMe3)2
Figure 112021052414575-pct00206
Activelsom™ > ActiveGel™ > n-BuLi > FeCl3/silica/LiNMe2 > FeCl3/실리카/BuLi > MgH2 > KH > LiAlH4.
구조:
Figure 112021052414575-pct00207
구조:
Figure 112021052414575-pct00208
Figure 112021052414575-pct00209
n-Si4H10/i-Si4H10 이성질체 비율 및 고급 실란의 양은 액체상 반응에 대해서 훨씬 더 높다.
추가 합성 상세사항은 하기 실시예에 제공된다.
비교예 1. 액체 Si3H8를 사용한 선행 기술 촉매에 대한 결과의 요약
선행 기술 균질 촉매 Cp2ZrCl2/BuLi, Cp2ZrCl2/LiNMe2, RuCl4(p-시멘)2 및 Ni(COD)2(COD = 시클로옥타디엔일)를 사용한 액체 Si3H8의 촉매작용을 수행하였다[문헌[Joyce Y. Corey, "Dehydrocoupling of Hydrosilanes to Polysilanes and Silicon Oligomers: A 30 Year Overview", Advances in Organometallic Chemistry, Volume 51, 2004 Elsevier Inc.]로부터의 촉매]. 선행 기술 불균질 촉매 Ru(5%)/C 및 Rh(5%)/C를 사용한 액체 Si3H8의 촉매작용을 또한 수행하였다[문헌["Method for Producing a Semiconductor Material", Keizo Ikai; Masaki Minami; Mitsuo Matsuno, Nippon Oil Co., Ltd., US5700400 A, Aug 14, 1995]로부터의 촉매]. 실리카 상의 FeCl3 및 MMAO(MMAO는 개질된 메틸알루미녹산임, 화학식 [(CH3)0.95(n-C8H17)0.05AlO]n)와 조합하여 또한 시험하였다.
Figure 112021052414575-pct00210
CpTiCl2 및 CpZrCl2 균질 촉매는 트리실란을 비-휘발성 고체로 제어 가능하지 않은 방식으로 중합시켰다. 그 결과, 이들 촉매는 이성질체적으로 풍부한 테트라실란 또는 액체 고급 실란의 제어 가능한 합성에 유용하지 않다.
RuCl4(p-시멘)2, Ni(COD)2 및 FeCl3 균질 촉매 및 Ru(5%)/C 및 Rh(5%)/C 불균질 촉매는 비-치환된 액체 트리실란의 고급 실란으로의 전환에 활성이 아니다.
실시예 2: Si3H8 및 실리카 상의 FeCl3(5%) - BuLi
액체 Si3H8(24.7 g, 0.27 mol) 및 상업적으로 입수 가능한 고체 FeCl3(5% w/w)/실리카(2.7 g, 0.83 mmol FeCl3)를 질소 분위기 하에서 반응기에 넣었다. 이어서, n-BuLi 용액(4 mL, 헥산 중의 2.5 Molar, 10 mmol)을 혼합물에 첨가하였다. 1 atm.의 질소 하에서 반응 혼합물을 실온에서 24시간 동안 교반하고, 이어서 여과시켰다. 여과 후 액체를 함유한 플라스크를 진공 매니폴드에 연결하고, 모든 휘발성 물질을 액체 질소로 냉각된 트랩에서 증류시켰다. 액체 N2 트랩 내의 증류물의 GC는 31.6%의 Si3H8; 3.9%의 이소-Si4H10; 16.4%의 n-Si4H10; 11.7%의 Si5H12; 및 3.4%의 6 내지 8개 또는 그 초과의 규소 원자를 갖는 실란을 함유하는 Si2-Si8 실란 및 헥산 이성질체의 혼합물을 나타내었다. 4.4 g의 비증류된 액체가 남아있었다.
비증류된 액체의 GPC는 굴절률 검출기를 사용하여 얻었다. GPC 결과를 도 6에 제공한다. 폴리실란은 Mn = 810 ± 63 DA, Mw/Mn = 2.2 ± 0.4를 가지며, Mn은 27개 SiH2 단위에 해당한다.
트리실란 및 철 촉매로부터의 이러한 Mn을 갖는 액체 중합체의 형성은 예상되지 않는다. 비교를 위해서, THF 또는 DME 중의 FeCl3/Li 금속을 사용한 치환된 실란 MePhSiH2의 전환은 이량체, 삼량체 및 사량체만 제공하였다[문헌[Park, M. J.; Lee, S. L.; Park, M. K.; Han, B. H. Bull. Korean Chem. Soc. 2000, 21, 336]].
실시예 3: Si3H8 및 실리카 상의 FeCl3(5%) - LiNMe2
n-BuLi 용액 대신에 45~50 당량의 LiNMe2와 함께 대등한 양의 Si3H8 및 FeCl3(5%)/실리카를 사용하여 실시예 2와 유사한 반응을 수행하였다. 반응 생성물을 여과시키고, 증류에 의해 분리시켜 비휘발성 액체(예상된 Mn 600 ~ 900 DA) 및 휘발성 액체를 얻었는데, 이것은 실란의 혼합물이었다(GC 분석에 따르면, 3.5%의 Si2H6, 78.0%의 Si3H8; 2.3%의 이소-Si4H10 및 12.0%의 n-Si4H10, 1.5의 이소-Si5H12, 2.0%의 n-Si5H12를 함유하는 Si2-Si6 실란의 혼합물).
실시예 4: 액체 Si3H8 및 실리카 상의 Na[AlH2(OCH2CH2OMe)2](35%)
300℃에서 진공에서 미리 건조된 실리카를 Na[AlH2(OCH2CH2OMe)2](버텔러스 홀딩스 엘엘씨에 의해서 상표명 Vitride™ 또는 시그마-알드리치 바이오테크놀로지 엘피에 의해서 Red-Al® 하에 시판됨)의 65% w/w 톨루엔 용액과 혼합함으로써 실리카 촉매 상의 35% w/w의 Na[AlH2(OCH2CH2OMe)2]를 제조하였다. 혼합물을 실온에서 12시간 동안 교반하였다. 모든 휘발성 물질을 동적 진공 하에서 제거하였다. 남아있는 고체를 촉매로서 사용하였다.
액체 Si3H8(3.1 g, 33.6 mmol) 및 촉매(0.18 g, Na[AlH2(OCH2CH2OMe)2] 0.063g, 0.32 mmol)를 글러브 박스 내의 바이알에서 실온에서 3시간 동안 교반하였다. 교반을 3시간 후 중단하였다. 고체를 정치시키고, 투명한 상청액의 분취액을 GC 분석을 위해서 수집하였다. 투명한 용액의 GC 분석은 총 44.8%의 Si3H8; 2.0%의 이소-Si4H10 및 19.3% n-Si4H10, 10.8%의 Si5H12를 함유하는 Si2-Si8 실란 및 총괄적으로 14.1%의 6개 이상의 규소 원자를 갖는 실란의 혼합물을 나타내었다.
실리카 상의 Vitride™는 팟 반응의 액체 트리실란을 n-Si4H10에 대해서 우수한 선택성[n-Si4H10:i-Si4H10 = 9.7:1]을 갖는 실란의 혼합물로 전환시켰다. 산업적 응용을 위한 공정의 적용을 예시하기 위해서, 기체 및 액체 트리실란을 사용하여 유동 반응을 수행하였다.
실시예 5. 액체 Si3H8 및 Na[AlH2(OCH2CH2OMe)2], 유동 공정
3개의 별개의 액체 Si3H8의 샘플을 52.0±0.7℃ 및 31.1±0.6 psig의 압력에서 스테인리스강 튜브 반응기(20.9 ㎝ L × 1 ㎝ 내경)을 통해서 1.1±0.1 g/분의 유량으로 통과시켰다. 반응기는 3.0 g의 유리솜 상에 7.9 g의 실리카 상의 46.8% w/w Vitride™(3.7 g의 활성 성분)를 함유하였다. 유출물을 드라이아이스 트랩, 그 다음 액체 질소 트랩에서 수집하였다. 트랩의 내용물을 GC로 분석하였다. 드라이아이스 트랩의 내용물을 증류시켜, 127.1 g의 증류물 및 4.4 g의 비증류된 액체를 얻었다. 비-증류된 액체는 6개 이상의 규소 원자를 갖는 실란의 혼합물이었다[GC]. p-톨릴실란 중에 용해된 비-증류된 액체의 GPC: Mn = 360 ~ 760 DA, 평균 Mn은 19개 SiH2 단위에 해당한다. GC 결과를 7 및 도 8에 제공한다. 증류물은 1 내지 8개의 규소 원자를 갖는 휘발성 실란의 혼합물이다. 결과를 하기 표 6 및 표 7에 요약한다. 비증류된 액체는 실시예 2 및 실시예 3과 유사하게 14 내지 27개의 규소 원자를 갖는 고급 실란의 혼합물을 함유한다고 예상된다.
Figure 112021052414575-pct00211
Figure 112021052414575-pct00212
실시예 6. 액체 Si2H6/Si3H8 혼합물 및 Na[AlH2(OCH2CH2OMe)2], 유동 공정
액체 Si2H6(42.5% w/w) - Si3H8(57.5% w/w)(174.0 g)를 51.9±3.5℃ 및 30.6±0.4 psig의 압력에서 스테인리스강 튜브 반응기(20.9 ㎝ L × 1 ㎝ ID)을 통해서 442±77의 체류 시간에 해당하는 1.4±0.3 g/분의 유량으로 통과시켰다. 반응기는 2.6 g의 유리솜 상에 7.0 g의 실리카 상의 46.8% w/w Vitride™(3.3 g의 활성 성분)를 함유하였다. 유출물을 드라이아이스 트랩, 그 다음 액체 질소 트랩에서 수집하였다. 트랩의 내용물을 GC로 분석하였다. 드라이아이스 트랩의 내용물을 증류시켜, 2.0 g의 비증류된 액체를 얻었다. 비-증류된 액체는 6개 이상의 규소 원자를 갖는 실란의 혼합물이었다[GC]. p-톨릴실란 및 10% w/w Si4H10 중에 용해된 비-증류된 액체의 GPC: Mn = 561 DA, Mw/Mn = 1.03, Mn은 19개 SiH2 단위에 해당한다. 증류물은 1 내지 8개의 규소 원자를 갖는 휘발성 실란의 혼합물이다. 결과를 하기 표 8 및 표 9에 요약한다.
Figure 112021052414575-pct00213
Figure 112021052414575-pct00214
표에서 인지되는 바와 같이, 더 고급 실란(Si는 6이상임)의 상대적인 양은 Si2H6 - Si3H8의 혼합물의 경우 더 적다.
실시예 7: Si3H8 및 KN(SiMe3)2
액체 Si3H8 (28.8 g, 순도 99.8% w/w) 및 고체 KN(SiMe3)2(0.3 g, 1.5 mmol)을 글러브 박스 내에서 열전쌍이 장치된 반응기에 넣었다. 2개의 트랩을 반응기 이후에 설치하였다. 응축기 이후의 제1 트랩은 반응 동안 실온에서 비어있게 유지한다. 제2 트랩은 액체 질소로 냉각되어 반응 동안 SiH4 및 Si2H6를 포획한다. 반응기를 매니폴드에 연결하고, N2 분위기를 He 분위기로 대체하였다. 응축기에 드라이아이스를 채웠다. 1 atm의 헬륨 하에서 반응 혼합물을 41~50℃까지 가열시키고, 3시간 동안 교반하였다. 3시간 후, 가열을 중단하고, 반응 혼합물을 실온까지 냉각시켰다. 드라이아이스를 응축기로부터 제거하였다. 제1 트랩을 드라이아이스로 냉각시키고, 제1 트랩은 액체 N2로 냉각되게 두었다. 반응 생성물을 드라이아이스 트랩[20.2 g의 액체, GC: 총 74.2%의 Si3H8; 1.3%의 이소-Si4H10 및 15.3%의 n-Si4H10, 1.8%의 i-Si5H12, 3.5%의 n-Si5H12 및 1.6%의 6개 이상의 규소 원자를 갖는 실란] 및 액체 질소 트랩[4.7 g, GC: 45.9%의 SiH4, 49.1%의 Si2H6, 5.0%의 Si3H8]에서 동적 진공 하에서 제거하였다. 팟에 남아있는 비증류된 반응 생성물[3.2 g]을 여과시키고, 또한 GC에 의해서 분석하였다[Si5-Si12 실란의 혼합물]. 팟에 남아있는 비증류된 액체는 실시예 2 및 3과 유사하게 14 내지 27개의 규소 원자를 갖는 고급 실란의 혼합물을 함유한다고 예상된다.
KN(SiMe3)2는 팟에서 액체 트리실란을 n-Si4H10에 대해서 우수한 선택성[n-Si4H10:i-Si4H10 = 11.8:1] 및 n-Si5H12에 대해서 선택성을 갖는 실란의 혼합물로 전환시켰다. 또한, 더 고급 실란이 생성된다. 산업적 적용을 위한 공정의 응용성을 예시하기 위해서, 유동 반응을 기체 및 액체 트리 실란을 사용하여 수행하였다.
실시예 8: 액체 Si3H8 및 고체 KN(SiMe3)2 촉매를 사용한 유동 공정
Si3H8 액체(178.2 g, 99.8% w/w)를 73.2±1.8℃ 및 27.2±0.5 psig의 압력에서, 체류 시간 467±106초에 상응하는, 1.2±0.3 g/분의 유량으로 스테인리스강 튜브 반응기(20.6 ㎝ L × 1 ㎝ 내경)을 통해 통과시켰다. 반응기는 3.6 g의 유리솜 상에 3.6 g의 KN(SiMe3)2를 함유하는 1 ㎝ 내경, 20.8 ㎝ 길이의 스테인리스강 튜브이다. 액체 트리실란이 유동 반응기를 통과한 후, 생성물은 드라이아이스 트랩(175.5 g), 그 다음 액체 질소 트랩(2.7 g)에 수집된다. 트랩의 기체 상 및 액체 상을 GC에 의해서 분석하였다. 드라이아이스 트랩에 수집된 생성물로부터 고급 실란을 분리시키기 위해서, 드라이아이스 트랩의 내용물을 진공 하에서 제거하여, 적용된 조건 50 mtorr 진공 및 45℃ 하에서 "비 휘발성" 실란의 혼합물로 간주되는 비증류된 액체 1.7 g이 남았다. 비증류된 액체는 6개 이상의 규소 원자를 갖는 실란의 혼합물이었다. 173.8 g의 휘발성 실란을 드라이아이스 트랩으로부터 제거하였다. 휘발성 실란 분획은 실란 SinH2n+2(n은 1 내지 8임)의 혼합물이었다. 남아있는 비증류된 액체는 실시예 3 및 실시예 4에 제공된 상기 2개와 유사하게, 14 내지 27개의 규소 원자를 갖는 고급 실란의 혼합물을 함유한다고 예상된다. 결과를 하기 표 10 및 표 10에 제공한다.
Figure 112021052414575-pct00215
Figure 112021052414575-pct00216
KN(SiMe3)2는 액체 트리실란을 사용하는 유동 반응에서 상당히 활성이고, 적은 양의 비휘발성 실란을 생성시킨다.
본 실시예는 규모 확대에 대한 가능성을 예시한다.
실시예 9. 액체 Si3H8와 Si4H10의 혼합물 및 고체 KN(SiMe3)2 촉매를 사용한 유동 공정에 대한 예시적인 실험.
93.7% w/w의 Si3H8, 4.4% w/w의 i-Si4H10 및 1.8% w/w의 n-Si4H10을 함유하는 Si3H8 액체(141.3 g)를 81.4±2.3℃ 및 29.3±0.5 psig의 압력에서, 체류 시간 414±85초에 상응하는, 1.4±0.3 g/분의 유량으로 스테인리스강 튜브 반응기(20.6 ㎝ L × 1 ㎝ 내경)을 통해 통과시켰다. 유출물을 드라이아이스 트랩(137.1 g), 그 다음 액체 질소 트랩(4.2 g)에서 수집하였다. 트랩의 기체 상 및 액체 상을 GC로 분석하였다. 드라이아이스 트랩에 수집된 생성물로부터 고급 실란을 분리시키기 위해서, 드라이아이스 트랩의 내용물을 진공 하에서 제거하여, 4.2 g의 비증류된 액체가 남았는데, 이것은 적용된 조건 50 mtorr 진공 하에서 그리고 및 45℃에서 "비휘발성" 실란의 혼합물인 것으로 간주된다. 비증류된 액체는 6개 이상의 규소 원자를 갖는 실란의 혼합물이었다[GC]. 130.0 g의 휘발성 실란을 드라이아이스 트랩으로부터 얻었다. 휘발성 실란 분획은 실란 SinH2n+2(n은 1 내지 8임)의 혼합물이었다. 남아있는 비증류된 액체는 실시예 2 및 실시예 3과 유사하게, 14 내지 27개의 규소 원자를 갖는 고급 실란의 혼합물을 함유한다고 예상된다. 결과를 하기 표 12 및 표 13에 제공한다.
Figure 112021052414575-pct00217
Figure 112021052414575-pct00218
Si4H10을 Si3H8에 첨가하면 펜타실란 수율(순수한 Si3H8인 경우 3.06 g에 비해서 4.22 g)이 증가하고 더 고급 실란의 수율이 증가한다(순수한 Si3H8인 경우 1.8 g에 비해서 4.2 g). 공정은 더 고급 실란의 합성에 보다 유익하다.
본 발명의 구현예가 제시되고 기재되었지만, 본 발명의 사상 또는 교시를 벗어남이 없이 당업자에 의해 이들의 수정이 이루어질 수 있다. 본 명세서에 기술된 구현예는 단지 예시적인 것이며 제한적이지 않다. 조성물 및 방법의 많은 변형 및 수정이 가능하며 본 발명의 범주 내에 있다. 따라서, 보호 범위는 본 명세서에 기재된 구현예에 제한되는 것이 아니라, 다음의 청구범위에 의해서만 제한되며, 그 범위는 청구범위의 주제에 대한 모든 균등물을 포함한다.

Claims (20)

  1. SinH(2n+2)의 제조 방법으로서, n은 4 내지 100이되,
    액체 SiaH(2a+2) 반응물(식 중, a는 1 내지 4임)을 촉매와 반응시켜 SinH(2n+2)(식 중, n은 a보다 큼)를 제조하는 단계를 포함하고, 상기 촉매는,
    a) IUPAC 주기율표의 1 족 또는 2 족 원소 또는 이들의 산화물, 알킬, 히드라이드, 실라나이드 또는 실릴 아마이드로부터 선택된 불균질 촉매;
    b) 알킬 리튬 LiR 또는 리튬 아마이드 LiNR2(각각의 R은 독립적으로 C1-C4 알킬 기임)와 조합된 Fe 할라이드를 포함하는 촉매; 또는
    c) 소듐 비스(2-메톡시에톡시)알루미늄 히드라이드;
    로부터 선택되는, 방법.
  2. 제1항에 있어서, 상기 SiaH(2a+2) 반응물은 Si3H8인, 방법.
  3. 제1항에 있어서, 상기 SiaH(2a+2) 반응물은 Si3H8와 Si4H10의 혼합물인, 방법.
  4. 제1항에 있어서, n은 4 내지 10인, 방법.
  5. 삭제
  6. 제4항에 있어서, 상기 불균질 촉매는 KN(SiMe3)2 또는 NaN(SiMe3)2인, 방법.
  7. 제4항에 있어서, 상기 불균질 촉매는 K, Na, Na2O, K2O 또는 이들의 조합물인, 방법.
  8. 제1항에 있어서, n은 11 내지 30인, 방법.
  9. 제8항에 있어서, 상기 촉매는 알킬 리튬 LiR 또는 리튬 아마이드 LiNR2와 조합된 Fe 할라이드를 포함하되, 각각의 R은 독립적으로 C1-C4 알킬 기인, 방법.
  10. 제1항에 있어서, SinH(2n+2)를 분별 증류하여 95% w/w 내지 100% w/w의 n-Si5H12를 포함하는 Si-함유 막 형성 조성물을 제조하는 단계를 더 포함하는, 방법.
  11. 제1항에 있어서, SinH(2n+2)를 분별 증류하여 95% w/w 내지 100% w/w의 n-Si6H14을 포함하는 Si-함유 막 형성 조성물을 제조하는 단계를 더 포함하는, 방법.
  12. 제1항에 있어서, SinH(2n+2)를 분별 증류하여 95% w/w 내지 100% w/w의 n-Si7H16을 포함하는 Si-함유 막 형성 조성물을 제조하는 단계를 더 포함하는, 방법.
  13. 제1항에 있어서, SinH(2n+2)를 분별 증류하여 95% w/w 내지 100% w/w n-Si8H18을 포함하는 Si-함유 막 형성 조성물을 제조하는 단계를 더 포함하는, 방법.
  14. SinH(2n+2)를 갖는 이성질체적으로 풍부한 폴리실란을 선택적으로 합성하는 방법으로서, n은 5 내지 8이되,
    액체 SiaH(2a+2) 반응물(식 중, a는 1 내지 4임)을 촉매와 반응시켜 2:1 내지 15:1의 n-Si4H10:i-Si4H10 의 비를 갖는 이성질체적으로 풍부한 폴리실란을 제조하는 단계를 포함하고, 상기 촉매는,
    a) IUPAC 주기율표의 1 족 또는 2 족 원소 또는 이들의 산화물, 알킬, 히드라이드, 실라나이드 또는 실릴 아마이드로부터 선택된 불균질 촉매;
    b) 알킬 리튬 LiR 또는 리튬 아마이드 LiNR2(각각의 R은 독립적으로 C1-C4 알킬 기임)와 조합된 Fe 할라이드를 포함하는 촉매; 또는
    c) 소듐 비스(2-메톡시에톡시)알루미늄 히드라이드;
    로부터 선택되는, 방법.
  15. 제14항에 있어서, 상기 촉매는 소듐 비스(2-메톡시에톡시)알루미늄 히드라이드인, 방법.
  16. 제14항에 있어서, 상기 불균질 촉매는 KN(SiMe3)2인, 방법.
  17. 제14항에 있어서, 상기 불균질 촉매는 Na를 함유하는 Na2O인, 방법.
  18. 제14항에 있어서, SinH(2n+2)를 분별 증류하여 95% w/w 내지 100% w/w의 n-Si5H12를 포함하는 Si-함유 막 형성 조성물을 제조하는 단계를 더 포함하는, 방법.
  19. 제14항에 있어서, SinH(2n+2)를 분별 증류하여 95% w/w 내지 100% w/w의 n-Si6H14을 포함하는 Si-함유 막 형성 조성물을 제조하는 단계를 더 포함하는, 방법.
  20. 제14항에 있어서, SinH(2n+2)를 분별 증류하여 95% w/w 내지 100% w/w의 n-Si7H16을 포함하는 Si-함유 막 형성 조성물을 제조하는 단계를 더 포함하는, 방법.
KR1020217013670A 2018-10-11 2019-10-11 액체 폴리실란 및 이성질체 풍부 고급 실란의 제조 방법 KR102514167B1 (ko)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US16/158,089 2018-10-11
US16/158,089 US10752507B2 (en) 2018-10-11 2018-10-11 Process for producing liquid polysilanes and isomer enriched higher silanes
PCT/US2019/055794 WO2020077183A1 (en) 2018-10-11 2019-10-11 Process for producing liquid polysilanes and isomer enriched higher silanes

Publications (2)

Publication Number Publication Date
KR20210055796A KR20210055796A (ko) 2021-05-17
KR102514167B1 true KR102514167B1 (ko) 2023-03-24

Family

ID=70159877

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1020217013670A KR102514167B1 (ko) 2018-10-11 2019-10-11 액체 폴리실란 및 이성질체 풍부 고급 실란의 제조 방법

Country Status (6)

Country Link
US (2) US10752507B2 (ko)
EP (1) EP3863971A4 (ko)
JP (1) JP7203232B2 (ko)
KR (1) KR102514167B1 (ko)
CN (1) CN112839903B (ko)
WO (1) WO2020077183A1 (ko)

Families Citing this family (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN114772603A (zh) * 2022-04-30 2022-07-22 浙江迅鼎半导体材料科技有限公司 一种高价硅烷的制造方法

Family Cites Families (38)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPS60264318A (ja) * 1984-06-11 1985-12-27 Mitsui Toatsu Chem Inc 水素化ケイ素の製造方法
JPS60141614A (ja) * 1983-12-29 1985-07-26 Mitsui Toatsu Chem Inc 水素化ケイ素の製造方法
JPS60180910A (ja) * 1984-02-25 1985-09-14 Mitsui Toatsu Chem Inc 水素化ケイ素の製造方法
US4610859A (en) 1983-12-29 1986-09-09 Mitsui Toatsu Chemicals, Inc. Process for producing silicon hydrides
US5047569A (en) 1990-07-03 1991-09-10 Ethyl Corporation Method of producing polysilane compounds
US5087719A (en) 1990-09-27 1992-02-11 The United States Of America As Represented By The Secretary Of The Air Force Dehydrogenative polymerization of silanes to polysilanes by catalysts of transition-metal silyl derivatives
JPH06191821A (ja) 1992-12-22 1994-07-12 Showa Denko Kk シリコン膜形成用の高次シラン含有溶液
US5700400A (en) 1993-06-15 1997-12-23 Nippon Oil Co., Ltd. Method for producing a semiconducting material
JP3484815B2 (ja) 1994-05-09 2004-01-06 昭和電工株式会社 薄膜トランジスタの製造方法
JPH11260729A (ja) * 1998-01-08 1999-09-24 Showa Denko Kk 高次シランの製造法
US6027705A (en) * 1998-01-08 2000-02-22 Showa Denko K.K. Method for producing a higher silane
JP2000031066A (ja) 1998-07-10 2000-01-28 Sharp Corp シリコン膜の形成方法及び太陽電池の製造方法
WO2008045327A2 (en) 2006-10-06 2008-04-17 Kovio, Inc. Silicon polymers, methods of polymerizing silicon compounds, and methods of forming thin films from such silicon polymers
DE102007007874A1 (de) * 2007-02-14 2008-08-21 Evonik Degussa Gmbh Verfahren zur Herstellung höherer Silane
JP2008305974A (ja) 2007-06-07 2008-12-18 Elpida Memory Inc 酸化膜形成用塗布組成物およびそれを用いた半導体装置の製造方法
KR100946374B1 (ko) 2008-04-29 2010-03-08 삼성전기주식회사 인쇄회로기판 및 그 제조방법
EP2135844A1 (de) 2008-06-17 2009-12-23 Evonik Degussa GmbH Verfahren zur Herstellung höherer Hydridosilane
EP2301991A4 (en) * 2008-07-11 2012-06-20 Japan Science & Tech Agency PROCESS FOR PRODUCING POLYSILANE
JP2010206161A (ja) 2009-02-04 2010-09-16 Sony Corp 成膜方法および半導体装置の製造方法
DE102009027169A1 (de) * 2009-06-24 2010-12-30 Wacker Chemie Ag Verfahren zur Herstellung von Polysilanen
FR2948354B1 (fr) * 2009-07-22 2011-09-30 Air Liquide Production de silanes a partir d'alliages de silicium et de metaux alcalino-terreux ou siliciures de metaux alcalino-terreux
DE102009048087A1 (de) * 2009-10-02 2011-04-07 Evonik Degussa Gmbh Verfahren zur Herstellung höherer Hydridosilane
DE102010025948A1 (de) 2010-07-02 2012-01-05 Spawnt Private S.À.R.L. Polysilane mittlerer Kettenlänge und Verfahren zu deren Herstellung
DE102010062984A1 (de) 2010-12-14 2012-06-14 Evonik Degussa Gmbh Verfahren zur Herstellung höherer Halogen- und Hydridosilane
US8900654B2 (en) 2011-07-29 2014-12-02 Thin Film Electronics, Inc. Methods of polymerizing silanes and cyclosilanes using N-heterocyclic carbenes, metal complexes having N-heterocyclic carbene ligands, and lanthanide compounds
KR101231370B1 (ko) 2012-06-13 2013-02-07 오씨아이머티리얼즈 주식회사 모노실란의 열분해에 의한 디실란의 제조방법 및 제조장치
DE102013207443A1 (de) * 2013-04-24 2014-10-30 Evonik Degussa Gmbh Verfahren und Vorrichtung zur Herstellung von Polysilanen
JP3185817U (ja) 2013-06-24 2013-09-05 和泉化成株式会社 額縁
TWI634073B (zh) * 2013-09-05 2018-09-01 道康寧公司 2,2,4,4-四矽基五矽烷及其組成物、方法及用途
EP3061524B1 (en) * 2013-10-21 2020-12-02 Mitsui Chemicals, Inc. Use of a catalyst for producing higher silane and method for producing higher silane
DE102013020518A1 (de) 2013-12-11 2015-06-11 Forschungszentrum Jülich GmbH Fachbereich Patente Verfahren und Vorrichtung zur Polymerisation einer Zusammensetzung enthaltend Hydridosilane und anschließenden Verwendung der Polymerisate zur Herstellung von siliziumhaltigen Schichten
CN106660810B (zh) * 2014-07-16 2019-10-25 薄膜电子有限公司 高分子量聚硅烷及其制造方法
CN107546108A (zh) 2014-10-30 2018-01-05 应用材料公司 在低温下生长薄外延膜的方法
US20170018427A1 (en) 2015-07-15 2017-01-19 Applied Materials, Inc. Method of selective epitaxy
WO2017213155A1 (ja) 2016-06-10 2017-12-14 昭和電工株式会社 オリゴシランの製造方法
CN109923067A (zh) * 2016-10-27 2019-06-21 昭和电工株式会社 低聚硅烷的制造方法和低聚硅烷的制造装置
US20200115238A1 (en) * 2018-10-11 2020-04-16 L'Air Liquide, Société Anonyme pour l'Etude et l'Exploitation des Procédés Georges Claude Process for producing isomer enriched higher silanes
US11401166B2 (en) * 2018-10-11 2022-08-02 L'Air Liaquide, Société Anonyme pour l'Etude et l'Exploitation des Procédés Georges Claude Process for producing isomer enriched higher silanes

Also Published As

Publication number Publication date
US11377359B2 (en) 2022-07-05
EP3863971A4 (en) 2022-12-21
CN112839903B (zh) 2024-04-09
US20200115239A1 (en) 2020-04-16
US10752507B2 (en) 2020-08-25
US20200223703A1 (en) 2020-07-16
JP2022501305A (ja) 2022-01-06
JP7203232B2 (ja) 2023-01-12
CN112839903A (zh) 2021-05-25
WO2020077183A1 (en) 2020-04-16
EP3863971A1 (en) 2021-08-18
KR20210055796A (ko) 2021-05-17

Similar Documents

Publication Publication Date Title
EP3277699B1 (en) Catalyst dehydrogenative coupling of carbosilanes with amidines
EP4293085A2 (en) N-h free and si-rich perhydridopolysilzane compositions, their synthesis, and applications
CN109476848B (zh) 形成含si膜的组合物及其制造与使用方法
KR102603851B1 (ko) 이성질체 풍부 고급 실란의 제조 방법
KR102514167B1 (ko) 액체 폴리실란 및 이성질체 풍부 고급 실란의 제조 방법
KR102603850B1 (ko) 이성질체 풍부 고급 실란의 제조 방법
KR102514168B1 (ko) 액체 폴리실란 및 이성질체 풍부 고급 실란의 제조 방법
US20200115238A1 (en) Process for producing isomer enriched higher silanes
US20200115241A1 (en) Process for producing isomer enriched higher silanes

Legal Events

Date Code Title Description
E902 Notification of reason for refusal
E701 Decision to grant or registration of patent right
GRNT Written decision to grant