JP2022501305A - 液体ポリシラン及び異性体エンリッチド高級シランを製造するためのプロセス - Google Patents

液体ポリシラン及び異性体エンリッチド高級シランを製造するためのプロセス Download PDF

Info

Publication number
JP2022501305A
JP2022501305A JP2021542093A JP2021542093A JP2022501305A JP 2022501305 A JP2022501305 A JP 2022501305A JP 2021542093 A JP2021542093 A JP 2021542093A JP 2021542093 A JP2021542093 A JP 2021542093A JP 2022501305 A JP2022501305 A JP 2022501305A
Authority
JP
Japan
Prior art keywords
catalyst
forming composition
reactor
reactant
containing film
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
JP2021542093A
Other languages
English (en)
Other versions
JP7203232B2 (ja
Inventor
ニキフォロフ、グリゴリー
フソン、ギローム
イトフ、ジェナディ
ワン、ヤン
Original Assignee
レール・リキード−ソシエテ・アノニム・プール・レテュード・エ・レクスプロワタシオン・デ・プロセデ・ジョルジュ・クロード
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by レール・リキード−ソシエテ・アノニム・プール・レテュード・エ・レクスプロワタシオン・デ・プロセデ・ジョルジュ・クロード filed Critical レール・リキード−ソシエテ・アノニム・プール・レテュード・エ・レクスプロワタシオン・デ・プロセデ・ジョルジュ・クロード
Publication of JP2022501305A publication Critical patent/JP2022501305A/ja
Application granted granted Critical
Publication of JP7203232B2 publication Critical patent/JP7203232B2/ja
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Classifications

    • CCHEMISTRY; METALLURGY
    • C01INORGANIC CHEMISTRY
    • C01BNON-METALLIC ELEMENTS; COMPOUNDS THEREOF; METALLOIDS OR COMPOUNDS THEREOF NOT COVERED BY SUBCLASS C01C
    • C01B33/00Silicon; Compounds thereof
    • C01B33/04Hydrides of silicon
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B01PHYSICAL OR CHEMICAL PROCESSES OR APPARATUS IN GENERAL
    • B01JCHEMICAL OR PHYSICAL PROCESSES, e.g. CATALYSIS OR COLLOID CHEMISTRY; THEIR RELEVANT APPARATUS
    • B01J23/00Catalysts comprising metals or metal oxides or hydroxides, not provided for in group B01J21/00
    • B01J23/02Catalysts comprising metals or metal oxides or hydroxides, not provided for in group B01J21/00 of the alkali- or alkaline earth metals or beryllium
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B01PHYSICAL OR CHEMICAL PROCESSES OR APPARATUS IN GENERAL
    • B01JCHEMICAL OR PHYSICAL PROCESSES, e.g. CATALYSIS OR COLLOID CHEMISTRY; THEIR RELEVANT APPARATUS
    • B01J23/00Catalysts comprising metals or metal oxides or hydroxides, not provided for in group B01J21/00
    • B01J23/02Catalysts comprising metals or metal oxides or hydroxides, not provided for in group B01J21/00 of the alkali- or alkaline earth metals or beryllium
    • B01J23/04Alkali metals
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B01PHYSICAL OR CHEMICAL PROCESSES OR APPARATUS IN GENERAL
    • B01JCHEMICAL OR PHYSICAL PROCESSES, e.g. CATALYSIS OR COLLOID CHEMISTRY; THEIR RELEVANT APPARATUS
    • B01J27/00Catalysts comprising the elements or compounds of halogens, sulfur, selenium, tellurium, phosphorus or nitrogen; Catalysts comprising carbon compounds
    • B01J27/06Halogens; Compounds thereof
    • B01J27/128Halogens; Compounds thereof with iron group metals or platinum group metals
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B01PHYSICAL OR CHEMICAL PROCESSES OR APPARATUS IN GENERAL
    • B01JCHEMICAL OR PHYSICAL PROCESSES, e.g. CATALYSIS OR COLLOID CHEMISTRY; THEIR RELEVANT APPARATUS
    • B01J31/00Catalysts comprising hydrides, coordination complexes or organic compounds
    • B01J31/02Catalysts comprising hydrides, coordination complexes or organic compounds containing organic compounds or metal hydrides
    • B01J31/0234Nitrogen-, phosphorus-, arsenic- or antimony-containing compounds
    • B01J31/0235Nitrogen containing compounds
    • B01J31/0252Nitrogen containing compounds with a metal-nitrogen link, e.g. metal amides, metal guanidides
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B01PHYSICAL OR CHEMICAL PROCESSES OR APPARATUS IN GENERAL
    • B01JCHEMICAL OR PHYSICAL PROCESSES, e.g. CATALYSIS OR COLLOID CHEMISTRY; THEIR RELEVANT APPARATUS
    • B01J31/00Catalysts comprising hydrides, coordination complexes or organic compounds
    • B01J31/02Catalysts comprising hydrides, coordination complexes or organic compounds containing organic compounds or metal hydrides
    • B01J31/0272Catalysts comprising hydrides, coordination complexes or organic compounds containing organic compounds or metal hydrides containing elements other than those covered by B01J31/0201 - B01J31/0255
    • B01J31/0274Catalysts comprising hydrides, coordination complexes or organic compounds containing organic compounds or metal hydrides containing elements other than those covered by B01J31/0201 - B01J31/0255 containing silicon
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B01PHYSICAL OR CHEMICAL PROCESSES OR APPARATUS IN GENERAL
    • B01JCHEMICAL OR PHYSICAL PROCESSES, e.g. CATALYSIS OR COLLOID CHEMISTRY; THEIR RELEVANT APPARATUS
    • B01J31/00Catalysts comprising hydrides, coordination complexes or organic compounds
    • B01J31/02Catalysts comprising hydrides, coordination complexes or organic compounds containing organic compounds or metal hydrides
    • B01J31/12Catalysts comprising hydrides, coordination complexes or organic compounds containing organic compounds or metal hydrides containing organo-metallic compounds or metal hydrides
    • B01J31/122Metal aryl or alkyl compounds
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B01PHYSICAL OR CHEMICAL PROCESSES OR APPARATUS IN GENERAL
    • B01JCHEMICAL OR PHYSICAL PROCESSES, e.g. CATALYSIS OR COLLOID CHEMISTRY; THEIR RELEVANT APPARATUS
    • B01J31/00Catalysts comprising hydrides, coordination complexes or organic compounds
    • B01J31/02Catalysts comprising hydrides, coordination complexes or organic compounds containing organic compounds or metal hydrides
    • B01J31/12Catalysts comprising hydrides, coordination complexes or organic compounds containing organic compounds or metal hydrides containing organo-metallic compounds or metal hydrides
    • B01J31/14Catalysts comprising hydrides, coordination complexes or organic compounds containing organic compounds or metal hydrides containing organo-metallic compounds or metal hydrides of aluminium or boron
    • B01J31/143Catalysts comprising hydrides, coordination complexes or organic compounds containing organic compounds or metal hydrides containing organo-metallic compounds or metal hydrides of aluminium or boron of aluminium
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B01PHYSICAL OR CHEMICAL PROCESSES OR APPARATUS IN GENERAL
    • B01JCHEMICAL OR PHYSICAL PROCESSES, e.g. CATALYSIS OR COLLOID CHEMISTRY; THEIR RELEVANT APPARATUS
    • B01J31/00Catalysts comprising hydrides, coordination complexes or organic compounds
    • B01J31/16Catalysts comprising hydrides, coordination complexes or organic compounds containing coordination complexes
    • B01J31/22Organic complexes
    • CCHEMISTRY; METALLURGY
    • C01INORGANIC CHEMISTRY
    • C01BNON-METALLIC ELEMENTS; COMPOUNDS THEREOF; METALLOIDS OR COMPOUNDS THEREOF NOT COVERED BY SUBCLASS C01C
    • C01B33/00Silicon; Compounds thereof
    • C01B33/04Hydrides of silicon
    • C01B33/046Purification
    • CCHEMISTRY; METALLURGY
    • C08ORGANIC MACROMOLECULAR COMPOUNDS; THEIR PREPARATION OR CHEMICAL WORKING-UP; COMPOSITIONS BASED THEREON
    • C08GMACROMOLECULAR COMPOUNDS OBTAINED OTHERWISE THAN BY REACTIONS ONLY INVOLVING UNSATURATED CARBON-TO-CARBON BONDS
    • C08G77/00Macromolecular compounds obtained by reactions forming a linkage containing silicon with or without sulfur, nitrogen, oxygen or carbon in the main chain of the macromolecule
    • C08G77/04Polysiloxanes
    • C08G77/12Polysiloxanes containing silicon bound to hydrogen
    • CCHEMISTRY; METALLURGY
    • C08ORGANIC MACROMOLECULAR COMPOUNDS; THEIR PREPARATION OR CHEMICAL WORKING-UP; COMPOSITIONS BASED THEREON
    • C08GMACROMOLECULAR COMPOUNDS OBTAINED OTHERWISE THAN BY REACTIONS ONLY INVOLVING UNSATURATED CARBON-TO-CARBON BONDS
    • C08G77/00Macromolecular compounds obtained by reactions forming a linkage containing silicon with or without sulfur, nitrogen, oxygen or carbon in the main chain of the macromolecule
    • C08G77/60Macromolecular compounds obtained by reactions forming a linkage containing silicon with or without sulfur, nitrogen, oxygen or carbon in the main chain of the macromolecule in which all the silicon atoms are connected by linkages other than oxygen atoms
    • CCHEMISTRY; METALLURGY
    • C08ORGANIC MACROMOLECULAR COMPOUNDS; THEIR PREPARATION OR CHEMICAL WORKING-UP; COMPOSITIONS BASED THEREON
    • C08KUse of inorganic or non-macromolecular organic substances as compounding ingredients
    • C08K3/00Use of inorganic substances as compounding ingredients
    • C08K3/10Metal compounds
    • C08K3/12Hydrides
    • CCHEMISTRY; METALLURGY
    • C08ORGANIC MACROMOLECULAR COMPOUNDS; THEIR PREPARATION OR CHEMICAL WORKING-UP; COMPOSITIONS BASED THEREON
    • C08KUse of inorganic or non-macromolecular organic substances as compounding ingredients
    • C08K3/00Use of inorganic substances as compounding ingredients
    • C08K3/34Silicon-containing compounds
    • CCHEMISTRY; METALLURGY
    • C09DYES; PAINTS; POLISHES; NATURAL RESINS; ADHESIVES; COMPOSITIONS NOT OTHERWISE PROVIDED FOR; APPLICATIONS OF MATERIALS NOT OTHERWISE PROVIDED FOR
    • C09DCOATING COMPOSITIONS, e.g. PAINTS, VARNISHES OR LACQUERS; FILLING PASTES; CHEMICAL PAINT OR INK REMOVERS; INKS; CORRECTING FLUIDS; WOODSTAINS; PASTES OR SOLIDS FOR COLOURING OR PRINTING; USE OF MATERIALS THEREFOR
    • C09D183/00Coating compositions based on macromolecular compounds obtained by reactions forming in the main chain of the macromolecule a linkage containing silicon, with or without sulfur, nitrogen, oxygen, or carbon only; Coating compositions based on derivatives of such polymers
    • C09D183/16Coating compositions based on macromolecular compounds obtained by reactions forming in the main chain of the macromolecule a linkage containing silicon, with or without sulfur, nitrogen, oxygen, or carbon only; Coating compositions based on derivatives of such polymers in which all the silicon atoms are connected by linkages other than oxygen atoms
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B01PHYSICAL OR CHEMICAL PROCESSES OR APPARATUS IN GENERAL
    • B01JCHEMICAL OR PHYSICAL PROCESSES, e.g. CATALYSIS OR COLLOID CHEMISTRY; THEIR RELEVANT APPARATUS
    • B01J2231/00Catalytic reactions performed with catalysts classified in B01J31/00
    • B01J2231/70Oxidation reactions, e.g. epoxidation, (di)hydroxylation, dehydrogenation and analogues
    • B01J2231/76Dehydrogenation
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B01PHYSICAL OR CHEMICAL PROCESSES OR APPARATUS IN GENERAL
    • B01JCHEMICAL OR PHYSICAL PROCESSES, e.g. CATALYSIS OR COLLOID CHEMISTRY; THEIR RELEVANT APPARATUS
    • B01J2523/00Constitutive chemical elements of heterogeneous catalysts
    • B01J2523/10Constitutive chemical elements of heterogeneous catalysts of Group I (IA or IB) of the Periodic Table
    • B01J2523/12Sodium
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B01PHYSICAL OR CHEMICAL PROCESSES OR APPARATUS IN GENERAL
    • B01JCHEMICAL OR PHYSICAL PROCESSES, e.g. CATALYSIS OR COLLOID CHEMISTRY; THEIR RELEVANT APPARATUS
    • B01J2523/00Constitutive chemical elements of heterogeneous catalysts
    • B01J2523/30Constitutive chemical elements of heterogeneous catalysts of Group III (IIIA or IIIB) of the Periodic Table
    • B01J2523/31Aluminium
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B01PHYSICAL OR CHEMICAL PROCESSES OR APPARATUS IN GENERAL
    • B01JCHEMICAL OR PHYSICAL PROCESSES, e.g. CATALYSIS OR COLLOID CHEMISTRY; THEIR RELEVANT APPARATUS
    • B01J2523/00Constitutive chemical elements of heterogeneous catalysts
    • B01J2523/40Constitutive chemical elements of heterogeneous catalysts of Group IV (IVA or IVB) of the Periodic Table
    • B01J2523/41Silicon
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y02TECHNOLOGIES OR APPLICATIONS FOR MITIGATION OR ADAPTATION AGAINST CLIMATE CHANGE
    • Y02PCLIMATE CHANGE MITIGATION TECHNOLOGIES IN THE PRODUCTION OR PROCESSING OF GOODS
    • Y02P20/00Technologies relating to chemical industry
    • Y02P20/50Improvements relating to the production of bulk chemicals
    • Y02P20/582Recycling of unreacted starting or intermediate materials

Landscapes

  • Chemical & Material Sciences (AREA)
  • Organic Chemistry (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Engineering & Computer Science (AREA)
  • Materials Engineering (AREA)
  • Health & Medical Sciences (AREA)
  • Medicinal Chemistry (AREA)
  • Polymers & Plastics (AREA)
  • Inorganic Chemistry (AREA)
  • Life Sciences & Earth Sciences (AREA)
  • Wood Science & Technology (AREA)
  • Silicon Compounds (AREA)
  • Catalysts (AREA)
  • Formation Of Insulating Films (AREA)

Abstract

3個より多くのケイ素原子を有するシラン(すなわち、n=4〜100である(SinH(2n+2))の合成が開示される。より特に開示された合成方法は、温度、滞留時間及び出発化合物の相対的な量などのプロセスパラメーターの選択、並びに適切な触媒の選択によって、異性体比を調整し、且つ最適化する。開示された合成方法は、3個より多いケイ素原子を含有するシラン、特に好ましくは1つの主要異性体を含有するシランの容易な調製を可能にする。純粋な異性体及び異性体エンリッチド混合物は、シラン(SiH4)、ジシラン(Si2H6)、トリシラン(Si3H8)及びそれらの混合物の触媒変換によって調製される。【選択図】図1

Description

高級シラン(すなわち、n=4〜100であるSi2n+2)の合成方法が開示される。より特に、開示された合成方法は、高級シランの異性体比を調整し、且つ最適化する。異性体比は、出発化合物の温度、滞留時間及び相対的な量などのプロセスパラメーターの選択、並びに適切な触媒の選択によって最適化され得る。開示された合成方法で、高級シラン、特に1つの主要異性体を含有するシランの容易な調製が可能となる。純粋な異性体及び異性体エンリッチド混合物は、シラン(SiH)、ジシラン(Si)、トリシラン(Si)又はその混合物の触媒変換によって調製される。
ポリシランは種々の産業で使用されている。
ポリシランを使用するケイ素含有膜の蒸着は、中でも、Seiko Epson Corp.への日本特許第3,185,817号公報;Kanoh et al,Japanese Journal of Applied Physics,Part 1:Regular Papers,Short Notes & Review Papers 1993,32(6A),2613−2619;Showa Denko KKへの日本特許第3,484,815号公報;及びShowa Denko KKへの特開2000/031066号公報によって開示されている。
米国特許出願公開第2010/0184268A1号明細書によると、基材上にポリシラザン及びポリシランを含んでなる酸化物膜を形成するためのコーティング組成物をコーティングすることと、酸化雰囲気中、熱処理によって溝の内部に酸化物膜を形成することとを含んでなる半導体デバイスの製造方法が請求される。ポリシラザン(SiHNH)(nは正の整数である)並びにポリシランSi2n+2及びSi2n(n≧3、Rは水素である)の式は実施形態にのみ記載される。
Si、SiGe、SiC、SiN及びSiOなどのエピタキシャルSiを含有する膜は、中でも、Hazbun et al.,Journal of Crystal Growth 2016,444,21−27;Yi−Chiau Huang et alへの米国特許出願公開第2017/018427号明細書;Dube et alへの米国特許出願公開第2016/126093号明細書;及びHart et al.,Thin Solid Films 2016,604,23−27]によって開示されるように、ポリシランを使用して成長させる。
ポリシランは、中でも、Lee et al.への米国特許出願公開第2009/0269559号明細書;Forschungszentrum Juelich GmbHへの国際公開第2015/085980号パンフレット;Akao et al.への米国特許出願公開第2010/197102号明細書;及びShowa Denko KKへの日本特許第6,191,821号公報によって開示されるように、印刷された電子機器用のインクとして使用されている。
ポリシランは、中でも、Simone et al.,Journal of Propulsion and Power 2006,22,1006−1011;及びHidding et al.,Journal of Propulsion and Power 2006,22,786−789]によって開示されるように、高比エネルギー燃料としても使用されている。
低級シランから高級シランへの変換は、研究のために、そして商業的目的のために広範囲に研究されている。触媒反応が研究されている。例えば、Berrisへの米国特許第5,047,569号明細書;Corey et al,Organometallics,1991,10,924−930;Boudjouk et al,J.Chem.Soc.Chem.Comm.1991 245−246;Tilley et al.への米国特許第5,087,719号明細書;Woo et al.,J.Am.Chem.Soc.1992,114,7047−7055;Ohshita et al.,Organometallics 1994 13,5002−5012;Bourg et al.,Organometallics,1995,14,564−566;Bourg et al.,Organometallics 1995,14,564−566;Ikai et al.への米国特許第5,700,400号明細書;Woo et al.,Mol.Cryst.Liq.Cryst.Sci.Technol.,Sect.A,2000,349,87;Rosenberg et al.,J.Am.Chem.Soc.2001,123,5120−5121;Fontaine et al.,Organometallics 2002,21,401−408;Kim et al.,Organometallics 2002,21,2796;Corey et al.,Adv.In Org.Chem.2004,51,pp.1−52;Fontaine et al.,J.Am.Chem.Soc.2004,126,8786−8794;Karshtedt et al.への米国特許出願公開第2008/085373号明細書;Itazaki et al.,Angew.Chem.Int.Ed.2009,48,3313−3316;Evonik Degussa GMBHへの国際公開第2010/003729号パンフレット;Smith et al.,Organometallics 2010,29,6527−6533;SPAWNT PRIVAT S.A.R.Lへの国際公開第2012/001180号パンフレット;Kovio,Inc.への国際公開第2013/019208号パンフレット;Feigl et al.,Chem.Eur.J.2013,19,12526−12536;Tanabe et al.,Organometallics 2013,32,1037−1043;Brausch et al.への米国特許第8,709,369号明細書;Schmidt et al.,Dalton Trans.2014,43,10816−10827;及びMatsushita et al.への米国特許第9,567,228号明細書を参照のこと。
全てのこれらの開示にもかかわらず、ポリシランの商業的使用には困難が残る。
n=4〜100であるSi(2n+2)の製造方法が開示される。触媒の存在下、a=1〜4である液体Si(2a+2)反応物を変換し、n>aであるSi(2n+2)を製造する。触媒は、a)第I族、第II族若しくは第III族元素、又はそれらのオキシド、アルキル、ヒドリド、シラニド若しくはシリルアミドから選択される不均一触媒;或いはb)それぞれのRが独立してC1〜C4アルキル基である、アルキルリチウムLiR又はリチウムアミドLiNRと組み合わせたFeハロゲン化物を含む触媒であり得る。或いは、n=4〜100であるSi(2n+2)は、a=1〜4及びn>aであるSi(2a+2)反応物を触媒的に変換することによって製造され得る。別の選択肢において、a=1〜4であるSi(2a+2)反応物を不均一触媒と反応させ、n=4〜100及びn>aであるSi(2n+2)が製造される。さらに別の選択肢において、a=1〜4であるSi(2a+2)反応物を不均一触媒と接触させ、n=4〜100及びn>aであるSi(2n+2)が製造される。
n=5〜8である式Si(2n+2)を有する異性体エンリッチドポリシランを選択的に合成する方法も開示される。n=1〜4である液体Si(2n+2)反応物は、約2:1〜約15:1の範囲の1つの異性体対別の異性体の比率を有する異性体エンリッチドポリシランへと触媒的に変換される。
これらの開示された方法のいずれも、以下の態様の1つ又は複数を含み得る:
・n=4〜10であること;
・触媒が、ナトリウムビス(2−メトキシエトキシ)アルミニウムヒドリドであること;
・触媒が、KN(SiMeであること;
・触媒が、NaN(SiMeであること;
・触媒が、K、Na、NaO、KO若しくはそれらの組合せであること;
・触媒が、K、KO若しくはそれらの組合せであること;
・触媒が、Na、NaO若しくはそれらの組合せであること;
・触媒がKであること;
・触媒がNaであること;
・触媒がNaOであること;
・触媒がKOであること;
・n=10〜30であること;
・触媒が、それぞれのRが独立してC1〜C4アルキル基である、アルキルリチウムLiR若しくはリチウムアミドLiNRと組み合わせたFeハロゲン化物を含むこと;
・触媒が、BuLiと組み合わせた5%w/wのFeClを含むこと;
・触媒が、LiNMeと組み合わせた5%w/wのFeClを含むこと;
・n=30〜50であること;
・方法がHを利用しないこと;
・Si(2a+2)反応物が液体であること;
・Si(2a+2)反応物が、液体及び気体の混合物であること;
・Si(2a+2)反応物がSiであること;
・Si(2a+2)反応物が液体Siであること;
・Si(2a+2)反応物が、Si及びSiの混合物であること;
・Si(2a+2)反応物が、Si及びSiの液体混合物であること;
・Si(2a+2)反応物が、気体Si及び液体Siの混合物であること;
・混合物が、約0.1%w/w〜約60%w/wのSi及び約40%w/w〜99.9%w/wのSiを含むこと;
・混合物が、約0.1%w/w〜約25%w/wのSi及び約75%w/w〜99.9%w/wのSiを含むこと;
・混合物が、約0.1%w/w〜約10%w/wのSi及び約90%w/w〜99.9%w/wのSiを含むこと;
・Si(2a+2)反応物が、Si及びSi10の混合物であること;
・Si(2a+2)反応物が、Si及びSi10の液体混合物であること;
・Si(2a+2)反応物が、気体Si及び液体Si10の混合物であること;
・混合物が、約0.1%w/w〜約60%w/wのSi10及び約40%w/w〜99.9%w/wのSiを含むこと;
・混合物が、約0.1%w/w〜約25%w/wのSi10及び約75%w/w〜99.9%w/wのSiを含むこと;
・混合物が、約0.1%w/w〜約10%w/wのSi10及び約90%w/w〜99.9%w/wのSiを含むこと;
・約20%w/w〜約60%w/wのSi(2a+2)反応物を変換すること;
・触媒と混合する前にSi(2a+2)反応物を加熱すること;
・Si(2a+2)反応物及び触媒を混合して、反応物−触媒混合物を形成すること;
・約1時間〜約24時間の範囲の時間、Si(2a+2)反応物及び触媒を混合して、反応物−触媒混合物を形成すること;
・反応物−触媒混合物を約30℃〜約55℃の範囲の温度まで加熱すること;
・約室温〜約53℃の範囲の温度で反応物−触媒混合物を混合すること;
・約15℃〜約50℃の範囲の温度で反応物−触媒混合物を混合すること;
・約15℃〜約30℃の範囲の温度で反応物−触媒混合物を混合すること;
・得られるSi(2n+2)混合物からいずれの固体も分離するために、反応物−触媒混合物を濾過すること;
・触媒を含有する反応器中に流動させる前にSi(2a+2)反応物を加熱すること;
・触媒中に流動させる前にSi(2n+2)反応物を加熱すること;
・触媒を含有する反応器中にSi(2a+2)反応物を流動させること;
・ガラスウール上に触媒を含有する反応器中にSi(2a+2)反応物を流動させること;
・触媒ペレットを含有する反応器中にSi(2a+2)反応物を流動させること;
・触媒を含有する反応器中にSi(2a+2)反応物を流動させ、Si(2n+2)混合物を製造すること;
・Si(2a+2)反応物が、約200秒〜約600秒の範囲の反応器中の滞留時間を有すること;
・反応器を約15℃〜約170℃の範囲の温度まで加熱すること;
・反応器を約15℃〜約150℃の範囲の温度まで加熱すること;
・反応器を約15℃〜約100℃の範囲の温度まで加熱すること;
・反応器を約15℃〜約50℃の範囲の温度まで加熱すること;
・反応器を約20℃〜約150℃の範囲の温度まで加熱すること;
・反応器を約50℃〜約100℃の範囲の温度まで加熱すること;
・反応器を約40℃〜約150℃の範囲の温度まで加熱すること;
・反応器を約10psig(69kPa)〜約50psig(345kPa)の範囲の圧力に維持すること;
・方法がクエンチング剤を必要としないこと;
・未反応のSi(2a+2)反応物をリサイクルすること;
・不均一触媒が、周期表の第I族から選択される元素を含むこと;
・不均一触媒がKを含むこと;
・不均一触媒がNaを含むこと;
・不均一触媒が、周期表の第III族から選択される元素を含むこと;
・不均一触媒がAlを含むこと;
・不均一触媒が、周期表の第I族から選択される元素及び第III族から選択される元素の両方を含むこと;
・不均一触媒がNa及びAlを含むこと;
・不均一触媒がLi及びAlを含むこと;
・不均一触媒が、第I族、第II族若しくは第III族元素、又はそれらのオキシド、アルキル、ヒドリド、シラニド若しくはシリルアミドから選択されること;
・不均一触媒がオキシド触媒であること;
・不均一触媒が、第I族金属のオキシド触媒であること;
・不均一触媒がNaOであること;
・不均一触媒がKOであること;
・不均一触媒が、K、KO又はそれらの組合せであること;
・不均一触媒が、Na、NaO又はそれらの組合せであること;
・ヒドリド触媒が、周期表の第I族、第II族又は第III族からの2つの元素を含むこと;
・ヒドリド触媒が、LiAIH、LiAIH4−n、NaAlH4−n、KAlH4−n、RbAlH4−n、CsAlH4−n(式中、n=1、2又は3であり、且つそれぞれのRは独立して、m=1〜10であるC2m+1、又は酸素若しくは窒素原子を有する脂肪族基である)及びそれらの組合せからなる群から選択されること;
・ヒドリド触媒がLiAIHであること;
・ヒドリド触媒がLiAIH4−n(式中、n=1、2又は3であり、且つそれぞれのRは独立して、m=1〜10であるC2m+1、又は酸素若しくは窒素原子を有する脂肪族基である)であること;
・ヒドリド触媒が、NaAlH4−n(式中、n=1、2又は3であり、且つそれぞれのRは独立して、m=1〜10であるC2m+1、又は酸素若しくは窒素原子を有する脂肪族基である)であること;
・ヒドリド触媒が、KAlH4−n(式中、n=1、2又は3であり、且つそれぞれのRは独立して、m=1〜10であるC2m+1、又は酸素若しくは窒素原子を有する脂肪族基である)であること;
・ヒドリド触媒が、RbAlH4−n(式中、n=1、2又は3であり、且つそれぞれのRは独立して、m=1〜10であるC2m+1、又は酸素若しくは窒素原子を有する脂肪族基である)であること;
・ヒドリド触媒が、CsAlH4−n(式中、n=1、2又は3であり、且つそれぞれのRは独立して、m=1〜10であるC2m+1、又は酸素若しくは窒素原子を有する脂肪族基である)であること;
・酸素又は窒素原子を有する脂肪族基が、−CHOMe、−CHCHOMe、−OCHCHCHOMe、−CHCHNMe、芳香族基及びそれらの組合せからなる群から選択されること;
・不均一触媒が、ナトリウムビス(2−メトキシエトキシ)アルミニウムヒドリド[Na(−O(Me)−C−O−)AlH]であること;
・芳香族基が、フェニル又は置換フェニルであること;
・不均一触媒が、金属シリルアミド触媒であること;
・金属シリルアミド触媒が、式M[N(SiR(式中、MがLi、Na、K、Rb又はCsである場合、x=1であり;M=Mg、Ca、Sr又はBaである場合、x=2であり;M=Al又はGaである場合、x=3であり;且つそれぞれのRは、独立して、m=1〜10であるC2m+1又は芳香族基である)を有すること;
・金属シリルアミド触媒が、それぞれのRが独立してm=1〜10であるC2m+1又は芳香族基である式Li[N(SiR]を有すること;
・金属シリルアミド触媒が、それぞれのRが独立してm=1〜10であるC2m+1又は芳香族基である式Na[N(SiR]を有すること;
・金属シリルアミド触媒が、それぞれのRが独立してm=1〜4であるC2m+1である式Na[N(SiR]を有すること;
・金属シリルアミド触媒が、ナトリウムビス(トリメチルシリル)アミドであること;
・金属シリルアミド触媒が、それぞれのRが独立してm=1〜10であるC2m+1又は芳香族基である式K[N(SiR]を有すること;
・金属シリルアミド触媒が、それぞれのRが独立してm=1〜4であるC2m+1である式K[N(SiR]を有すること;
・金属シリルアミド触媒が、カリウムビス(トリメチルシリル)アミドであること;
・金属シリルアミド触媒が、それぞれのRが独立してm=1〜10であるC2m+1又は芳香族基である式Mg[N(SiRを有すること;
・金属シリルアミド触媒が、それぞれのRが独立してm=1〜10であるC2m+1又は芳香族基である式Ca[N(SiRを有すること;
・金属シリルアミド触媒が、それぞれのRが独立してm=1〜10であるC2m+1又は芳香族基である式Sr[N(SiRを有すること;
・金属シリルアミド触媒が、それぞれのRが独立してm=1〜10であるC2m+1又は芳香族基である式Ba[N(SiRを有すること;
・金属シリルアミド触媒が、それぞれのRが独立してm=1〜10であるC2m+1又は芳香族基である式Al[N(SiRを有すること;
・金属シリルアミド触媒が、それぞれのRが独立してm=1〜10であるC2m+1又は芳香族基である式Ga[N(SiRを有すること;
・不均一触媒が金属シラニド触媒であること;
・金属シラニド触媒が、式Na[Al(SiH)(Si)(OCHCHOMe)]を有すること;
・金属シラニド触媒が、式M(Si2n+1又はM(SiR(式中、n=1〜4であり、MがLi、Na、K、Rb又はCsである場合、x=1であり;M=Mg、Ca、Sr又はBaである場合、x=2であり;M=Al又はGaである場合、x=3であり;且つそれぞれのRは、独立して、m=1〜10であるC2m+1又は芳香族基である)を有すること;
・金属シラニド触媒が、式M(Si2n+1(式中、n=1〜4であり、且つM=Li、Na、K、Rb又はCsである場合、x=1であり;M=Mg、Ca、Sr又はBaである場合、x=2であり;且つM=Al又はGaである場合、x=3である)を有すること;
・金属シラニド触媒が式LiSiHを有すること;
・金属シラニド触媒が式NaSiHを有すること;
・金属シラニド触媒が式KSiHを有すること;
・金属シラニド触媒が式RbSiHを有すること;
・金属シラニド触媒が式CsSiHを有すること;
・金属シラニド触媒が式LiSiPhを有すること;
・金属シラニド触媒が式NaSiPhを有すること;
・金属シラニド触媒が式KSiPhを有すること;
・金属シラニド触媒が式RbSiPhを有すること;
・金属シラニド触媒が式CsSiPhを有すること;
・金属シラニド触媒が式LiSiを有すること;
・金属シラニド触媒が式NaSiを有すること;
・金属シラニド触媒が式KSiを有すること;
・金属シラニド触媒が式RbSiを有すること;
・金属シラニド触媒が式CsSiを有すること;
・金属シラニド触媒が式Mg(SiHを有すること;
・金属シラニド触媒が式Ca(SiHを有すること;
・金属シラニド触媒が式Sr(SiHを有すること;
・金属シラニド触媒が式Ba(SiHを有すること;
・金属シラニド触媒が式Al(SiHを有すること;
・金属シラニド触媒が式Ga(SiHを有すること;
・金属シラニド触媒が、式M(SiR(式中、M=Li、Na、K、Rb又はCsである場合、x=1であり;M=Mg、Ca、Sr又はBaである場合、x=2であり;M=Al又はGaである場合、x=3であり;且つそれぞれのRは、独立して、m=1〜10であるC2m+1又は芳香族基である)を有すること;
・金属シラニド触媒が、それぞれのRが独立してm=1〜10であるC2m+1又は芳香族基である式Li(SiR)を有すること;
・金属シラニド触媒が、それぞれのRが独立してm=1〜10であるC2m+1又は芳香族基である式Na(SiR)を有すること;
・金属シラニド触媒が、それぞれのRが独立してm=1〜10であるC2m+1又は芳香族基である式K(SiR)を有すること;
・金属シラニド触媒が、それぞれのRが独立してm=1〜10であるC2m+1又は芳香族基である式Rb(SiR)を有すること;
・金属シラニド触媒が、それぞれのRが独立してm=1〜10であるC2m+1又は芳香族基である式Cs(SiR)を有すること;
・金属シラニド触媒が、それぞれのRが独立してm=1〜10であるC2m+1又は芳香族基である式Mg(SiRを有すること;
・金属シラニド触媒が、それぞれのRが独立してm=1〜10であるC2m+1又は芳香族基である式Ca(SiRを有すること;
・金属シラニド触媒が、それぞれのRが独立してm=1〜10であるC2m+1又は芳香族基である式Sr(SiRを有すること;
・金属シラニド触媒が、それぞれのRが独立してm=1〜10であるC2m+1又は芳香族基である式Ba(SiRを有すること;
・金属シラニド触媒が、それぞれのRが独立してm=1〜10であるC2m+1又は芳香族基である式Al(SiRを有すること;
・金属シラニド触媒が、それぞれのRが独立してm=1〜10であるC2m+1又は芳香族基である式Ga(SiRを有すること;
・不均一触媒が担体上にあること;
・触媒が、担体に物理的に結合されていること;
・触媒が、担体に化学的に結合されていること;
・触媒が、担体に物理的及び化学的に結合されていること;
・担体が、アルミナ(Al)、シリカ(SiO)又はその組合せであること;
・担体が、アルミナ(Al)であること;
・担体が、シリカ(SiO)であること;
・不均一触媒がペレットの形態であること;
・不均一触媒が、約0.1%w/w〜約70%w/wの不均一触媒及び担体の組合せを含むこと;
・不均一触媒が、約1%w/w〜約50%w/wの不均一触媒及び担体の組合せを含むこと;
・不均一触媒が、約1%w/w〜約5%w/wの不均一触媒及び担体の組合せを含むこと;
・Si(2n+2)を分別蒸留して、約95%w/w〜約100%w/wのn−Si12を含むSi含有膜形成組成物を製造すること;
・Si(2n+2)を分別蒸留して、約95%w/w〜約100%w/wのn−Si14を含むSi含有膜形成組成物を製造すること;
・Si(2n+2)を分別蒸留して、約95%w/w〜約100%w/wのn−Si16を含むSi含有膜形成組成物を製造すること;及び/又は
・Si(2n+2)を分別蒸留して、約95%w/w〜約100%w/wのn−Si18を含むSi含有膜形成組成物を製造すること。
上記で開示される方法のいずれかによって製造されたSi含有膜形成組成物も開示される。開示された組成物は、以下の態様の1つ又は複数をさらに含み得る:
・Si含有膜形成組成物が、約95%w/w〜約100%w/wのn−Si12を含むこと;
・Si含有膜形成組成物が、約95%w/w〜約100%w/wのn−Si14を含むこと;
・Si含有膜形成組成物が、約95%w/w〜約100%w/wのn−Si16を含むこと;
・Si含有膜形成組成物が、約95%w/w〜約100%w/wのn−Si18を含むこと;
・Si含有膜形成組成物が、約0ppmw〜約100ppmwのハロゲン化物汚染物質を含むこと;
・Si含有膜形成組成物が、約0ppmw〜約25ppmwのハロゲン化物汚染物質を含むこと;及び/又は
・Si含有膜形成組成物が、約0ppmw〜約5ppmwのハロゲン化物汚染物質を含むこと。
蒸着プロセスの間に揮発性ポリシランの蒸気圧を維持する方法も開示される。蒸着プロセスでは、上記で開示されるSi含有膜形成組成物のいずれかが使用される。Si含有膜形成組成物は、蒸発温度に維持される。開示された方法は、以下の態様の1つ又は複数をさらに含み得る:
・Si含有膜形成組成物が、n=4〜10であるSi(2n+2)を含むこと;
・Si含有膜形成組成物が、約90%w/w〜約100%w/wのSi12を含むこと;
・Si含有膜形成組成物が、約90%w/w〜約100%w/wのSi14を含むこと;
・Si含有膜形成組成物が、約90%w/w〜約100%w/wのSi16を含むこと;
・Si含有膜形成組成物が、約90%w/w〜約100%w/wのSi18を含むこと;
・Si含有膜形成組成物が、蒸発温度において初期蒸気圧を有すること;
・蒸発温度が、約0℃〜約50℃の範囲であること;
・約75%w/wのSi含有膜形成組成物が消費されるまで、蒸発温度においてSi含有膜形成組成物の初期蒸気圧の約80%を維持すること;及び/又は
・約75%w/wのSi含有膜形成組成物が消費されるまで、蒸発温度においてSi含有膜形成組成物の初期蒸気圧の約90%を維持すること;
・約75%w/wのSi含有膜形成組成物が消費されるまで、蒸発温度においてSi含有膜形成組成物の初期蒸気圧の約95%を維持すること。
重合の間に分枝状ポリシランの形成を減少させる方法も開示される。重合プロセスでは、上記で開示されるSi含有膜形成組成物のいずれかが使用される。開示された方法は、以下の態様の1つ又は複数をさらに含み得る:
・Si含有膜形成組成物が、約90%w/w〜約100%w/wのSi12を含むこと;
・Si含有膜形成組成物が、約90%w/w〜約100%w/wのSi14を含むこと;
・Si含有膜形成組成物が、約90%w/w〜約100%w/wのSi16を含むこと;及び/又は
・Si含有膜形成組成物が、約90%w/w〜約100%w/wのSi18を含むこと。
基材上でSi含有膜を形成するコーティング方法も開示される。上記で開示されるSi含有膜形成組成物は、基材と接触しており、且つSi含有膜は、スピンコーティング、スプレーコーティング、ディップコーティング又はスリットコーティング技術によって形成される。開示された方法は、以下の態様を含み得る:
・Si含有膜形成組成物が、約0.5%w/w〜約99.5%w/wのペルヒドロポリシラザンをさらに含むこと;
・Si含有膜形成組成物が、約10%w/w〜約90%w/wのペルヒドロポリシラザンをさらに含むこと;
・スピンコーティング技術によってSi含有膜を形成すること;
・スプレーコーティング技術によってSi含有膜を形成すること;
・ディップコーティング技術によってSi含有膜を形成すること;
・スリットコーティング技術によってSi含有膜を形成すること;
・Si含有膜を熱硬化させること;
・Si含有膜を光硬化させること;
・Si含有膜を焼きなますこと;
・Si含有膜をレーザー処理すること;
・Si含有膜がSiであること;
・Si含有膜がSiOであること;
・SiO膜が、1100℃において成長させる熱酸化物と比較して、約1〜約5の範囲のウェットエッチングレートを有すること;
・SiO膜が、1100℃において成長させる熱酸化物と比較して、約1〜約3の範囲のウェットエッチングレートを有すること;
・Si含有膜がSiNであること;
・Si含有膜がSiCであること;
・Si含有膜がSiONであること;
・基材が、約1:1〜約1:100の範囲のアスペクト比を有するトレンチを含むこと;及び/又は
・トレンチが、約10nm〜約1ミクロンの範囲の臨界寸法を有すること。
表記法及び命名法
特定の略語、記号及び用語が次の記載及び請求項全体で使用され、次のものが含まれる。
本明細書で使用される場合、不定冠詞「a」又は「an」は1つ又は複数を意味する。
本明細書で使用される場合、「およそ」又は「約」という用語は、明記された値の±10%を意味する。
本明細書で使用される場合、「含んでなる」という用語は、包括的又は非制限的であり、且つ追加的な引用されていない材料又は方法ステップを排除しない。「から本質的になる」という用語は、明記された材料又はステップ、及び本発明の基本的且つ新規の特徴に本質的に影響を与えない追加的な材料又はステップに請求の範囲を制限する。「からなる」という用語は、請求項に指定されていないいずれの追加的な材料又は方法ステップも排除する。
本明細書で使用される場合、「高級シラン」という用語は、n=4〜100であるSi2n+2を意味し、「低級シラン」という用語は、a=1〜4であるSi2a+2を意味する。高級シランは線形であっても、又は分岐していてもよい。
本明細書で使用される場合、「触媒」は、反応における全体的な標準ギブズエネルギー変化を変更することなく、反応速度を増加させる物質を意味する。本明細書で使用される場合、「触媒」という用語には、いずれかの永久的な化学変化を受けない物質、並びに受ける物質が含まれる(後者は、「プレ触媒」と呼ばれることもある)。
本明細書で使用される場合、「不均一触媒」という用語は、反応物とは異なる相に存在する触媒を意味する(例えば、固体触媒対液体反応物;又は液体反応物と混合することができない液体触媒)。不均一触媒は、触媒より本質的に不活性であるか、又は活性が低い担体とブレンドされてもよい。
本明細書で使用される場合、「クエンチング剤」という用語は、反応を脱活性化する物質を意味する。
本明細書で使用される場合、「滞留時間」という用語は、低級シラン反応物が反応器を通る流動中で費やす時間の量を意味する。
本明細書で使用される場合、「ペルヒドロポリシラザン」又は「PHPS」という用語は、x=0〜2である−SiH−NH−単位を繰り返すこと、及びケイ素原子がN又はH原子にのみ結合するという事実によって特徴づけられる、Si、H及びNのみを含有する分子、オリゴマー又はポリマーを意味する。
本明細書で使用される場合、略語「RT」は、約18℃〜約25℃の範囲の温度である室温を意味する。
本明細書で使用される場合、「ヒドロカルビル基」という用語は、炭素及び水素を含有する官能基を意味し;「アルキル基」という用語は、炭素及び水素原子のみを含有する飽和官能基を意味する。ヒドロカルビル基は、飽和していても、又は不飽和であってもよい。いずれかの用語も、線形、分岐又は環式基を意味する。線形アルキル基の例としては、限定されないが、メチル基、エチル基、プロピル基、ブチル基などが含まれる。分岐アルキル基の例としては、限定されないが、t−ブチルが含まれる。環式アルキル基の例としては、限定されないが、シクロプロピル基、シクロペンチル基、シクロヘキシル基などが含まれる。
本明細書で使用される場合、「Me」という略語はメチル基を意味し;「Et」という略語はエチル基を意味し;「Pr」という略語はプロピル基を意味し;「nPr」という略語は「ノルマル」又は線形プロピル基を意味し;「iPr」という略語はイソプロプル基を意味し;「Bu」という略語はブチル基を意味し;「nBu」という略語は「ノルマル」又は線形ブチル基を意味し;「tBu」という略語は、1,1−ジメチルエチルとしても知られるtert−ブチル基を意味し;「sBu」という略語は、1−メチルプロピルとしても知られているsec−ブチル基を意味し;「iBu」という略語は、2−メチルプロピルとしても知られているイソ−ブチル基を意味し;「ハロゲン化物」という用語は、ハロゲンアニオンF−、Cl−、Br−、I−及びそれらの混合物を意味し;そして「TMS」という略語は、トリメチルシリル又は−SiMeを意味する。
本明細書で使用される場合、「芳香族基」という用語は、同一の原子の組合せによる他の幾何学的又は結合配置よりも高い安定性を示す共鳴結合の環を有する、環式平面分子を意味する。例示的な芳香族基としては、置換又は未置換フェニル基(すなわち、それぞれのRが独立してH又はヒドロカルビル基である、C)が含まれる。
本明細書で使用される場合、「独立して」という用語は、R基の記載に関連して使用される場合、対象のR基が、同一又は異なる下添字又は上添字を有する他のR基に対して独立して選択されたのみならず、同一R基のいずれの追加の種に対しても独立して選択されることを示すものとして理解されるべきである。例えば、xが2又は3である式MR (NR(4−x)中、2つ又は3つのR基は、互いに、或いはR又はRと同一であってもよいが、同一である必要はない。さらに、他に特に明記されない限り、R基の値は、異なる式で使用される場合、互いに独立していることは理解されるべきである。
本明細書で使用される場合、Mという略語は、数平均分子量、又は試料中のポリマー分子の全数で割った試料中の全ポリマー分子の全重量(すなわち、M=ΣN/ΣNであり、Nは重量Mの分子の数である)を意味し;Mという略語は、重量平均分子量、又はそれぞれの種類の分子の全質量を掛けたそれぞれの種類の分子の重量分率の合計(すなわち、M=Σ[(N/ΣN]である)を意味し;且つ「多分散度指数」又はPDIという用語は、M:Mの比率を意味する。
本明細書中、元素の周期表からの元素の標準的な略語が使用される。元素がこれらの略語によって示され得ることは理解されるべきである(例えば、Siはケイ素を意味し、Cは炭素を意味し、Hは水素を意味する、など)。
本明細書で使用される場合、周期表は化学元素の表配置を意味し;周期表の第I族は、H、Li、Na、K、Rb、Cs及びFrを意味する。;周期表の第II族は、Be、Mg、Ca、Sr、Ba及びRaを意味する。;周期表の第III族は、B、Al、Ga、In、Tl及びNhを意味する。
本明細書に列挙されるいずれかの範囲及び全ての範囲は、「包括する」という用語が使用されるかどうかにかかわらず、それらの終点を包括する(すなわち、x=1〜4であるか、又はxは1〜4の範囲であるということは、x=1、x=4及びx=その間のいずれかの数である)。
本発明の特性及び目的のさらなる理解のために、添付の図面と関連して以下の詳細な説明が参照されるべきである。
本発明の特性及び目的のさらなる理解のために、参照番号が全体で均一的に使用される添付の図面と関連して、以下の詳細な説明が参照されるべきである。
開示された合成方法が実行され得るバッチ装置の概略図である。 開示された合成方法が実行され得るフロースルー装置の概略図である。 図2のフロースルー装置の一実施形態の概略図である。 図3の反応器の一実施形態の概略図である。 Si−含有膜形成組成物の調製、ケイ素基材の調製のための代表的なプロセス、及びスピンコーティングプロセスのステップを図示するフローチャートである。 実施例2の未蒸留の液体のGPCである。 シリカ触媒上のVitride(商標)に液体Siを1回通過させた後のドライアイストラップからの揮発性液体生成物のガスクロマトグラムである(実施例5)。 実施例5の未蒸留の液体のガスクロマトグラムである。
高級シラン(すなわち、n=4〜100であるSi2n+2)の合成方法が開示される。n=5〜8である式Si(2n+2)を有する異性体エンリッチドポリシランを選択的に合成する方法も開示される。
高級シランは、蒸気圧のわずかな差異を有する種々の異性体で存在する。例えば、80〜90%のn−Si10の沸点は、Gelestからのオンラインカタログによると、107℃である。対照的に、i−Si10の沸点は101.7℃である。Feher et al.,Inorg.Nucl.Chem.Lett.,1973,9,931。
異なる蒸気圧に加えて、少なくとも異なる立体形状のため、異性体は異なる蒸発挙動及び熱安定性を有し得る。1つの異性体が経時的に豊富になる場合、これらの差異は、いずれかの蒸気プロセスにおいてドリフト(drift)を生じ得る。この影響は、他の種類の異性体によって実証されている(例えば、Mehwash Zia and Muhammad Zia−ul−Haq,Journal of Contemporary Research in Chemistry(2016)1(1):34−41を参照のこと)。その結果、本質的に1つの異性体からなるか、1つの異性体が豊富になるか、又は固定の異性体比を有する高級シラン前駆体を提供することは、蒸着プロセスのサイクルあたりの膜成長の再現可能なレートを有するために重要である。
同様に、異なる異性体を使用する重合によって、異なる重合生成物が生じ得る。言い換えると、iso−テトラシランは、n−テトラシランによって生じるものよりも分岐を有するポリマーを生じ得る。
出願人は、テトラシラン異性体比を調整及び最適化する方法、並びに低いケイ素原子量(6〜30)を有するポリシランを選択的に調製する方法を見出した。純粋な異性体又は異性体エンリッチド混合物は、シラン(SiH)、ジシラン(Si)、トリシラン(Si)、テトラシラン(Si10)又はそれらの混合物の触媒変換によって調製される。低級シラン反応物(すなわち、a=1〜4であるSi2a+2)は、商業的な利用可能性のために、魅力的な出発材料を提供する。所望のポリシラン及び/又は異性体量を生じるために、種々のプロセスパラメーターは調整され得る。例示的なプロセスパラメーターには、出発化合物の相対的な量及び触媒選択が含まれる。温度及びバッチプロセスのための反応時間又はフロースループロセスにおける滞留時間は、異性体収量に影響を与え得る。得られる高級シラン生成物は、特異的異性体含有量及び高純度である。当業者は、これらの反応物及び生成物を用いて作業する場合に安全性プロトコルが必要であることを認識するであろう。
高級シランは、a=1〜4であるSi(2a+2)反応物を、不均一触媒又はそれぞれのRが独立してC1〜C4アルキル基である、アルキルリチウムLiR若しくはリチウムアミドLiNRと組み合わせたFeハロゲン化物を含む触媒と反応させることによって合成される。Si(2a+2)反応物は、SiH、Si、Si、Si10又はそれらの組合せであり得る。これらの反応物は、商業的に入手可能である。これらの反応物は、気体又は液体の形態で、或いは、例えば組合せとして、開示されたプロセスにおいて使用され得る。例えば、反応物は、気体Si及び液体Si10であり得る。
以下の実施例において、Si(2a+2)反応物は、気体若しくは液体Si、又は液体Siと液体Si若しくはSi10との混合物である。実施例は、気体Siの使用と比較して、液体Siの使用によって、より良好なn−Si10/i−Si10選択性が生じることを実証する。液体Siは、気体Siによって生じるものよりも大きく、より重質のポリシラン(Si≧6)を生じる。実施例6及び9は、Si/Si反応混合物は、より重質のポリシラン(Si≧6)の数を減少させるが、Si/Si10反応混合物は、より重質のポリシラン(Si≧5)を生じることを実証する。結果として、所望のポリシランの合成は、適切なSi(2a+2)反応物を選択することによって最適化され得る。より重質のポリシランの数を減少するいくつかの任意選択的な反応物の組合せは、約0.1%w/w〜約60%w/wのSi及び約40%w/w〜99.9%w/wのSi;約0.1%w/w〜約25%w/wのSi及び約75%w/w〜99.9% w/wのSi;又は約0.1%w/w〜約10%w/wのSi及び約90%w/w〜99.9%w/wのSiを含む。より多い量のより重質のポリシランを生じるいくつかの任意選択的な反応物の組合せは、約0.1%w/w〜約60%w/wのSi10及び約40%w/w〜99.9% w/wのSi;約0.1%w/w〜約25%w/wのSi10及び約75%w/w〜99.9%w/wのSi;又は約0.1%w/w〜約10%w/wのSi10及び約90%w/w〜99.9%w/wのSiを含む。
不均一触媒は、周期表の第I族、第II族若しくは第III族元素、又はそれらのオキシド、アルキル、ヒドリド、シラニド若しくはシリルアミドから選択される。不均一触媒がバッチプロセスでの濾過を使用して反応物及び生成物から分離され得るため、開示された触媒反応はクエンチング剤の使用を必要としない。或いはSi(2a+2)反応物の触媒変換を可能にする適切な滞留時間を有するようにフロースルー反応器が設計されてもよい。
不均一触媒は、第I族、第II族又は第III族元素であり得る。例示的な触媒としては、K又はNaが含まれる。これらの触媒は商業的に入手可能であるか、又は当該技術分野において既知の方法によって合成され得る。例えば、30〜40%のNa/シリカは、SiGNa Chemistry,Inc.によって商標ActiveGel(商標)で販売されている。
不均一触媒は、金属オキシド触媒、好ましくは第I族オキシド触媒であり得る。例示的な酸化物触媒としては、NaO又はKOが含まれる。これらの触媒は商業的に入手可能であるか、又は当該技術分野において既知の方法によって合成され得る。
不均一触媒は、R=C1〜C4炭化水素である金属アルキル触媒MRであり得る。例示的な金属アルキル触媒としてはBuLiが含まれる。これらの触媒は商業的に入手可能であるか、又は当該技術分野において既知の方法によって合成され得る。
金属ヒドリド触媒は、混合金属ヒドリド触媒であり得る。例示的な混合金属ヒドリド触媒としては、LiAIH、LiAIH4−n、NaAlH4−n、KAlH4−n、RbAlH4−n、CsAlH4−n及びそれらの組合せ(式中、n=1、2又は3であり、且つそれぞれのRは独立して、m=1〜10であるC2m+1、又は酸素若しくは窒素原子を有する脂肪族基である)が含まれる。酸素又は窒素原子を有する例示的な脂肪族基としては、−CHOMe、−CHCHOMe、−OCHCHCHOMe、−CHCHNMe、芳香族基及びそれらの組合せが含まれる。特に好ましい混合金属ハロゲン化物触媒は、ナトリウムビス(2−メトキシエトキシ)アルミニウムヒドリド[Na(−O(Me)−C−O−)AlH]である。これらの触媒は商業的に入手可能であるか、又は当該技術分野において既知の方法によって合成され得る。
不均一触媒は、金属シリルアミド触媒であり得る。金属シリルアミド触媒は、式M[N(SiR(式中、MがLi、Na又はKである場合、x=1であり;M=Mg、Ca、Sr又はBaである場合、x=2であり;M=Al又はGaである場合、x=3であり;且つそれぞれのRは、独立して、m=1〜10であるC2m+1又は芳香族基であり、好ましくはm=1〜4である)を有し得る。特に好ましい金属シリルアミド触媒としては、ナトリウムビス(トリメチルシリル)アミド及びカリウムビス(トリメチルシリル)アミドが含まれる。これらの触媒は商業的に入手可能であるか、又は当該技術分野において既知の方法によって合成され得る。
不均一触媒は、金属シラニド触媒であり得る。金属シラニド触媒は、式Na[Al(SiH)(Si)(OCHCHOMe)]、M(Si2n+1又はM(SiR(式中、n=1〜4であり、且つM=Li、Na、K、Rb又はCsである場合、x=1でであり;M=Mg、Ca、Sr又はBaである場合、x=2であり;且つM=Al又はGaである場合、x=3であり;且つそれぞれのRは、独立して、m=1〜10であるC2m+1又は芳香族基である)を有し得る。現在では、これらの前駆体は商業的に入手可能ではなく、それらが自然発火性の固体であるため、合成が困難である。しかしながら、GC及びFT−IRデータに基づき、出願人は、金属シリルアミド触媒、金属アルキル触媒、又はVertellus Holdings LLCによる商標Vitride(商標)若しくはSigma−Aldrich Biotechnology LPによるRed−Al(登録商標)で販売されるNa[AlH(OCHCHOMe)]はプレ触媒として作用し得、金属シラニド触媒に変換すると考える。より特に、出願人は、以下の反応が生じ得ると考える:
Si+KN(SiMe→KSi+HSiN(SiMe
Si+LiBu→LiSi+HSiBu;又は
2Si+Na[AlH(OCHCHOMe)]=Si+SiH+Na[Al(SiH)(Si)(OCHCHOMe)
結果として、固体KSi、LiSi又はNa[Al(SiH)(Si)(OCHCHOMe)]触媒は、a=1〜4であるSi2a+2反応物を触媒的に変換し、n=1〜100であるSi(2n+2)混合物を生じる。
不均一触媒は、2つ以上の触媒の混合物であり得る。例示的な触媒混合物としては、K及びKO又はNa及びNaOが含まれる。これらの触媒は商業的に入手可能であるか、又は当該技術分野において既知の方法によって合成され得る。例えば、12〜15%のNaO/0〜3%のNa/85〜90%のAlは、SiGNa Chemistry,Inc.によって商標Activelsom(商標)で販売される。
上記で開示される不均一触媒のいずれも、担体上に配置されてよい。例示的な担体としては、アルミナ(Al)、シリカ(SiO)又はそれらの組合せが含まれる。当業者は、触媒が担体に物理的及び/又は化学的に結合され得ることを認識するであろう。例えば、触媒は、シリカ又はアルミナ担体上の−OH基と化学的に反応し得る。或いは触媒及び担体は、化学反応が生じることなく、単に物理的に一緒に混合されてもよい。別の選択肢において、触媒及び担体を物理的に混合することによって、物理的及び化学的結合の両方が得られ得る。不均一触媒は、約0.1%w/w〜約70%w/wの全不均一触媒及び担体の組合せを含み得る。或いは不均一触媒は、約1%w/w〜約50%w/wの全不均一触媒及び担体の組合せを含み得る。
別の選択肢において、不均一触媒は、ペレットの形態で商業的に提供され得る。
以下の実施例に示すように、主張された触媒は、第IV族(Ti、Zr、Hf)、第VIII族(Ru)、第IX族(Co、Rh、Ir)及び第X族(Ni、Pd、Pt)並びにランタニド(Nd)の従来技術の遷移金属触媒よりも多くの重合プロセスの制御を可能にする。追加的に、従来技術の均一触媒とは異なり、主張された不均一触媒は、反応混合物から簡単に分離され得る。その結果、反応は、商業的体積規模にすることがより容易であり、且つ反応生成物は、半導体許容レベル(すなわち、非常に純粋)まで精製することがより簡単である。
低級シラン反応物が不均一触媒と接触する時、低級シラン反応物(すなわち、a=1〜4であるSiH2a+2)の触媒作用が起こる。反応は、バッチ反応器又はフロースルー反応器で生じ得る。低級シラン反応物及び触媒をバッチ反応器中で混合し、混合物を形成してもよい。反応物及び触媒次第で、触媒−反応混合物は、約1時間〜約24時間の範囲の時間で混合され得る。
バッチ反応は、ほぼ室温〜約53℃の範囲の温度で実行され得る。或いは反応は、約15℃〜約50℃の範囲の温度で実行され得る。別の選択肢において、反応は、約15℃〜約30℃の範囲の温度で実行され得る。当業者は、反応温度は、選択された触媒、並びに所望のSi(2n+2)生成物次第で変化することを認識するであろう。実施例1の表1に示すように、温度が高いほど、より重質のポリシラン(Si≧6)が生じる傾向がある。Si(2n+2)生成物は、触媒及び/又はいずれかの固体Si(2n+2)生成物などの固体を除去するために濾過されてもよい。
フロー反応器中、Si(2a+2)反応物は、触媒ペレット又はガラスウール上に担持された触媒を含有する反応器中を流動し得る。Si(2a+2)反応物は、約200秒〜約600秒の範囲の反応器中での滞留時間を有し得る。反応器の圧力は、約10psig(69kPa)〜約50psig(345kPa)の範囲であり得る。
フロー反応は、約15℃〜約170℃の範囲の温度で実行され得る。或いは、反応は、約15℃〜約150℃の範囲の温度で実行され得る。別の選択肢において、反応は、約15℃〜約100℃の範囲の温度で実行され得る。別の選択肢において、反応は、約15℃〜約50℃の範囲の温度で実行され得る。別の選択肢において、反応は、約20℃〜約150℃の範囲の温度で実行され得る。別の選択肢において、反応は、約50℃〜約100℃の範囲の温度で実行され得る。当業者は、反応温度は、選択された触媒、並びに所望のSi(2n+2)生成物次第で変化することを認識するであろう。実施例1の表1に示すように、温度が高いほど、より重質のポリシラン(Si≧6)が生じる傾向がある。
触媒は、低級シラン反応物を、n=1〜100であるSi(2n+2)混合物へと変換する。好ましくは、触媒は約20%w/w〜約60%w/wの低級シラン反応物を変換する。所望のポリシランは、Si(2n+2)混合物から単離される。n=5〜8である場合、1つの異性体対別の異性体の比率が約2:1〜約15:1の範囲である異性体エンリッチドポリシランをSi(2n+2)混合物から分別蒸留して、約95%w/w〜約100%w/wのn−Si12、n−Si14、n−Si16又はn−Si18、及び好ましくは約98%w/w〜約100%w/wのn−Si12、n−Si14、n−Si16又はn−Si18を含むSi含有膜形成組成物が製造され得る。
当業者は、反応速度及び生成物収率は、低級シラン反応物が置換されているかどうかということ次第で異なることを認識するであろう。主張された未置換の低級シラン(すなわち、a=1〜4であるSi(2a+2))によって製造される反応生成物は、1つ又は複数の有機基(すなわち、Rが有機基であり、且つxが1又はそれ以上であるSi(2n+2−x))を含有する置換シランによって製造されるものとは異なる。Nippon Oil Co,Ltd.への米国特許第5,700,400号明細書がRu及びRh触媒の使用を開示しているが、それぞれ、Ru/C及びRh/Cが非置換液体又は気体トリシランの変換に関して活性ではないことを実証する比較例1及び2を参照のこと。
触媒反応は、H、N、Ar又はHeなどの不活性気体の存在下又は不在下において実行され得る。不活性気体は、不活性雰囲気を維持するために使用され得る。不活性気体は、反応混合物を希釈するためにも使用され得る。不活性気体は、所望の範囲内での反応混合物の流動、例えば、約0.1〜約1,000mL/分、或いは約1〜約10mL/分を維持することを補助するためにも使用され得る。もちろん、これらの不活性気体の添加は、反応生成物からのそれらの除去をさらに必要とする。したがって、別の選択肢において、そして以下の実施例で実証されるように、触媒反応は反応物の蒸気圧下で実行され得る。
図1は、低級シラン反応物からn=4〜100であるSi(2n+2)混合物への触媒的変換のための例示的なバッチプロセスシステムの図である。図1中、トリシラン10及び任意選択的にジシラン又はテトラシラン11を低級シラン反応物として使用する。触媒作用は、N、希ガス(すなわち、He、Ne、Ar、Kr、Xe)又はその組合せなどの不活性雰囲気下で実行され得る。いずれか、又は全ての空気は、真空及び/又は不活性気体サイクルを適用することによって、システムの種々の部分(例えば、反応器20、蒸留ユニット40、蒸留ユニット50など)から除去されなければならない。不活性気体は、トリシラン10及び任意選択的にジシラン又はテトラシラン11に圧力をかけ、反応器20への反応物を運搬するためにも役立ち得る。液体窒素、冷凍エタノール、アセトン/ドライアイス混合物、或いはモノエチレングリコール(MEG)などの熱伝導剤、又はDow Corning Corp.によって商標SYLTHERM(商標)で販売される熱伝導流体を使用して、システムの種々の部分(例えば、蒸留セットアップ40、蒸留セットアップ50)を冷却してもよい。
Si反応物10及び任意選択的なSi又はSi10反応物11は、それぞれ、ライン12及び13を通して反応器20に添加される。反応器20は、触媒(図示せず)を含有する。反応器20は、パドル混合機又はホモジナイザーなどの撹拌機構(図示せず)も含む。反応器20は、複数の「注入ポート」、圧力計、隔膜弁(図示せず)も備えていてもよい。
反応器20、並びにトリシラン10及び任意選択的にジシラン又はテトラシラン11反応物並びにいずれかの生成物及び副生成物と接触するいずれか及び全ての構成部分(「接触構成部分」)は、ポリシラン生成物45の意図されない反応及び/又は汚染を防ぐために、クリーンであり、且つ空気及び湿分を含まないべきである。反応器20及び他の接触構成部分は、シランと反応し得るか、又はシランを汚染し得るいずれの不純物も含まないべきである。また反応器20及び他の接触構成部分は、トリシラン10及び任意選択的にジシラン又はテトラシラン11反応物並びに生成物及び副生成物と相溶性であるべきである。
例示的な反応器20としては、低い表面粗さ及びミラー仕上げを有するステンレス鋼容器が挙げられる。低い表面粗さ及びミラー仕上げは、機械的研磨によって、及び/又は電解研磨によって得られ得る。高純度は、限定されないが、(a)希酸(HF、HNO)又は塩基(KOH、NaOH)を用いたクリーニングステップ;(b)それに続く、酸又は塩基の痕跡量の完全除去を確実にするための高純度脱イオン水によるすすぎ;(c)それに続く、反応器20の乾燥を含む処理によって得られ得る。脱イオン水(DIW)すすぎ(ステップb)の完了は、すすぎ水の伝導性が100μS/cm、好ましくは25μS/cm未満に達する時に示され得る。
乾燥ステップは、He、N、Ar(好ましくはN又はAr)などの不活性気体を用いたパージング;表面からの脱気を促進するために反応器20又は他の接触構成部分の圧力を減少すること;反応器20又は他の接触構成部分を熱すること、或いはそれらのいずれかの組合せを含み得る。乾燥ステップは、その間に不活性気体の特定の流動が容器を通して流されるパージングの別の連続、及び真空ステップを含み得る。或いは、乾燥ステップは、反応器20又は他の接触構成部分における低い圧力を維持しながら、パージ気体を絶えず流すことによって実行され得る。乾燥効率及び終点は、反応器20又は他の接触構成部分から出る気体における痕跡量HO濃度を測定することによって評価され得る。入口ガスは10ppb未満HOを有するが、出口ガスは、約0ppm〜約10ppmの範囲、好ましくは約0ppm〜約1ppmの範囲、より好ましくは約0ppb〜約200ppbの範囲の湿分含有量を有さなければならない。パージステップ及び真空ステップの間、乾燥時間を促進するために反応器20又は他の接触構成部分を加熱することが知られている。反応器20は、乾燥の間、典型的に約40℃〜約150℃の範囲の温度に維持される。
一旦、クリーンにして、乾燥させたら、反応器20は、1×10−6 std cm/秒未満、好ましくは1×10−8 std cm/秒未満に全漏れ速度を有するべきである。
触媒作用のためのシステムを調製するために、又は触媒作用プロセスの間に使用されるいずれの気体も、半導体グレードであるべきである(すなわち、痕跡量の湿分及び酸素などの汚染物質を含まず(1ppm未満、好ましくは10ppb未満)、且つ粒子を含まない(1リットルあたり5粒子未満@0.5μm))。
反応器20、トリシラン10及び任意選択的なジシラン又はテトラシラン11の供給源容器、ポリシラン生成物容器、並びにいずれの他の接触構成部分も、反応より前にシラン、ジシラン又はトリシランなどのシリル化剤への暴露によって不活性化されてもよい。不活性化は、低級又は高級シランと、不活性化された材料との間の反応を最小化することを補助する。
図1に示すように、Si反応物10及び任意選択的なSi又はSi10反応物11は、空気及び湿分を含まない反応器20中への導入前にライン14で混合されてもよい。或いはSi反応物10及び任意選択的なSi又はSi10反応物11は、ライン12及び13(図示せず)を通して反応器20に直接導入されてもよい。Si反応物10及び任意選択的なSi又はSi10反応物11は、隔膜ポンプ、蠕動ポンプ又はシリンジポンプなどの液体計量ポンプ(図示せず)を通して反応器20に添加されてもよい。
Si反応物10及び任意選択的なSi又はSi10反応物11の添加終了後、反応器20を、約25℃〜約150℃、或いは約15℃〜約100℃の範囲の温度まで加熱してもよい。反応器20は、ジャケット(図示せず)によって所望の温度に維持されてもよい。ジャケットは、入口及び出口(図示せず)を有していてよい。入口及び出口は、熱交換器/冷却装置(図示せず)及び/又は加熱若しくは冷却流体の再循環を提供するためのポンプ(図示せず)に連結していてもよい。或いは、加熱テープ(図示せず)又は加熱マントル(図示せず)を使用して、温度制御ユニット(図示せず)に連結された加熱素子によって、反応器20の温度を維持してもよい。反応器20の中身の温度を監視するために、温度センサー(図示せず)が使用されてもよい。
約0.1時間〜約72時間、或いは約1時間〜約30時間、低級シラン反応物及び触媒を撹拌してもよい。混合は、ほぼ大気圧で実行されてよい。反応の経過は、例えば、ガスクロマトグラフィーを使用して監視されてもよい。支配的な反応生成物は、SiH、Si12などである。
反応完成後、反応器20をほぼ室温まで冷却する。反応器20がジャケット付きである場合、反応器20及びその内容物の冷却を補助するために、いずれかの加熱流体を冷却流体と入れ替えてもよい。液体窒素、冷凍エタノール、アセトン/ドライアイス混合物又は熱伝導剤を使用して、反応器20を冷却してもよい。或いは、加熱テープ又は加熱マントルなどのいずれかの加熱機構を止めて、自然冷却が実行されてもよい。いずれの重質の液体不揮発性シラン23も、触媒及び固体反応生成物から濾過されて、ライン22を通して反応器20から除去される。揮発性シラン21は、圧力差によって反応器20から除去される。
揮発性シラン21を1つ又は複数のトラップ30中に回収し、n=1〜100であるSi(2n+2)混合物31を製造してもよい。例示的なトラップ30としては、ドライアイス/イソプロパノール、ドライアイス/アセトン、冷凍エタノール及び/又は液体窒素トラップが含まれる。Si(2n+2)混合物31を1つ又は複数の容器中に回収して、次のプロセスステップの実行の前に新たな位置に輸送してもよい。或いは混合物31を、反応生成物をいずれの反応物及び反応副生成物からもさらに単離するために、蒸留ユニット40にすぐに導入してもよい。蒸留ユニット40は、SiH反応副生成物43、n≧5である揮発性Si2n+2、反応副生成物44、並びにいずれの未反応Si反応物41及び未反応の任意選択的なSi又はSi10反応物42から所望のポリシラン生成物45を分離する。未反応Si反応物41、並びに未反応の任意選択的なSi又はSi10反応物42は、さらなるプロセスで使用するためにリサイクルされてもよい。
再び、ポリシラン生成物45は、次のプロセスステップの実行の前に新たな位置に輸送されてもよい。或いはポリシラン生成物45は、他の異性体52からn−異性体51を分離するために分別蒸留ユニット50に向けられてもよい。分別蒸留は、静的カラム又はスピニングバンドカラムを使用して実行されてもよい。スピニングバンド蒸留カラムの長さは、それがより少ないスペースを取るため、静的カラムのものより非常に小さく、混雑した施設において使用するために好ましくなり得る。約90%のn−テトラシランを生じるために適切な静的カラムは、約90〜約120の理論プレートを必要とし、高さ約6〜7メートルである。
図2は、低級シラン反応物からSi(2n+2)混合物への触媒変換のためのフロープロセスの図である。図1からの同一参照番号は、図2中の同一構成部分に関して使用されている。図1のように、図2の接触構成部分の全ては、クリーンであり、且つ空気及び湿分を含まないべきである。図1のように、図2の触媒作用は、N、希ガス(すなわち、He、Ne、Ar、Kr、Xe)又はその組合せなどの不活性雰囲気下で実行されてよい。
トリシラン10及び任意選択的なジシラン又はテトラシラン11は、それぞれ、ライン12及び13を通してフロー反応器25に添加される。図1のように、Si反応物10及び任意選択的なSi又はSi10反応物11は、フロー反応器25中への導入の前にライン14において混合され得る。或いはSi反応物10及び任意選択的なSi又はSi10反応物11は、ライン12及び13(図示せず)を通してフロー反応器25に直接導入されてもよい。Si反応物10及び任意選択的なSi又はSi10反応物11は、隔膜ポンプ、蠕動ポンプ又はシリンジポンプなどの液体計量ポンプ(図示せず)を通してフロー反応器25に添加されてもよい。好ましくは、混合は、ほぼ大気圧の不活性雰囲気下で実行される。
以下の図4の議論のさらなる詳細において示されるように、触媒(図示せず)はフロー反応器25内に位置する。フロー反応器25は、約25℃〜約250℃、或いは約40℃〜約250℃、又は別の選択肢において、約50℃〜約100℃の範囲の温度において維持される。選択される温度は、選択される触媒、並びに標的反応生成物次第である。フロー反応器25は、約0.1気圧〜約10気圧の範囲の圧力に維持される。トリシラン10及び任意選択的なジシラン又はテトラシラン11反応物のフローは、約0.01〜約100分のフロー反応器25中での滞留時間、或いは約2分〜約20分の滞留時間、或いは約1秒〜約1,000秒の滞留時間、又は別の選択肢において、約100秒〜約600秒の滞留時間が提供されるように選択される。
n=1〜100であるSi(2n+2)混合物26は、フロー反応器25を通過後にレシーバー35で回収される。レシーバー35は、限定されないが、ドライアイス/イソプロパノール、ドライアイス/アセトン、冷凍エタノール及び/又は液体窒素トラップを含むいずれの種類のトラップであってもよい。
上記の図1のように、Si(2n+2)混合物31は、1つ又は複数の容器で回収されて、次のプロセスステップの実行の前に新たな位置に輸送されてもよい。或いは混合物31を、反応生成物をいずれの反応物及び反応副生成物からもさらに単離するために、蒸留ユニット40にすぐに導入してもよい。蒸留ユニット40は、SiH反応副生成物43、n≧5である揮発性Si2n+2、反応副生成物44、並びにいずれの未反応Si反応物41及び任意選択的なSi又はSi10反応物42から所望のポリシラン生成物45を分離する。未反応Si反応物41、並びに任意選択的なSi又はSi10反応物42は、リサイクルされてもよい。この連続合成プロセスの間に品質を維持するために、濾過装置及び/又はその場でのGC分析などの未反応のSi反応物41及び未反応の任意選択的なSi又はSi10反応物42のリアルタイム分析及び精製が提供されてもよい。
再び、ポリシラン生成物45は、次のプロセスステップの実行の前に新たな位置に輸送されてもよい。或いはポリシラン生成物45は、他の異性体52からn−異性体51を分離するために分別蒸留ユニット50に向けられてもよい。分別蒸留は、静的カラム又はスピニングバンドカラムを使用して形成されてもよい。スピニングバンド蒸留カラムの長さは、それがより少ないスペースを取るため、静的カラムのものより非常に小さく、混雑した施設において使用するために好ましくなり得る。約90%のn−テトラシランを生じるために適切な静的カラムは、約90〜約120の理論プレートを必要とし、高さ約6〜7メートルである。
図3は、図2のフロー反応器20の図である。図面を読みやすくするために、この図中に弁が含まれなかったことに留意されたい。
ライン102を通してフロー反応器120にSi(2a+2)反応物を供給するために、窒素を用いてSi(2a+2)反応物100を加圧する。ライン102は、真空110にも接続している。フロー調節器101は、Si(2a+2)反応物のフローを制御する。フロー調節器101は、段階的ニードル弁、電子フローメーターなどであり得る。ゲージ103aは圧力を測定して、したがって、調整するためにフロー調節器101と連通していてもよい。
フロー反応器120は、2つの熱電対121及び122を含む。本明細書の教示を逸脱することなく、それより多く又は少ない熱電対が使用されてよい。本明細書の教示において使用するために適切な例示的な熱電対としては、Type K又はType J熱電対が含まれる。
Si(2n+2)反応混合物は、ライン123を通してフロー反応器120を出る。圧力調節器104は、反応器120内の圧力を設定し、フロー反応器120からドライアイス/イソプロパノールトラップ130までSi(2n+2)反応混合物を移動する圧力差をもたらす。ゲージ103bは、反応器120内の圧力を示す。ドライアイス/イソプロパノールトラップ130は、約−78℃より高い温度で凝縮する、いずれのSi(2n+2)反応生成物も捕捉する。
ドライアイス/イソプロパノールトラップ中に捕捉されない、いずれの揮発性Si(2n+2)反応混合物も、ライン131を通して液体窒素トラップ140に凝縮される。液体窒素トラップ140は、約−78℃〜約−196℃未満で凝縮するいずれのSi(2n+2)反応生成物も捕捉する。ライン131は、真空ライン110にも接続している。圧力ゲージ103cは、ライン131における圧力を監視する。SiH副生成物は、ライン150を通して排気ガススクラバー(図示せず)に送られる。排気ガススクラバーへの途中でSiH副生成物を希釈するためにN 105が用いられる。チェックバルブ106は、この自然発火性副生成物の逆流を防ぐ。
図4は、図3のフロー反応器120の図である。図4中、バルブ201によって、問題解決又は予防メンテナンスのためにステンレス鋼管フロー反応器220を利用することが可能となる。ステンレス鋼管フロー反応器220は、2つの熱電対221及び222を含む。図3のように、本明細書の教示を逸脱することなく、より多く、又はより少ない熱電対が使用されてもよい。ガラスウール202は、ステンレス鋼管フロー反応器220の開始部及び終了部に位置する。触媒(図示せず)は、反応器の開始部及び終了部に位置するガラスウール202の間に充填されるか、又はフロー反応器220の開始部及び終了部のガラスウール202の間に充填されたガラスウール(図示せず)に位置していてよい。その結果、Si(2n+2)反応物は、それがフロー反応器220の開始部でガラスウールを通過する時に、触媒作用の前に加熱され得る。当業者は、ガラスウール/触媒混合物の代わりにガラスビーズ及びペレット触媒の層が使用されてもよいことを認識するであろう。
必要に応じて、加熱テープ203によって、ステンレス鋼管フロー反応器220に熱を提供する。断熱材204は、ステンレス鋼管フロー反応器220の温度を維持することを補助する。当業者は、本明細書の教示を逸脱することなく、他の加熱手段が使用されてもよいことを認識するであろう。或いは、例えば、ステンレス鋼管フロー反応器220は、オーブン(図示せず)に配置されてもよい。その実施形態においては、断熱材204は必要とされない。
当業者は、開示された方法を実行するために使用されるシステムの装置構成部分のための供給源を認識するであろう。所望の温度範囲、圧力範囲、条例などに基づいて、構成部分のいくつかのレベルのカスタム化が必要とされてもよい。例示的な装置の供給元としては、ステンレス鋼製のParr Instrument Companyの装置及び構成部分が含まれる。
所望のポリシラン生成物(図1及び2中の50)の分別蒸留によって、約90%w/w〜約100%w/wのn−Si12、n−Si14、n−Si16又はn−Si18、好ましくは約95%w/w〜約100%w/wのn−Si12、n−Si14、n−Si16又はn−Si18、より好ましくは約97%w/w〜約100%w/wのn−Si12、n−Si14、n−Si16又はn−Si18を含むSi含有膜形成組成物が製造される。Si含有膜形成組成物は、約0%w/w〜約10%w/wの非n−異性体、好ましくは約0%w/w〜約5%w/wの非n−異性体;より好ましくは約0%w/w〜約3%w/wの非n−異性体をさらに含む。例えば、直径1cm及び長さ100cmのスピニングバンド蒸留カラムを使用する、3:1のn−Si10:i−Si10混合物の約192グラムの分別蒸留後、出願人は、約90%w/w〜約95%w/wのn−テトラシランを製造することができた。当業者は、より高いn−Si10:i−Si10比を有する混合物及び/又はより長い蒸留カラムからより高純度のn−テトラシランが得られることを認識するであろう。
Si含有膜形成組成物は、約97%モル/モル〜約100%モル/モル、好ましくは約99%モル/モル〜約100%モル/モル、より好ましくは約99.5%モル/モル〜約100%モル/モル、なおより好ましくは約99.97%モル/モル〜約100%モル/モルの範囲の純度を有する。
Si含有膜形成組成物は、好ましくは、検出限度〜100ppbwのそれぞれの電位金属汚染物質(例えば、少なくともAg、Al、Au、Ca、Cr、Cu、Fe、Mg、Mo、Ni、K、Na、Sb、Ti、Znなど)を含む。
Si含有膜形成組成物中のX(X=Cl、Br又はI)の濃度は、約0ppmw〜約100ppmw、より好ましくは約0ppmw〜約10ppmwの範囲であり得る。
下記の実施例で示すように、精製された生成物は、ガスクロマトグラフィー質量分光測定法(GCMS)によって分析されてよい。生成物の構造は、H及び/又は29Si NMRによって確認されてよい。
上記に詳細され、以下の実施例に例示されるように、Si含有膜形成組成物は、その純度を維持するためにそれを反応させない、クリーンな乾燥貯蔵容器中に保存されなければならない。
開示された合成方法の利点は以下の通りである:
・費用及び生成物単離の問題を低減することを補助する、熱分解プロセスと比較して、より低いプロセス温度及び所望のポリシランの高い収量;
・不均一触媒の適用によって、触媒による反応生成物の汚染による問題が本質的に排除され、そして残留する触媒からの反応生成物の精製のステップが排除されること;
・報告された均一触媒では、大部分は制御されない様式で、クエンチされるまでトリシランが重合し続けるが、不均一触媒の適用によって反応時間のより良好な制御が可能となること;
・プロセスが溶媒不要であること;
・蒸留のみによる精製;
・廃物の生成が最小限であり、環境に優しいこと;並びに
・出発材料の多くは安価であり、容易に入手可能であること。
上記の全ては、拡大縮小可能な工業用プロセスを開発する見地から有利である。その結果、均一触媒を使用する反応をクエンチするために必要とされ得る有毒な有機アミンなどの安定剤を使用することなく、反応生成物は半導体産業のために適切な純度レベルを維持する。
蒸着方法のための開示されたSi含有膜形成組成物を使用する方法も開示される。開示された方法は、電子又は光電子デバイス又は回路の製作のための元素ケイ素膜などのケイ素含有膜の堆積のためのSi含有膜形成組成物の使用を提供する。開示された方法は、半導体、光電子、LCD−TFT又はフラットパネル型デバイスの製造において有用であり得る。この方法は、その中に配置された基材を有する反応器中に、開示されたSi含有膜形成組成物の蒸気を導入することと、堆積プロセスによって基材上へ、開示されたSi含有膜形成組成物の少なくとも一部を堆積させて、Si含有層を形成することとを含む。
開示された方法は、蒸着プロセスを使用する基材上での二金属含有層の形成、より特に、SiMO又はSiMN膜(式中、xが0〜4であり得、且つMがTa、Nb、V、Hf、Zr、Ti、Al、B、C、P、As、Ge、ランタニド(Erなど)又はそれらの組合せである)の堆積を提供する。
基材上でケイ素含有層を形成するための開示された方法は、半導体、光起電力学、LCD−TFT又はフラットパネル型デバイスの製造においても有用であり得る。開示されたSi含有膜形成組成物は、当該技術分野において既知のいずれかの蒸着方法を使用して、Si含有膜を堆積させ得る。適切な蒸着方法の例としては、化学蒸着(CVD)又は原子層堆積(ALD)が含まれる。例示的なCVD方法としては、熱CVD、プラズマ強化CVD(PECVD)、パルスCVD(PCVD)、低圧CVD(LPCVD)、亜大気圧CVD(SACVD)、大気圧CVD(APCVD)、流動性CVD(f−CVD)、金属有機化学蒸着(MOCVD)、熱線CVD(HWCVD、別名cat−CVD、熱線が堆積プロセスのエネルギー源として利用される)、ラジカル組み込みCVD及びそれらの組合せが含まれる。例示的なALD方法としては、熱ALD、プラズマ強化ALD(PEALD)、空間隔離ALD、熱線ALD(HWALD)、ラジカル組み込みALD及びそれらの組合せが含まれる。超臨界流体堆積も使用されてよい。これらの中で、熱CVD堆積は、高い堆積レート、優れた膜均一性及び共形膜品質が必要とされるプロセスに関して好まれる。熱ALD堆積は、過酷な条件において高い均一性を有する膜を形成するプロセスに関して好まれる(例えば、トレンチ、正孔又はバイア)。一選択肢において、特に急速な成長、共形性、プロセス配向及び一方向膜が必要とされる場合、PECVD堆積は好ましい。別の選択肢において、特に困難な表面(例えば、トレンチ、正孔及びバイア)上に堆積される膜の優れた共形性が必要とされる場合、PEALD堆積プロセスは好ましい。
Si含有膜形成組成物の蒸気は、基材を含有する反応チェンバー中に導入される。反応チェンバー内の温度及び圧力並びに基材の温度は、基材上へのSi含有膜形成組成物の少なくとも一部の蒸着のために適切な条件に保持される。言い換えると、蒸発させた組成物のチェンバーへの導入後、チェンバー内の条件は、蒸発させた前駆体の少なくとも一部が基材上に堆積し、ケイ素含有膜を形成するようなものである。Si含有層の形成を補助するために共反応物が使用されてもよい。
反応チェンバーは、限定されないが、パラレルプレート型反応器、コールドウォール型反応器、ホットウォール型反応器、シングルウエハ反応器、マルチウエハ反応器又はその他のそのような種類の堆積システムなどの堆積方法が生じるデバイスのいずれかの筐体又はチェンバーであってよい。これらの例示的な反応チェンバーの全ては、ALD反応チェンバーとして有用である。反応チェンバーは、約0.5mTorr〜約760Torrの範囲の圧力に維持されてよい。加えて、反応チェンバー内の温度は、約20℃〜約700℃の範囲であってよい。当業者は、所望の結果を達成するために簡単な実験を通して温度が最適化され得ることを認識するであろう。
反応器の温度は、基材ホルダーの温度を制御すること及び/又は反応器壁部の温度を制御することのいずれかによって制御されてよい。基材を加熱するために使用されるデバイスは、当該技術分野において既知である。反応器壁部は、十分な成長速度で、そして所望の物理的状態及び組成で所望の膜を得るために十分な温度まで加熱されてよい。反応器壁が加熱され得る非限定的な例示的な温度範囲としては、約20℃〜約700℃が含まれる。プラズマ堆積プロセスが利用される場合、堆積温度は約20℃〜約550℃の範囲であってよい。或いは熱プロセスが実行される場合、堆積温度は約300℃〜約700℃の範囲であってよい。
或いは、基材は、十分な成長速度で、そして所望の物理的状態及び組成で所望のケイ素含有膜を得るために十分な温度まで加熱されてよい。基材が加熱され得る非限定的な例示的な温度範囲としては、150℃〜700℃が含まれる。好ましくは、基材の温度は500℃以下にされる。
その上にケイ素含有膜が堆積する基材の種類は、意図される最終使用次第で異なる。基材は、一般に、プロセスが実行される材料として定義される。基材としては、限定されないが、半導体、光電子、フラットパネル又はLCD−TFTデバイス製造において使用されるいずれの適切な基材も含まれる。適切な基材の例としては、ケイ素、シリカ、ガラス、Ge又はGaAsウエハなどのウエハが含まれる。ウエハは、以前の製造ステップから、その上に堆積された異なる材料の1つ又は複数の層を有していてもよい。例えば、ウエハは、ケイ素層(結晶質、非晶質、多孔性など)、酸化ケイ素層、窒化ケイ素層、オキシ窒化ケイ素層、カーボンドープされた酸化ケイ素(SiCOH)層、又はそれらの組合せを含み得る。追加的に、ウエハは、銅層、タングステン層又は金属層(例えば白金、パラジウム、ニッケル、ロジウム若しくは金)を含んでいてもよい。ウエハは、マンガン、酸化マンガン、タンタル、窒化タンタルなどのバリア層を含んでいてもよい。層は平坦であっても、又はパターン化されていてもよい。いくつかの実施形態において、基材は、パターン化されたフォトレジスト膜でコーティングされていてもよい。いくつかの実施形態において、基材は、MIM、DRAM又はFeRam技術で誘電体材料として使用される酸化物(例えば、ZrOベースの材料、HfOベースの材料、TiOベースの材料、希土類元素酸化物ベースの材料、三元酸化物ベースの材料など)の層、又は銅及び低k層の間のエレクトロマイグレーションバリア及び接着層として使用される窒化物ベースの膜(例えば、TaN)を含み得る。開示されたプロセスは、ウエハ上に直接、或いはウエハ上の層の1つ又は1つより多く(パターン化された層が基材を形成する場合)の上にケイ素含有層を直接堆積してもよい。さらに当業者は、本明細書中で使用される「膜」又は「層」という用語は、表面上に配置されたか、又は塗布されたいくつかの材料の厚さを指し、且つ表面がトレンチ又はラインであり得ることを認識するであろう。本明細書及び請求の範囲を通して、ウエハ及びその上の関連する層は、基材と示される。利用される実際の基材は、利用された特定の前駆体の実施形態次第であり得る。しかし多くの例において、利用される好ましい基材は、水素化カーボン、TiN、SRO、Ru及びSi型基材、例えばポリシラン又は結晶質ケイ素基材から選択される。
基材は、高アスペクト比を有するバイア又はトレンチを含むようにパターン化されてもよい。例えば、SiN又はSiOなどの共形Si含有膜は、約20:1〜約100:1の範囲のアスペクト比を有するケイ素バイア(TSV)上で、いずれかのALD技術を使用して堆積され得る。
n=4〜10である場合、Si含有膜形成組成物は、そのままで供給されてよい。或いはSi含有膜形成組成物は、蒸着における使用に適切な溶媒をさらに含んでもよい。溶媒は、中でも、C〜C16飽和又は不飽和炭化水素から選択され得る。
蒸着のために、Si含有膜形成組成物は、チュービング及び/又はフローメーターなどの従来の手段によって蒸気の形態で反応器に導入される。蒸気の形態は、直接液体注入、担体ガス不在下の直接蒸気吸引などの従来の蒸発ステップによって、液体を通しての担体ガスのバブリングによって、又は液体を通してのバブリングを実行しない担体ガスによる蒸気のスウィーピングによって、Si含有膜形成組成物を蒸発させることによって製造され得る。Si含有膜形成組成物は、蒸発器に液体状態で供給されてよい(直接液体注入)。それは反応器に導入される前に、蒸発器中で蒸発され、そして担体ガスと混合される。或いは、組成物を含有する容器中に担体ガスを通過させることによって、又は組成物中に担体ガスをバブリングすることによって、Si含有膜形成組成物を蒸発させてもよい。担体ガスとしては、限定されないが、Ar、He又はN及びそれらの混合物が含まれてよい。次いで、担体ガス及び組成物は蒸気として反応器に導入される。
必要に応じて、Si含有膜形成組成物は、Si含有膜形成組成物が十分な蒸気圧を有することが可能となる温度まで加熱されてもよい。デリバリーデバイスは、例えば0〜150℃の範囲の温度に維持されてもよい。当業者は、蒸発するSi含有膜形成組成物の量を制御するために既知の様式でデリバリーデバイスの温度が調整されてよいことを認識するであろう。
開示された組成物に加えて、反応ガスが反応器に導入されてもよい。反応ガスは、酸化剤、例えば、O;O;HO;H;NO;酸素含有ラジカル、例えば、O・又はOH・;NO;NO;カルボン酸、例えば、ギ酸、酢酸、プロピオン酸;NO、NO又はカルボン酸のラジカル種;パラホルムアルデヒド;及びそれらの混合物であり得る。好ましくは、酸化剤は、O、O、HO、H、それらの酸素含有ラジカル、例えば、O・又はOH・及びそれらの混合物からなる群から選択される。好ましくは、ALDプロセスが実行される場合、共反応物はプラズマ処理された酸素、オゾン又はそれらの組合せである。酸化ガスが使用される場合、得られたケイ素含有膜は酸素も含有する。
或いは、反応ガスは、H、NH、(SiHN、ヒドリドシラン(例えば、SiH、Si、Si、Si10、Si10、Si12)、クロロシラン及びクロロポリシラン(例えば、SiHCl、SiHCl、SiHCl、SiCl、SiHCl、SiCl)、アルキルシラン(例えば、MeSiH、EtSiH、MeSiH、EtSiH)、ヒドラジン(例えば、N、MeHNNH、MeHNNHMe)、有機アミン(例えば、NMeH、NEtH、NMeH、NEtH、NMe、NEt、(SiMeNH)、ジアミン、例えば、エチレンジアミン、ジメチルエチレンジアミン、テトラメチルエチレンジアミン、ピラゾリン、ピリジン、B含有分子(例えばB、トリメチルホウ素、トリエチルホウ素、ボラジン、置換ボラジン、ジアルキルアミノボラン)、アルキル金属(例えば、トリメチルアルミニウム、トリエチルアルミニウム、ジメチル亜鉛、ジエチル亜鉛)、それらのラジカル種又はその混合物であり得る。H又は無機Si含有ガスが使用される場合、得られたケイ素含有膜は純粋なSiであり得る。
或いは反応ガスは、限定されないが、エチレン、アセチレン、プロピレン、イソプレン、シクロヘキサン、シクロヘキセン、シクロヘキサジエン、ペンテン、ペンチン、シクロペンタン、ブタジエン、シクロブタン、テルピネン、オクタン、オクテン又はそれらの組合せなどの飽和又は不飽和、線形、分枝状又は環式の炭化水素であり得る。
反応ガスをそのラジカル型へと分解するために、反応ガスはプラズマによって処理されてもよい。プラズマで処理される場合、Nは還元剤として利用され得る。例えば、プラズマは、約50W〜約500W、好ましくは約100W〜約200Wの範囲の電力で発生させてよい。プラズマは発生し得るか、又は反応器自体の範囲内で存在し得る。或いはプラズマは、一般に反応器から取り出される位置、例えば離れて位置するプラズマシステム中に存在してもよい。当業者は、そのようなプラズマ処理のために適切な方法及び装置を認識するであろう。
所望のケイ素含有膜は、例えば、限定されないが、B、P、As、Zr、Hf、Ti、Nb、V、Ta、Al、Si又はGeなどの別の元素を含有する。
Si含有膜形成組成物及び1つ又は複数の共反応物は、同時に(化学蒸着)、順番に(原子層堆積)、又は他の組合せで反応チェンバー中に導入されてよい。例えば、Si含有膜形成組成物の蒸気が1パルスで導入され得、そして2つの追加的な金属供給源が別のパルスで一緒に導入されてよい(変性原子層堆積)。或いは反応チェンバーは、Si含有膜形成組成物の導入の前に、共反応物をすでに含有していてもよい。共反応物は、反応チェンバー内に局在化されたか、又はそれから離れたプラズマシステムに通されて、ラジカルに分解されてよい。或いは、他の前駆体又は反応物が断続的に導入される間、Si含有膜形成組成物は連続的に反応チェンバーに導入されてもよい(パルス化学蒸着)。別の選択肢において、Si含有膜形成組成物及び1つ又は複数の共反応物は、その下でいくつかのウエハを保持しているサセプターが回転されるシャワーヘッドから同時に噴霧されてもよい(空間ALD)。
1つの非限定的な例示的な原子層堆積プロセスでは、Si含有膜形成組成物の蒸気相が反応チェンバーに導入され、そこで、それが適切な基材と接触する。次いで、反応チェンバーをパージ及び/又は真空にすることによって、過剰な組成物は反応チェンバーから除去されてよい。酸素供給源は、自己制御様式で、吸収されたSi含有膜形成組成物とそれが反応する、反応チェンバーに導入される。反応チェンバーをパージ及び/又は真空にすることによって、いずれの過剰量の酸素供給源も反応チェンバーから除去される。所望の膜が酸化ケイ素膜である場合、このツーステッププロセスによって所望の膜の厚さが提供され得るか、又は必要な厚さを有する膜が得られるまで繰り返されてもよい。
或いは所望の膜がケイ素金属/メタロイド酸化物膜(すなわち、xが0〜4であり得、且つMがB、Zr、Hf、Ti、Nb、V、Ta、Al、Si、Ga、Ge又はそれらの組合せであるSiMO)である場合、上記のツーステッププロセスの後に、反応チェンバー中に金属又はメタロイド含有前駆体の蒸気の導入が行われてもよい。金属又はメタロイド含有前駆体は、堆積されるケイ素金属/メタロイド酸化物膜の性質に基づいて選択される。反応チェンバーへの導入の後、金属又はメタロイド含有前駆体は基材と接触する。反応チェンバーをパージ及び/又は真空にすることによって、いずれの過剰量の金属又はメタロイド含有前駆体も反応チェンバーから除去される。再び、金属又はメタロイド含有前駆体と反応させるために、酸素供給源を反応チェンバー中に導入させてよい。反応チェンバーをパージ及び/又は真空にすることによって、過剰量の酸素供給源は反応チェンバーから除去される。所望の膜厚が達成されたら、プロセスを終了してよい。しかしながら、より厚い膜が望ましい場合、全4ステップのプロセスを繰り返してもよい。Si含有膜形成組成物、金属又はメタロイド含有前駆体及び酸素供給源の供給を交替することによって、所望の組成及び厚さの膜を堆積することができる。
追加的に、パルス数を変化させることによって、所望の化学量論的M:Si比を有する膜が得られ得る。例えば、それぞれのパルス後に酸素供給源のパルスを続けて、Si含有膜形成組成物の1パルス及び金属又はメタロイド含有前駆体の1パルスを有することによって、SiMO膜を得てもよい。しかしながら、当業者は、所望の膜を得るために必要なパルスの数が、得られた膜の化学量論的比と同一とはなり得ないことを認識するであろう。
上記プロセスから得られるケイ素含有膜は、SiO;SiC;SiN;SiON;SiOC;SiONC;SiBN;SiBCN;SiCN;SiMO、SiMN(式中、Mの酸化状態次第で、MはZr、Hf、Ti、Nb、V、Ta、Al、Geから選択される)を含み得る。当業者は、適切なSi含有膜形成組成物及び共反応物の適切な選択によって、所望の膜組成物が得られ得ることを認識するであろう。
所望の膜厚が得られたら、膜に、熱焼きなまし、炉焼きなまし、急速熱焼きなまし、UV又はeビーム硬化及び/又はプラズマガス暴露などのさらなる加工を受けさせてよい。当業者は、これらの追加的な加工ステップを実行するために利用されるシステム及び方法を認識するであろう。例えば、ケイ素含有膜は、不活性雰囲気、H含有雰囲気、N含有雰囲気又はそれらの組合せの下で、約0.1秒〜約7200秒の範囲の時間で、約200℃〜約1000℃の範囲の温度に暴露されてよい。最も好ましくは、温度は3600秒未満の間、600℃である。より好ましくは、温度は400℃未満である。焼きなましステップは、堆積プロセスが実行される同一反応チェンバーで実行されてよい。或いは基材を反応チェンバーから取り出し、別の装置で焼きなまし/フラッシュ焼きなましプロセスを実行してもよい。いずれもの上記の後処理方法、特にUV硬化は、膜がSiN含有膜である場合、膜の連結性及び架橋結合を強化して、そして膜のH含有量を減少するために有効であることがわかった。典型的に、400℃未満(好ましくは約100℃〜300℃)までの熱焼きなまし及びUV硬化の組合せを使用して、最高密度を有する膜が得られる。
開示されたSi含有膜形成組成物は、エレクトロニクス及びオプティクス産業で使用されるケイ素、窒化ケイ素、酸化ケイ素、炭化ケイ素又は酸窒化ケイ素膜を形成するためのコーティング堆積プロセスにおいても使用されてよい。酸化ケイ素膜は、O、O、HO、H、NO、NO及びそれらの組合せの少なくとも1つを含有する酸化雰囲気下で堆積させた膜の熱処理から得られる。開示されたSi含有膜形成組成物は、高温に耐えることができる強い材料を必要とする航空宇宙、自動車、軍需又は鉄鋼産業又は他のいずれかの産業で使用するための保護コーティング又はプレセラミック材料(すなわち、窒化物及び酸窒化物)を形成するために使用されてもよい。
コーティングプロセスに関して、Si含有膜形成組成物は、好ましくは単離されたSi(2n+2)化合物又はSi(2n+2)混合物(n=10〜100、好ましくは10〜30又は30〜50)を含む。Si(2n+2)混合物は、約400Da〜約1000Daの範囲のMn、約1000Da〜約2000Daの範囲のMw及び約1〜約10の範囲のMw/Mnを有し得る。
コーティングプロセスで使用されるSi含有膜形成組成物は、粘度又は層の厚さなどのコーティング組成物の特性を調整するために、異なる沸点を有する溶媒又は溶媒系をさらに含んでもよい。例示的な溶媒としては、炭化水素、例えば、ベンゼン、トルエン、キシレン、メシチレン又はn−ヘキサン;ケトン、例えば、メチルエチルケトン、シクロヘキサノン又は2−ヘプタノン;エーテル、例えば、エチルエーテル、ジブチルエーテル又はテトラヒドロフラン;シラン、例えば、m−トリルシラン、o−トリルシラン、p−トリルシラン、p−エチルフェニルシラン、m−エチルフェニルシラン、o−エチルフェニルシラン、m−キシレン、o−キシレン又はそれらの組合せ;及びアミン、例えば、ピリジン、キシレン又はメチルピリジン;エステル、例えば、プロピオン酸2−ヒドロキシエチル又は酢酸ヒドロキシルエチル;並びにそれらの組合せが含まれる。例示的な溶媒系は、30℃〜100℃の沸点(BP)を有する、より低い温度で沸騰する1つの溶媒、例えば、ペンタン、ヘキサン、ベンゼン、ジエチルエーテル、メチルエチルエーテル、シクロヘキサン、アセトンなどを含有し得る。溶媒系は、70℃〜200℃のBPを有する、より高い沸点を有し得る第2の溶媒、例えば、トルエン、THF、キシレン、メチルイソブチルケトン、シクロヘキサノン、シクロペンタノン、グリコールなども含み得る。コーティング方法のために適切であるために、Si含有膜形成組成物は、約500〜約1,000,000、好ましくは約1,000〜約100,000、より好ましくは約3,000〜約50,000の範囲の分子量を有し得る。溶媒は、Si含有膜形成組成物の約60%w/w〜約99.5%w/w、好ましくは約80%w/w〜約99%w/w、より好ましくは約85%w/w〜約95%w/wを含み得る。
Si含有膜形成組成物は、ペルヒドロポリシラザンをさらに含み得る。1つの特に好ましいペルヒドロポリシラザンは、米国特許出願公開第2018/072571号明細書に開示される。Si含有膜形成組成物は、約0.5%w/w〜約99.5%w/wのペルヒドロポリシラザン、好ましくは約10%w/w〜約90%w/wのペルヒドロポリシラザンを含み得る。
Si含有膜形成組成物のために適切な他の添加剤としては、重合開始剤、界面活性剤、顔料、UV吸収剤、pH調整剤、表面変性剤、可塑剤、分散助剤、触媒及びそれらの組合せが含まれる。触媒は、Si含有膜形成組成物を合成するのに用いられる触媒と同一であっても、又は異なっていてもよい。例示的な触媒は、脱シリル化カップリング(DSC)、架橋結合又はH排除に触媒作用を及ぼすことによって、その後の加工ステップにおいてSi含有膜形成組成物のさらなる高密度化を促進するように選択されてよい。そのような触媒は、貯蔵時に組成物を安定に保持し、そして理想的には50℃〜200℃の室温より高い温度まで加熱された場合のみ反応を誘発するように、室温におけるそれらの低い活性に関して選択されるべきである。例えば、P(Ph)、P(トリル)又は金属カルボニルは、高温活性化に関して適切な触媒であり得る。組成物は、光子への曝露時にさらなる架橋結合を誘発する光活性材料、例えば、光酸発生剤及び光重合開始剤、例えばラジカル開始剤、カチオン開始剤、アニオン光重合開始剤、例えば、モノアリールケトン、トリメチルベンゾイルジフェニルホスフィネート及び/又はホスフィン酸化物を含んでいてもよい。
触媒は、Si含有膜形成組成物からシリカへの変換を促進し得る。
Si含有膜は、当該技術分野で既知のいずれかのコーティング方法を使用して堆積されてよい。適切なコーティング方法の例は、スピンコーティング、ディップコーティング、スプレーコーティング、繊維紡糸、押出成形、成型、キャスティング、含浸、ロールコーティング、トランスファーコーティング、スリットコーティングなどが含まれる。非半導体用途での使用に関して、開示されたSi含有膜形成組成物は、BN、SiN、SiCN、SiC、Al、ZrO、Y及び/又はLiO粉末などのセラミック充填剤も含み得る。コーティング方法は、好ましくは適切な膜厚制御及びギャップフィル性能を提供するために、スピンコーティングである。
開示されたSi含有膜形成組成物は、基材の中心に直接適用されて、次いでスピンによって基材全体に広げてもよく、又は噴霧によって基材全体に適用されてもよい。基材の中心に直接適用される場合、組成物を基材上に均等に分布するために、遠心力を利用して基材を回転させてもよい。当業者は、基材のスピンが必要であるかどうかには、Si含有膜形成組成物の粘度が寄与するであろうことを認識するであろう。代わりに、基材は、開示されたSi含有膜形成組成物に浸漬されてもよい。溶媒又は膜の揮発性成分を蒸発させるために、結果として生じる膜を特定の期間室温で乾燥させてもよく、或いは強制乾燥若しくは焼成によって、又は熱硬化及び照射、例えば、イオン刺激、電子照射、UV及び/又は可視光照射などを含むいずれかの以下の適切なプロセスの1つ又は組合せの使用によって乾燥させてもよい。
スピンオンSi含有膜形成組成物は、オプティクス用途に適切な透明な酸窒化ケイ素膜の形成のためにも使用されてよい。
スピンコーティング、ディップコーティング又はスプレーコーティング用に使用される場合、開示されたSi含有膜形成組成物は、湿分又は酸素バリアとして、或いはディスプレイ、発光デバイス及び光起電デバイスにおける不動態化層として有用である酸化ケイ素又は窒化ケイ素バリア層の形成のために使用されてもよい。
半導体用途において、Si含有膜形成組成物は、エッチングハードマスク、イオン注入マスク、反射防止コーティング、階調逆転層などの犠牲層の形成のために使用されてもよい。代わりに、Si含有膜形成組成物は、ギャップフィル酸化物層、プレ金属誘電体層、トランジスタ応力層、エッチング停止層、中間層誘電体層などの非犠牲層(「リーブビハインド」膜)を形成するために使用されてもよい、
ギャップフィル用途に関して、トレンチ又は正孔は、約0.5:1〜約100:1の範囲のアスペクト比を有していてよい。Si含有膜形成組成物は、典型的に基材上でスピンされ、溶媒を蒸発させるために50℃〜300℃でプレベークされ、そして最終的に300〜1000℃の範囲の温度において、典型的にO、O、HO、H、NO、NOを含有する酸化雰囲気中で基材を焼き鈍しすることによって酸化ケイ素へと変換される。酸化物の品質は、様々な雰囲気(酸化又は不活性)中でのマルチステップ焼き鈍しプロセスによって改善され得る。
図5は、Si含有膜形成組成物の調製、ケイ素基材の調製及びスピンコーティングプロセスのステップに関する代表的なプロセスを示すフローチャートである。当業者は、本明細書の教示から逸脱することなく、図5に提供されるものよりも少ない、又はそれより多いステップが実行されてもよいことを認識するであろう。例えば、R&D環境において利用される特徴決定ステップは、商業的な操作においては必要とされなくてもよい。当業者は、膜の望ましくない酸化を防ぐために不活性雰囲気下で、且つ/又は膜の粒子汚染を防ぐことの補助となるクリーンルーム中でプロセスが好ましくは実行されることをさらに認識するであろう。
ステップAにおいて、所望のSi(2n+2)生成物(n=10〜30又はn=30〜50)を溶媒と混合し、1〜50重量%の混合物を形成する。これ2種の成分を混合するために、当該技術分野において既知の混合機構を使用してもよい(例えば、機械的撹拌、機械的振盪など)。成分次第で、混合物を27℃〜約100℃の範囲の温度まで加熱してもよい。加熱温度は、常にプレベーク温度より低く維持されるである。特定の成分次第で、混合を1分〜1時間実行してよい。
ステップBにおいて、任意選択的な触媒、任意選択的なペルヒドロポリシラザン、例えば、米国特許出願公開第2018/072571号明細書に開示されるもの、又は両方を同一様式で混合物に添加し、機械的に撹拌してもよい。成分次第で、混合物を27℃〜約100℃の範囲の温度まで加熱してもよい。特定の成分次第で、混合を1分〜1時間実行してよい。
任意選択的なステップCにおいて、混合物を老化させて、添加剤間のいずれの反応も平衡に達するようにさせてよい。混合後、混合物を使用の前1時間〜2週間老化させてもよい。成分次第で、混合物を27℃〜約100℃の範囲の温度で老化させてもよい。触媒含有組成物に関して、触媒及びポリシランは、短時間で部分的に反応してもよい。したがって、組成物を安定化させるために、使用の前に老化が勧められる。初期老化試験結果は、組成物が、得られた酸化物膜のさらなる収縮が生じない平衡に達することを示す。当業者は、適切な老化期間を決定するために必要な老化試験を実行することが可能であるであろう。
ステップB又は任意選択的なステップCの後、いずれの粒子又は他の固体含有物も除去するために、混合物を濾過してもよい。当業者は、フィルターがSi含有膜形成組成物の成分と適合性でなければならないことを認識するであろう。ポリテトラフルオロエチレン(PTFE)は、典型的に適切な濾過材料である。フィルターのサイズは約0.02ミクロン〜約1ミクロンの範囲である。
当業者は、混合を促進するため、及び所望のSi(2n+2)生成物とのより均質な混合物を可能にするために、溶媒又は溶媒の1つ中で触媒をプレブレンドすることなどの他の添加順序が可能であることも認識するであろう。
図5には、スピンコーティングプロセスのための基材を調製するための任意選択的なプロセスも提供される。
その上にSi含有膜が堆積される平面又はパターン化された基材は、ステップ1及び2並びに代替的なステップ3a及び3bにおける堆積プロセスに対して調製されてよい。高純度気体及び溶媒が調製プロセスにおいて使用される。気体は典型的に半導体グレードであり、且つ粒子汚染がないものである。半導体使用のために、溶媒は粒子フリーであるべきであり、典型的に100粒子/mL未満(0.5μm粒子、より好ましくは10粒子未満/mL)であり、且つ表面汚染を導くであろう不揮発性残渣を含まないべきである。50ppb未満(それぞれの元素に対して、好ましくは5ppb未満)の金属汚染を有する半導体グレード溶媒が勧められる。
任意選択的なステップ1において、基材を約60秒間〜約120秒、好ましくは約90秒間、室温(約20℃〜約25℃)においてアセトンなど、洗浄溶媒中で超音波処理してもよい。次いで、平面又はパターン化された基材を、約60秒〜約120秒間、好ましくは約90秒間、イソプロプルアルコール(IPA)など、別の洗浄溶媒中、室温で超音波処理してもよい。当業者は、同一又は異なる超音波処理器でこれらのステップを実行してもよいことを認識するであろう。異なる超音波処理器ではより多くの装置が必要とされるが、より容易なプロセスが提供される。両方に関して使用される場合、超音波処理器は、基材のいずれの汚染も防ぐためにステップ1及び2の間に徹底的にクリーニングされなければならない。開示された方法に適切な代表的な超音波処理器としては、Leela Electronics Leela Sonic Models 50、60、100、150、200、250又は500、或いはBranson’s B Seriesが含まれる。
任意選択的なステップ2において、基材をIPA超音波処理器から取り出し、そして新しい洗浄溶媒ですすいでもよい。N又はArなどの不活性気体を使用して、すすがれた基材を乾燥させる。
親水性表面が望ましい場合、任意選択的なステップ3aにおいて、ステップ2の基材を25℃及び周囲圧力において1時間、UV−オゾンによって処理して、OH末端親水性表面を生じてもよい。またステップ3aは、有機汚染もさらに除去する。
親油性表面が望ましい場合、任意選択的なステップ3bにおいて、ステップ2の基材を25℃において1〜2分間、1%HF水溶液中に浸漬させ、上部の自然酸化物層をエッチング除去し、H末端親油性表面を生じてもよい。
当業者は、任意選択的なステップ1、2並びに代替的なステップ3a及び3Bが代表的なウエハ調製プロセスを提供することを認識するであろう。複数のウエハ調製プロセスが存在し、そして本明細書の教示から逸脱することなく利用され得る。例えば、Handbook of Silicon Wafer Cleaning Technology,3rd Edition,2017(William Andrew)を参照のこと。当業者は、少なくとも基材材料及び必要とされる清浄度に基づき、適切なウエハ調製プロセスを決定し得る。
基材は、ステップ2、3a又は3bのいずれかの後にスピンコーティングプロセスに続行してもよい。
図5のフローチャートは、代表的なスピンコーティングプロセスも示す。
任意選択的に上記のように調製された基材をスピンコーターに移す。例示的な適切なスピンコーターとしては、ScreenのCoat/Develop Track DT−3000、S−cubedのScene12、EVGの150XT、Brewer ScienceのCee(登録商標)Precisionスピンコーター、Laurellの650シリーズスピンコーター、Specialty Coating SystemのG3スピンコーター、又はTokyo ElectronのCLEAN TRACK ACT装置系統群が含まれる。ステップ4において、ステップB又はCのSi含有膜形成組成物をステップ2、3a又は3bの基材に分配させる。ウエハ基材はステップ5でスピンされる。当業者は、ステップ4及びステップ5が経時的(静的モード)又は同時的(動的モード)に実行されてよいことを認識するであろう。ステップ4は、手動又は自動分配デバイス(ピペット、シリンジ又は液体フローメーターなど)を使用して実行される。ステップ4及び5が同時に実行される場合、初期のスピン速度は遅い(すなわち、約5rpm〜約999rpm、好ましくは約5rpm〜約300rpm)。Si含有膜形成組成物の全てが分配された後(すなわち、ステップ4が静的又は動的モードで完了した時点)、スピン速度は約1000rpm〜約4000rpmの範囲である。ウエハは、典型的に約10秒〜約3分間を要するが、均一なコーティングが基材上に達成されるまでスピンされる。ステップ4及び5によって、ウエハ上にSi含有膜が生成される。当業者は、スピンコーティングプロセスの必要とされる期間、加速速度、溶媒蒸発速度などは、標的膜厚及び均一性を得るために、それぞれの新規配合物に対して最適化が必要とされる調節可能なパラメーターであることを認識するであろう(例えば、University of Louisville,Micro/Nano Technology Center−Spin Coating Theory,2013年10月を参照のこと)。
Si含有膜が形成された後、ステップ6においてウエハをプレベーク又はソフトベークして、スピンコーティングプロセスからPHPS組成物のいずれの残留揮発性有機成分及び/又は副産物も除去する。触媒の活性化温度次第で、触媒作用がステップ6において開始してもよい。ステップ6は、約30℃〜約300℃、好ましくは80℃〜200℃の範囲の温度で、約1分〜約120分の範囲の期間、熱チェンバー中又はホットプレート上で実行されてよい。代表的なホットプレートとしては、EVGの105 Bake Module、Brewer ScienceのCee(登録商標)Model 10又は11、或いはPolosのprecision bake platesが含まれる。
ステップ7において、基材を硬化して、所望の材料を製造する。3つの非制限的な選択肢を図5に示す。3つの選択肢のいずれも、不活性又は反応性気体を使用して実行されてよい。代表的な不活性気体としては、N、Ar、He、Kr、Xeなどが含まれる。反応性気体は、膜中に酸素、窒素又は炭素を導入するために使用されてよい。
膜中に酸素を導入する代表的な反応性気体としては、O、O、空気、HO、H、NO、NOなどの酸素含有気体が含まれる。O/Ar下では、硬化温度は、約400℃〜約800℃に達し得る。Oは硬化気体として使用され得る。代わりに、H下、約300℃〜約500℃の範囲の温度において硬化が生じ得る。Hは強酸化剤であり、そしてさらにトレンチ中への一貫したSi酸化物膜粘稠度を可能にし得る。
膜中に炭素を導入する代表的な反応性気体としては、炭素含有気体、特にアルケン及びアルキン(エチレン、アセチレン、プロピレンなど)などの不飽和炭素含有気体が含まれる。
膜中に窒素を導入する代表的な反応性気体は、DHC反応に続行することが可能となるように少なくとも1つのN−H結合を有さなければならない。完全にCフリーの膜に関して、これは、硬化気体がNH又はNを含んでなり得ることを意味する。代わりに、C含有N源が使用されてもよいが、膜中にいくらかのCをもたらし得る。代表的なC含有N源としては、置換ヒドラジン(すなわち、各Rが、独立して、H又はC1〜C4炭化水素であるが、ただし、少なくとも1つのRがHであるN)(例えば、MeHNNH、MeNNH、MeHNNHMe、フェニルヒドラジン、t−ブチルヒドラジン、2−クロロへキシル−1,1−ジメチルヒドラジン、1−tert−ブチル−1,2,2−トリメチルヒドラジン、1,2−ジエチルヒドラジン、1−(1−フェニルエチル)ヒドラジン、1−(2−メチルフェニル)ヒドラジン、1,2−ビス(4−メチルフェニル)ヒドラジン、1,2−ビス(トリチル)ヒドラジン、1−(1−メチル−2−フェニルエチル)ヒドラジン、1−イソプロピルヒドラジン、1,2−ジメチルヒドラジン、N,N−ジメチルヒドラジン、1−Boc−1−メチルヒドラジン、テトラメチルヒドラジン、エチルヒドラジン、2−ベンジリデン−1,1−ジメチルヒドラジン、1−ベンジル−2−メチルヒドラジン、2−ヒドラジノピラジン)、第一級又は第二級アミン(すなわち、各Rが、独立して、C1〜C4炭化水素であり、且つxは1又は2であるHNR3−x)(例えば、NMeH、NEtH、NMeH、NEtH、(SiMeNH、n−ブチルアミン、Sec−ブチルアミン、Tert−ブチルアミン、ジブチルアミン、ジイソプロピルアミン、N,N−ジイソプロピルエチルアミン、N,N−ジメチルエチルアミン、ジプロピルアミン、エチルメチルアミン、ヘキシルアミン、イソブチルアミン、イソプロピルアミン、メチルヘキサンアミン、ペンチルアミン、プロピルアミン、環式アミン、例えば、ピロリジン又はピリミジン)、エチレンジアミン(すなわち、各Rが、独立して、H、C1〜C4炭化水素であるが、ただし、少なくとも1つのRがHであるRN−C−NR)(例えば、エチレンジアミン、N,N’−ジメチルエチレンジアミン、テトラメチルエチレンジアミン)、ピラゾリン、ピリジン、それらのラジカル、或いはそれらの混合物が含まれる。所望のSi含有膜が酸素も含有する場合、C含有N源としては、エタノールアミンなどのx=1〜4炭化水素であるHN−C2x−OHが含まれ得る。好ましくは、反応物はNH、そのラジカル又はそれらの混合物である。
ステップ7aにおいて、基材は、不活性又は反応性気体下、約101℃〜約1,000℃、好ましくは、約200℃〜約800℃の範囲の温度で熱硬化を受ける。炉又は急速熱プロセッサを使用して熱硬化プロセスを実行してもよい。代表的な炉としては、ThermoFisher Lindberg/Blue M(商標)管状炉、Thermo Scientific Thermolyne(商標)ベンチトップ管状炉又はマッフル炉、Insetoテーブルトップ石英管状炉、NeyTech Vulcanベンチトップ炉、Tokyo Electron TELINDY(商標)熱プロセッシング装置、又はASM International ADVANCE(登録商標)垂直炉が含まれる。代表的な急速熱プロセッサとしては、Solaris 100、ULVAC RTP−6又はAnnealsys As−one 100が含まれる。
代わりに、ステップ7bにおいて、基材に、単色又は多色源を使用して、約190nm〜約400nmの範囲の波長においてUV硬化を受けさせる。ステップ8bを実行するのに適切な代表的なVUV−又はUV−硬化システムとしては、限定されないが、Nordson Coolwaves(登録商標)2 UV硬化システム、Heraeus Noblelight Light Hammer(登録商標)10プロダクト プラットフォーム又はRadium Xeradex(登録商標)ランプが含まれる。
さらに別のステップ7cの選択肢において、熱及びUVプロセスの両方を、ステップ7a及び7bに関して指定された同一温度及び波長基準で実行してもよい。熱及びUV硬化は、同時に又は連続的に実行されてよい。当業者は、硬化方法及び条件の選択が所望標的ケイ素含有膜によって決定されるであろうことを認識するであろう。
別の選択肢において、熱硬化プロセスは段階的な様式で続行されてもよい。より特に、熱硬化は、約10〜約30分の範囲の期間で、不活性又は反応性気体下、約50℃〜約500℃の範囲の温度において開始されてよい。温度を約50℃〜約150℃増加させて、さらに10〜30分間維持してもよい。必要であれば、追加的な逐次的温度追加を使用してもよい。代わりに、指定されたランプ(ramp)を使用して温度を増加させ、次いで、短時間、特定の温度で維持されてもよい。例えば、ウエハは、約1℃/分〜約100℃/分、好ましくは約5℃/分〜約40℃/分、より好ましくは約10℃/分〜約20℃/分のランピング速度で加熱される室温チェンバー中に配置されてよい。温度が、例えば、約100℃〜約400℃の所望の加熱温度に達したら、例えば、約5分〜約120分間の範囲の指定された期間、ランピングを停止してもよい。次いで、同一又は異なるランピング温度速度を使用して、次の所望の加熱温度、例えば、約300℃〜約600℃までチェンバー温度を増加させ、そして例えば、約5分〜約120分間の範囲の別の指定された期間で維持してもよい。第3の加熱温度、例えば、約500℃〜約1,000℃が所望である場合、これを再び繰り返して、そして例えば、約5分〜約300分間の範囲の別の指定された期間で維持してもよい。別の選択肢において、硬化に、いずれの特定の温度でいずれかの期間が指定されることなく、遅い一定の加熱ランプを使用してもよい(例えば、約0.5/分〜約3℃/分)。硬化が完了したら、炉を約1℃/分〜約100℃/分の範囲の冷却速度で室温まで冷却させることができる。出願人が、これらの熱硬化ステップのいずれも、結果として生じる膜における亀裂及び空隙の形成を減少させるための補助となると考える。
さらに、酸素含有雰囲気が必要とされる場合、収縮率は、O:HO比を制御することによってさらに減少され得る。好ましくは、O:HO比は約6:1〜約2.5:1の範囲である。代わりに、収縮率は、H:HO雰囲気を使用して減少されてもよい。収縮率は、100%×[1−(ハードベーク膜厚)/(プレベーク膜厚)]として算出され得る。開示されたSi含有膜形成組成物は、約−5%〜約15%、好ましくは約0%〜約10%、より好ましくは約0%〜約5%の範囲の酸化物収縮率を提供し得る。硬化後、結果として生じるSiO膜は、約1.8:1〜約2.1:1の範囲のO:Si比を有する。結果として生じるSiO膜のC含有量は、約0原子%〜約7原子%、好ましくは約0原子%〜約5原子%の範囲である。Si、O及びC濃度は、X線光電子スペクトロスコピー(XPS)によって決定されてよい。1%HF水溶液を使用する硬化されたSiO膜のウェットエッチング速度比は、1100℃において成長した熱酸化物と比較して、約1:1〜約5:1の範囲である。
ステップ8において、硬化された膜は、標準分析ツールを使用して特徴決定される。代表的なツールとしては、限定されないが、エリプソメーター、X線光電子スペクトロスコピー、原子力顕微鏡法、X線蛍光、フーリエ−変換赤外線スペクトロスコピー、走査型電子顕微鏡法、二次イオン質量分光測定(SIMS)、ラザフォード後方散乱分光分析(RBS)、応力分析のためのプロフィルメーター又はそれらの組合せが含まれる。
上記で議論したプロセスから結果として生じるケイ素含有膜としては、SiO;SiN;SiON;SiOC;SiONC;SiCN;MがZr、Hf、Ti、Nb、V、Ta、Al、Ge、B、Nbから選択されるSiMCOが含まれ得る。当業者は、適切なSi含有膜形成組成物及び共反応物の適切な選択によって、所望の膜組成物が得られ得ることを認識するであろう。
開示されたSi含有膜形成組成物は、半導体電子デバイスにおけるシャロートレンチ分離誘電体、プレ金属誘電体及び中間層誘電体における用途に関して、従来技術のNH含有PHPS組成物よりも低いSi含有膜の収縮率を提供する。出願人は、開示されたSi含有膜形成組成物から製造された酸化物膜は、X線光電子スペクトロスコピー(XPS)又はエネルギー分散型X線(EDX0スペクトロスコピーによって決定される場合、いずれの特徴の底部及び上部の間で約95〜100%、好ましくは98〜100%の化学量論的均一性を有するであろうと考える。出願人は、さらに、結果として生じる酸化物膜が、プロフィルメーターによって決定される場合、約−160MPa〜約+160MPaの範囲の薄膜応力測定値を有するであろうと考える。
収縮率は、硬化ステップの間にそれらが酸化される前の短鎖オリゴマーの損失(揮発)に関連すると考えられるため、収縮率を減少させるための膜の硬化及びSiOへの変換の方法についても広く研究されている。そのようなものとして、硬化の間の酸化と短鎖ケイ素含有オリゴマーの蒸発の間に競合作用があり、そして硬化方法(蒸気相の組成物、温度ランプ速度などは最終膜の収縮率に有意な影響を与える。
全体的に、両パラメーターを組み合わせて、最終収縮率が得られる。
本発明の特性及び目的のさらなる理解のために、添付の図面と関連して、以下の詳細な説明が参照されるべきである。
本発明の実施形態をさらに説明するために、次の非制限的な実施例が提供される。しかしながら、実施例は包括的であるように意図されず、そして本明細書に記載される本発明の範囲を制限するように意図されない。
生成物流の一部又は生成物のアリコートを使用するガスクロマトグラフィー(GC)などのいずれかの適切な手段によって、反応生成物を分析することができる。以下の実施例において、Thermal Conductivity Detector(TCD)を備えたAgilent 7890A及びAgilent 6890 Gas Chromatographs上でGC分析を実行した。注入ポートは、不活性(N又はAr)雰囲気下にあった。
例示的な方法:カラム:Rtx−1(クロスボンドジメチルポリシロキサン)105m×0.53mm×5μm。検出器T=250℃;参照フロー:20mL/分;メイクアップフロー:5mL/分;担体ガス:5mL/分(ヘリウム);オーブン:35℃、8分、ランプ20℃/分、200℃、13分;インジェクター:200℃;スプリットレスモード;試料サイズ:1.0μL。
実施例1:触媒の一般的概要
表1及び2にまとめた通り、出願人は、驚くべきことに、Fe、周期表の第I族、第II族及び第III族から選択される元素を含む不均一触媒の触媒活性を発見した。
Figure 2022501305
Figure 2022501305
適用された条件におけるn−Si10に対する選択性:KH>KN(SiMe≒KSiPh>LiAlH>Vitride(商標)/シリカ>Activelsom(商標)>ActiveGel(商標)>FeCl/シリカ/LiNMe>MgH>BuLi≒FeCl/シリカ/BuLi。
液体Siの変換における活性:Vitride(商標)/シリカ>KSiPh>KN(SiMe≒Activelsom(商標)>ActiveGel(商標)>n−BuLi>FeCl/シリカ/LiNMe>FeCl/シリカ/BuLi>MgH>KH>LiAlH
構造:
Figure 2022501305
Figure 2022501305
n−Si10/i−Si10異性体比及び高級シランの量は、液体相反応に関して非常に高い。
追加的な合成の詳細を以下の実施例に提供する。
比較例1.液体Siによる従来技術触媒に関する結果の概要
従来技術の均一触媒CpZrCl/BuLi、CpZrCl/LiNMe、RuCl(p−シメン)及びNi(COD)(COD=シクロオクタジエニル)を用いる液体Siの触媒作用が実行された[Joyce Y.Corey,“Dehydrocoupling of Hydrosilanes to Polysilanes and Silicon Oligomers:A 30 Year Overview”,Advances in Organometallic Chemistry,Volume 51,2004 Elsevier Inc.からの触媒]。従来技術の不均一触媒Ru(5%)/C及びRh(5%)/Cを用いた液体Siの触媒作用も実行された[“Method for Producing a Semiconductor Material”,Keizo Ikai;Masaki Minami;Mitsuo Matsuno,Nippon Oil Co.,Ltd.、米国特許第5700400A号明細書(1995年8月14日)からの触媒]。シリカ上であり、且つMMAO(MMAO=変性メチルアルミノキサン、式[(CH0.95(n−C170.05AlO])と組み合わせたFeClも試験した。
Figure 2022501305
CpTiCl及びCpZrCl均一触媒は、トリシランを制御不可能な様式で不揮発性固体へと重合させた。その結果、これらの触媒は、異性体エンリッチドテトラシラン又は液体高級シランの制御可能な合成のために有用でない。
RuCl(p−シメン)、Ni(COD)及びFeCl均一触媒、並びにRu(5%)/C及びRh(5%)/C不均一触媒は、非置換液体トリシランから高級シランへの変換に関する活性がない。
実施例2:シリカ上のSi及びFeCl(5%)−BuLi
液体Si(24.7g、0.27モル)及び商業的に入手可能な固体のFeCl(5%w/w)/シリカ(2.7g、0.83mmol FeCl)を窒素雰囲気下で反応器中に装填した。次いで、n−BuLi溶液(4mL、ヘキサン中2.5モラー、10mmol)を混合物に添加した。1気圧の窒素下で反応混合物を室温で24時間撹拌し、次いで濾過した。濾過後の液体を有するフラスコを真空マニフォールドに接続し、そして液体窒素で冷却されたトラップ中に全ての揮発性物質を留去した。液体Nトラップの蒸留液のGCによって、31.6%のSi;3.9%のiso−Si10;16.4%のn−Si10;11.7%のSi12;及び3.4%の6〜8以上のケイ素原子を有するシランを含有するSi−Siシラン及びヘキサン異性体の混合物が明らかにされた。4.4gの未蒸留の液体が残った。
屈折率検出器を使用して、未蒸留の液体のGPCを得た。GPCの結果を図6に示す。ポリシランは、Mn=810±63DA、Mw/Mn=2.2±0.4を有し、Mnは27のSiH単位と一致する。
トリシラン及び鉄触媒からの、そのようなMnを有する液体ポリマーの形成は予想外である。比較のために、THF又はDME中でのFeCl/Li金属による置換シランMePhSiHの変換は、ダイマー、トリマー及びテトラマーのみ可能であった[Park,M.J.;Lee,S.L.;Park,M.K.;Han,B.H.Bull.Korean Chem.Soc.2000,21,336]。
実施例3:シリカ上のSi及びFeCl(5%)−LiNMe
相当する量のSi及びFeCl(5%)/シリカ、並びにn−BuLi溶液の代わりに45〜50当量のLiNMeを用いて、実施例2と類似の反応を実行した。蒸留によって反応生成物を濾過及び分離し、不揮発性液体(予想Mn 600〜900DA)及び揮発性液体を得た。これはシランの混合物である(GC分析によると、3.5%のSi、78.0%のSi;2.3%のiso−Si10及び12.0%のn−Si10、1.5のiso−Si12、2.0%のn−Si12を含有するSi−Siシランの混合物)。
実施例4:シリカ上の液体Si及びNa[AlH(OCHCHOMe)](35%)
300℃の減圧下であらかじめ乾燥させたシリカと、(Vertellus Holdings LLCによって商標Vitride(商標)又はSigma−Aldrich Biotechnology LPによってRed−Al(商標)で販売される)Na[AlH(OCHCHOMe)]の65%w/wトルエン溶液とを混合することによって、シリカ触媒上35%w/wのNa[AlH(OCHCHOMe)]を調製した。混合物を室温で12時間撹拌した。全ての揮発性物質を動的減圧下で除去した。残った固体を触媒として利用した。
液体Si(3.1g、33.6mmol)及び触媒(0.18g、Na[AlH(OCHCHOMe)]0.063g、0.32mmol)を、グローブボックス中のバイアル中で、室温で3時間撹拌した。撹拌を3時間後に停止した。固体を沈殿させ、そして透明な上澄みのアリコートをGC分析のために回収した。透明溶液のGC分析によって、44.8%のSi;2.0%のiso−Si10及び19.3%のn−Si10、10.8%のSi12の合計及び14.1%の合計6個以上のケイ素原子を有するシランを含有するSi−Siシランの混合物が明らかにされた。
シリカ上のVitride(商標)によって、ポット反応中の液体トリシランが、n−Si10に対して優れた選択性[n−Si10:i−Si10=9.7:1]を有するシランの混合物へと変換された。工業的用途に関するプロセスの適用性を例示するために、気体及び液体トリシランを用いてフロー反応を実行した。
実施例5.液体Si及びNa[AlH(OCHCHOMe)]、フロープロセス
液体Siの3つの別々の試料を、フロー1.1±0.1g/分で、52.0±0.7℃及び圧力31.1±0.6psigで、ステンレス鋼管反応器(長さ20.9cm×内径1cm)に通過させた。反応器は、3.0gのガラスウール上、シリカ上の7.9gの46.8%w/wのVitride(商標)(3.7gの活性成分)を含有した。流出水をドライアイストラップ、それに続いて液体窒素トラップで回収した。トラップの内容物をGCによって分析した。ドライアイストラップの内容物を蒸留し、そして127.1gの蒸留物及び4.4gの未蒸留の液体が得られた。未蒸留の液体は、6個以上のケイ素原子を有するシランの混合物であった[GC]。p−トリルシラン中に溶解された未蒸留の液体のGPC:Mn=360〜760DA、平均Mnは19のSiH単位と一致する。GC結果を図7及び8に示す。蒸留物は、1〜8個のケイ素原子を有する揮発性シランの混合物である。結果を下記の表6及び7にまとめる。実施例2及び3と同様に、未蒸留の液体が、14〜27個のケイ素原子を有する高級シランの混合物を含有することが予想される。
Figure 2022501305
Figure 2022501305
実施例6.液体Si/Si混合物及びNa[AlH(OCHCHOMe)]、フロープロセス
液体Si(42.5%w/w)−Si(57.5%w/w)(174.0g)を、滞留時間442±77秒に相当するフロー1.4±0.3g/分で、51.9±3.5℃及び圧力30.6±0.4psigで反応器(長さ20.9cm×ID 1cm)に通過させた。反応器は、2.6gのガラスウール上、シリカ上の7.0gの46.8%w/wのVitride(商標)(3.3gの活性成分)を含有した。流出水をドライアイストラップ、それに続いて液体窒素トラップで回収した。トラップの内容物をGCによって分析した。ドライアイストラップの内容物を蒸留し、そして2.0gの未蒸留の液体が得られた。未蒸留の液体は、6個以上のケイ素原子を有するシランの混合物であった[GC]。p−トリルシラン及び10%w/wのSi10中に溶解された未蒸留の液体のGPC:Mn=561DA、Mw/Mn=1.03、Mnは19のSiH単位と一致する。蒸留物は、1〜8個のケイ素原子を有する揮発性シランの混合物である。結果を下記の表8及び9にまとめる。
Figure 2022501305
Figure 2022501305
表からわかるように、Si−Siの混合物に関して、より重質のシラン(Si≧6)の相対的な量はより低い。
実施例7:Si及びKN(SiMe
液体Si(28.8g、純度99.8%w/w)及び固体KN(SiMe(0.3g、1.5mmol)を、グローブボックス中、熱電対を備えた反応器に装填した。反応器の後に2つのトラップを設置した。コンデンサーの後の第1のトラップは、反応の間、室温で空のままである。第2のトラップは、反応の間、SiH及びSiを補足するために液体窒素で冷却される。反応器をマニフォールドに接続し、N雰囲気をHe雰囲気と入れ替えた。コンデンサーにドライアイスを充填した。1気圧のヘリウム下の反応混合物を41〜50℃まで加熱し、3時間撹拌した。3時間後、加熱を停止し、そして反応混合物を室温まで冷却した。ドライアイスをコンデンサーから除去した。第1のトラップをドライアイスで冷却し、そして第2のトラップは液体Nで冷却したままであった。反応生成物をドライアイストラップ[20.2gの液体、GC:74.2%のSi;1.3%のiso−Si10及び15.3%のn−Si10、1.8%のi−Si12、3.5%のn−Si12及び1.6%の全体で6個以上のケイ素原子を有するシラン]及び液体窒素トラップ[4.7g、GC:45.9%のSiH、49.1%のSi、5.0%のSi]中、動的減圧下で除去した。ポット中に残った未蒸留の反応生成物[3.2g]を濾過し、GCによって分析した[Si−Si12シランの混合物]。実施例2及び3と同様に、ポットの中に残った未蒸留の液体は、14〜27個のケイ素原子を有する高級シランの混合物を含有することが予想される。
KN(SiMeによって、ポット反応中液体トリシランが、n−Si10に対して優れた選択性[n−Si10:i−Si10=11.8:1]及びn−Si12に対して選択性を有するシランの混合物へと変換された。加えて、より重質のシランが製造される。工業的用途に関するプロセスの適用性を例示するために、気体及び液体トリシランを用いてフロー反応を実行した。
実施例8:液体Si及び固体KN(SiMe触媒によるフロープロセス
Si液体(178.2g、99.8%w/w)を、滞留時間467±106秒に相当するフロー1.2±0.3g/分で、73.2±1.8℃及び圧力27.2±0.5psigでステンレス鋼管反応器(長さ20.6cm×内径1cm)に通過させた。反応器は、3.6gのガラスウール上に3.6gのKN(SiMeを含有する、内径1cm、長さ20.8cmのステンレス鋼管である。フロー反応器に液体トリシランを通した後、生成物をドライアイストラップ(175.5g)、それに続いて液体窒素トラップ(2.7g)で回収する。トラップの気体及び液体相をGCによって分析した。ドライアイストラップ中に回収された生成物から高級シランを分離するために、ドライアイストラップの内容物を減圧下で除去したところ、1.7gの未蒸留の液体が残った。これは、適用された条件50mtorr減圧及び45℃において「不揮発性」シランの混合物と考えられる。未蒸留の液体は、6個以上のケイ素原子を有するシランの混合物であった[GC]。173.8gの揮発性シランをドライアイストラップから除去した。揮発性シランフラクションは、シランSi2n+2(n=1〜8)の混合物であった。上記の2つの実施例3及び4と同様に、残った未蒸留の液体が、14〜27個のケイ素原子を有する高級シランの混合物を含有することが予想される。結果を以下の表10及び11に示す。
Figure 2022501305
Figure 2022501305
KN(SiMeは、液体トリシランによるフロー反応において有意に活性であり、低量の不揮発性シランを生じる。
本実施例は、拡大縮小性のための可能性を例示する。
実施例9.液体Si及びSi10並びに固体KN(SiMe触媒の混合物によるフロープロセスに関する例示的な実験。
93.7%w/wのSi、4.4%w/wのi−Si10及び1.8%w/wのn−Si10を含有するSi液体(141.3g)を、滞留時間414±85秒に相当するフロー1.4±0.3g/分で、81.4±2.3℃及び圧力29.3±0.5psigでステンレス鋼管反応器(長さ20.6cm×内径1cm)に通過させた。流出水をドライアイストラップ(137.1g)、それに続いて液体窒素トラップ(4.2g)で回収した。トラップの気体及び液体相をGCによって分析した。ドライアイストラップ中に回収された生成物から高級シランを分離するために、ドライアイストラップの内容物を減圧下で除去したところ、4.2gの未蒸留の液体が残った。これは、適用された条件50mtorr減圧及び45℃において「不揮発性」シランの混合物と考えられる。未蒸留の液体は、6個以上のケイ素原子を有するシランの混合物であった[GC]。130.0gの揮発性シランをドライアイストラップから得た。揮発性シランフラクションは、シランSi2n+2(n=1〜8)の混合物であった。実施例2及び3と同様に、残った未蒸留の液体が、14〜27個のケイ素原子を有する高級シランの混合物を含有することが予想される。結果を以下の表12及び13に示す。
Figure 2022501305
Figure 2022501305
SiへのSi10の添加によって、ペンタシランの収量(純粋なSiに関する3.06gに対して4.22g)及びより重質のシランの収量(純粋なSiに関する1.8gに対して4.2g)が増加する。このプロセスは、より重質のシランの合成に関して、より有益である。
本発明の実施形態が示され、説明されたが、本発明の精神又は教示から逸脱することなく、当業者はそれらの修正を実行することができる。本明細書に記載される実施形態は例示のみを目的とし、制限するものではない。組成物及び方法の多くの変形及び修正が可能であり、且つ本発明の範囲内である。したがって、保護の範囲は、本明細書に記載される実施形態に制限されず、特許請求の範囲によってのみ制限され、その範囲は、特許請求の範囲の対象の全ての等価物を含むであろう。
Si、SiGe、SiC、SiN及びSiOなどのエピタキシャルSiを含有する膜は、中でも、Hazbun et al.,Journal of Crystal Growth 2016,444,21−27;Yi−Chiau Huang et alへの米国特許出願公開第2017/018427号明細書;Dube et alへの米国特許出願公開第2016/126093号明細書;及びHart et al.,Thin Solid Films 2016,604,23−27によって開示されるように、ポリシランを使用して成長させる。
ポリシランは、中でも、Simone et al.,Journal of Propulsion and Power 2006,22,1006−1011;及びHidding et al.,Journal of Propulsion and Power 2006,22,786−789によって開示されるように、高比エネルギー燃料としても使用されている。
低級シランから高級シランへの変換は、研究のために、そして商業的目的のために広範囲に研究されている。触媒反応が研究されている。例えば、Berrisへの米国特許第5,047,569号明細書;Corey et al,Organometallics,1991,10,924−930;Boudjouk et al,J.Chem.Soc.Chem.Comm.1991 245−246;Tilley et al
.への米国特許第5,087,719号明細書;Woo et al.,J.Am.Chem.Soc.1992,114,7047−7055;Ohshita et al.,Organometallics 1994 13,5002−5012;Bourg
et al.,Organometallics 1995,14,564−566;Bourg et al.,Organometallics 1995,14,564−566;Ikai et al.への米国特許第5,700,400号明細書;Woo
et al.,Mol.Cryst.Liq.Cryst.Sci.Technol.,Sect.A,2000,349,87;Rosenberg et al.,J.Am.Chem.Soc.2001,123,5120−5121;Fontaine et al.,Organometallics 2002,21,401−408;Kim et al.,Organometallics 2002,21,2796;Corey et al.,Adv.In Org.Chem.2004,51,pp.1−52;Fontaine et al.,J.Am.Chem.Soc.2004,126,8786−8794;Karshtedt et al.への米国特許出願公開第2008/085373号明細書;Itazaki et al.,Angew.Chem.Int.Ed.2009,48,3313−3316;Evonik Degussa
GMBHへの国際公開第2010/003729号パンフレット;Smith et al.,Organometallics 2010,29,6527−6533;SPAWNT PRIVAT S.A.R.Lへの国際公開第2012/001180号パンフレット;Kovio,Inc.への国際公開第2013/019208号パンフレット;Feigl et al.,Chem.Eur.J.2013,19,12526−12536;Tanabe et al.,Organometallics 2013,32,1037−1043;Brausch et al.への米国特許第8,709,369号明細書;Schmidt et al.,Dalton Trans.2014,43,10816−10827;及びMatsushita et al.への米国特許第9,567,228号明細書を参照のこと。
不均一触媒は、金属オキシド触媒、好ましくは第I族金属オキシド触媒であり得る。例示的な酸化物触媒としては、NaO又はKOが含まれる。これらの触媒は商業的に入手可能であるか、又は当該技術分野において既知の方法によって合成され得る。
金属ヒドリド触媒は、混合金属ヒドリド触媒であり得る。例示的な混合金属ヒドリド触媒としては、LiAIH、LiAIH4−n、NaAlH4−n、KAlH4−n、RbAlH4−n、CsAlH4−n及びそれらの組合せ(式中、n=1、2又は3であり、且つそれぞれのRは独立して、m=1〜10であるC2m+1、又は酸素若しくは窒素原子を有する脂肪族基である)が含まれる。酸素又は窒素原子を有する例示的な脂肪族基としては、−CHOMe、−CHCHOMe、−OCHCHCHOMe、−CHCHNMe、芳香族基及びそれらの組合せが含まれる。特に好ましい混合金属ヒドリド触媒は、ナトリウムビス(2−メトキシエトキシ)アルミニウムヒドリド[Na(−O(Me)−C−O−)AlH]である。こ
れらの触媒は商業的に入手可能であるか、又は当該技術分野において既知の方法によって合成され得る。
再び、ポリシラン生成物45は、次のプロセスステップの実行の前に新たな位置に輸送されてもよい。或いはポリシラン生成物45は、他の異性体52からn−異性体51を分離するために分別蒸留ユニット50に向けられてもよい。分別蒸留は、静的カラム又はスピニングバンドカラムを使用して実行されてもよい。スピニングバンド蒸留カラムの長さは、それがより少ないスペースを取るため、静的カラムのものより非常に小さく、混雑した施設において使用するために好ましくなり得る。約90%のn−テトラシランを生じるために適切な静的カラムは、約90〜約120の理論プレートを必要とし、高さ約6〜7メートルである。
開示されたSi含有膜形成組成物は、基材の中心に直接適用されて、次いでスピンによって基材全体に広げてもよく、又は噴霧によって基材全体に適用されてもよい。基材の中心に直接適用される場合、組成物を基材上に均等に分布するために、遠心力を利用して基材を回転させてもよい。当業者は、基材のスピンが必要であるかどうかには、Si含有膜形成組成物の粘度が寄与するであろうことを認識するであろう。代わりに、基材は、開示されたSi含有膜形成組成物に浸漬されてもよい。溶媒又は膜の揮発性成分を蒸発させるために、結果として生じる膜を特定の期間室温で乾燥させてもよく、或いは強制乾燥若しくは焼成によって、又は熱硬化及び照射、例えば、イオン照射、電子照射、UV及び/又は可視光照射などを含むいずれかの以下の適切なプロセスの1つ又は組合せの使用によって乾燥させてもよい。
当業者は、任意選択的なステップ1、2並びに代替的なステップ3a及び3が代表的なウエハ調製プロセスを提供することを認識するであろう。複数のウエハ調製プロセスが存在し、そして本明細書の教示から逸脱することなく利用され得る。例えば、Handbook of Silicon Wafer Cleaning Technology,3rd Edition,2017(William Andrew)を参照のこと。当業者は、少なくとも基材材料及び必要とされる清浄度に基づき、適切なウエハ調製プロセスを決定し得る。
別の選択肢において、熱硬化プロセスは段階的な様式で続行されてもよい。より特に、熱硬化は、約10〜約30分の範囲の期間で、不活性又は反応性気体下、約50℃〜約500℃の範囲の温度において開始されてよい。温度を約50℃〜約150℃増加させて、さらに10〜30分間維持してもよい。必要であれば、追加的な逐次的温度追加を使用してもよい。代わりに、指定されたランプ(ramp)を使用して温度を増加させ、次いで、短時間、特定の温度で維持されてもよい。例えば、ウエハは、約1℃/分〜約100℃/分、好ましくは約5℃/分〜約40℃/分、より好ましくは約10℃/分〜約20℃/分のランピング速度で加熱される室温チェンバー中に配置されてよい。温度が、例えば、約100℃〜約400℃の所望の加熱温度に達したら、例えば、約5分〜約120分間の範囲の指定された期間、ランピングを停止してもよい。次いで、同一又は異なるランピング温度速度を使用して、次の所望の加熱温度、例えば、約300℃〜約600℃までチェンバー温度を増加させ、そして例えば、約5分〜約120分間の範囲の別の指定された期間で維持してもよい。第3の加熱温度、例えば、約500℃〜約1,000℃が所望である場合、これを再び繰り返して、そして例えば、約5分〜約300分間の範囲の別の指定された期間で維持してもよい。別の選択肢において、硬化に、いずれの特定の温度でいずれかの期間が指定されることなく、遅い一定の加熱ランプを使用してもよい(例えば、約0.5/分〜約3℃/分)。硬化が完了したら、炉を約1℃/分〜約100℃/分の範囲の冷却速度で室温まで冷却させることができる。出願人が、これらの熱硬化ステップのいずれも、結果として生じる膜における亀裂及び空隙の形成を減少させるための補助となると考える。
開示されたSi含有膜形成組成物は、半導体電子デバイスにおけるシャロートレンチ分離誘電体、プレ金属誘電体及び中間層誘電体における用途に関して、従来技術のNH含有PHPS組成物よりも低いSi含有膜の収縮率を提供する。出願人は、開示されたSi含有膜形成組成物から製造された酸化物膜は、X線光電子スペクトロスコピー(XPS)又はエネルギー分散型X線(EDXスペクトロスコピーによって決定される場合、いずれの特徴の底部及び上部の間で約95〜100%、好ましくは98〜100%の化学量論的均一性を有するであろうと考える。出願人は、さらに、結果として生じる酸化物膜が、プロフィルメーターによって決定される場合、約−160MPa〜約+160MPaの範囲の薄膜応力測定値を有するであろうと考える。
収縮率は、硬化ステップの間にそれらが酸化される前の短鎖オリゴマーの損失(揮発)に関連すると考えられるため、収縮率を減少させるための膜の硬化及びSiOへの変換の方法についても広く研究されている。そのようなものとして、硬化の間の酸化と短鎖ケイ素含有オリゴマーの蒸発の間に競合作用があり、そして硬化方法(蒸気相の組成物、温度ランプ速度などは最終膜の収縮率に有意な影響を与える。
実施例3:シリカ上のSi及びFeCl(5%)−LiNMe
相当する量のSi及びFeCl(5%)/シリカ、並びにn−BuLi溶液の代わりに45〜50当量のLiNMeを用いて、実施例2と類似の反応を実行した。蒸留によって反応生成物を濾過及び分離し、不揮発性液体(予想Mn 600〜900DA)及び揮発性液体を得た。これはシランの混合物である(GC分析によると、3.5%のSi、78.0%のSi;2.3%のiso−Si10及び12.0%のn−Si10、1.5のiso−Si12、2.0%のn−Si12を含有するSi−Siシランの混合物)。

Claims (20)

  1. n=4〜100であるSi(2n+2)を製造する方法であって、
    a=1〜4である液体Si(2a+2)反応物と触媒とを反応させて、n>aであるSi(2n+2)を製造すること
    を含み、前記触媒が、
    a)周期表の第I族、第II族若しくは第III族元素、又はそれらのオキシド、アルキル、ヒドリド、シラニド若しくはシリルアミドから選択される不均一触媒;或いは
    b)それぞれのRが独立してC1〜C4アルキル基である、アルキルリチウムLiR又はリチウムアミドLiNRと組み合わせたFeハロゲン化物を含む触媒
    から選択される、方法。
  2. 前記Si(2a+2)反応物がSiである、請求項1に記載の方法。
  3. 前記Si(2a+2)反応物がSi及びSi10の混合物である、請求項1に記載の方法。
  4. n=4〜10である、請求項1に記載の方法。
  5. 前記不均一触媒が、ナトリウムビス(2−メトキシエトキシ)アルミニウムヒドリドである、請求項4に記載の方法。
  6. 前記不均一触媒が、KN(SiMe又はNaN(SiMeである、請求項4に記載の方法。
  7. 前記不均一触媒が、K、Na、NaO、KO又はそれらの組合せである、請求項4に記載の方法。
  8. n=11〜30である、請求項1に記載の方法。
  9. 前記触媒が、それぞれのRが独立してC1〜C4アルキル基である、アルキルリチウムLiR又はリチウムアミドLiNRと組み合わせたFeハロゲン化物を含む、請求項8に記載の方法。
  10. Si(2n+2)を分別蒸留して、約95%w/w〜約100%w/wのn−Si12を含むSi含有膜形成組成物を製造することをさらに含む、請求項1に記載の方法。
  11. Si(2n+2)を分別蒸留して、約95%w/w〜約100%w/wのn−Si14を含むSi含有膜形成組成物を製造することをさらに含む、請求項1に記載の方法。
  12. Si(2n+2)を分別蒸留して、約95%w/w〜約100%w/wのn−Si16を含むSi含有膜形成組成物を製造することをさらに含む、請求項1に記載の方法。
  13. Si(2n+2)を分別蒸留して、約95%w/w〜約100%w/wのn−Si18を含むSi含有膜形成組成物を製造することをさらに含む、請求項1に記載の方法。
  14. n=5〜8である式Si(2n+2)を有する異性体エンリッチドポリシランを選択的に合成する方法であって、a=1〜4である液体Si(2a+2)反応物に触媒作用を及ぼして、1つの異性体対別の異性体の比率が約2:1〜約15:1の範囲である異性体エンリッチドポリシランを製造することを含む、方法。
  15. 不均一触媒が、ナトリウムビス(2−メトキシエトキシ)アルミニウムヒドリドである、請求項14に記載の方法。
  16. 不均一触媒が、KN(SiMeである、請求項14に記載の方法。
  17. 不均一触媒が、Naと一緒のNaOである、請求項14に記載の方法。
  18. Si(2n+2)を分別蒸留して、約95%w/w〜約100%w/wのn−Si12を含むSi含有膜形成組成物を製造することをさらに含む、請求項14に記載の方法。
  19. Si(2n+2)を分別蒸留して、約95%w/w〜約100%w/wのn−Si14を含むSi含有膜形成組成物を製造することをさらに含む、請求項14に記載の方法。
  20. Si(2n+2)を分別蒸留して、約95%w/w〜約100%w/wのn−Si16を含むSi含有膜形成組成物を製造することをさらに含む、請求項14に記載の方法。
JP2021542093A 2018-10-11 2019-10-11 液体ポリシラン及び異性体エンリッチド高級シランを製造するためのプロセス Active JP7203232B2 (ja)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US16/158,089 US10752507B2 (en) 2018-10-11 2018-10-11 Process for producing liquid polysilanes and isomer enriched higher silanes
US16/158,089 2018-10-11
PCT/US2019/055794 WO2020077183A1 (en) 2018-10-11 2019-10-11 Process for producing liquid polysilanes and isomer enriched higher silanes

Publications (2)

Publication Number Publication Date
JP2022501305A true JP2022501305A (ja) 2022-01-06
JP7203232B2 JP7203232B2 (ja) 2023-01-12

Family

ID=70159877

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2021542093A Active JP7203232B2 (ja) 2018-10-11 2019-10-11 液体ポリシラン及び異性体エンリッチド高級シランを製造するためのプロセス

Country Status (6)

Country Link
US (2) US10752507B2 (ja)
EP (1) EP3863971A4 (ja)
JP (1) JP7203232B2 (ja)
KR (1) KR102514167B1 (ja)
CN (1) CN112839903B (ja)
WO (1) WO2020077183A1 (ja)

Families Citing this family (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN114772603A (zh) * 2022-04-30 2022-07-22 浙江迅鼎半导体材料科技有限公司 一种高价硅烷的制造方法

Citations (9)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPS60141614A (ja) * 1983-12-29 1985-07-26 Mitsui Toatsu Chem Inc 水素化ケイ素の製造方法
JPS60180910A (ja) * 1984-02-25 1985-09-14 Mitsui Toatsu Chem Inc 水素化ケイ素の製造方法
JPS60264318A (ja) * 1984-06-11 1985-12-27 Mitsui Toatsu Chem Inc 水素化ケイ素の製造方法
JPH11260729A (ja) * 1998-01-08 1999-09-24 Showa Denko Kk 高次シランの製造法
JP2011524329A (ja) * 2008-06-17 2011-09-01 エボニック デグサ ゲーエムベーハー 高級ヒドリドシランの製造方法
JP2013506541A (ja) * 2009-10-02 2013-02-28 エボニック デグサ ゲーエムベーハー 高度に水素化されたシランの製造方法
JP2014500225A (ja) * 2010-12-14 2014-01-09 エボニック デグサ ゲーエムベーハー 高級ハロゲン化シランおよび高級ヒドリドシランの製造法
WO2015060189A1 (ja) * 2013-10-21 2015-04-30 三井化学株式会社 高級シランの製造触媒および高級シランの製造方法
JP2016522140A (ja) * 2013-04-24 2016-07-28 エボニック デグサ ゲーエムベーハーEvonik Degussa GmbH ポリシランの製造方法および製造装置

Family Cites Families (29)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4610859A (en) 1983-12-29 1986-09-09 Mitsui Toatsu Chemicals, Inc. Process for producing silicon hydrides
US5047569A (en) 1990-07-03 1991-09-10 Ethyl Corporation Method of producing polysilane compounds
US5087719A (en) 1990-09-27 1992-02-11 The United States Of America As Represented By The Secretary Of The Air Force Dehydrogenative polymerization of silanes to polysilanes by catalysts of transition-metal silyl derivatives
JPH06191821A (ja) 1992-12-22 1994-07-12 Showa Denko Kk シリコン膜形成用の高次シラン含有溶液
US5700400A (en) 1993-06-15 1997-12-23 Nippon Oil Co., Ltd. Method for producing a semiconducting material
JP3484815B2 (ja) 1994-05-09 2004-01-06 昭和電工株式会社 薄膜トランジスタの製造方法
US6027705A (en) * 1998-01-08 2000-02-22 Showa Denko K.K. Method for producing a higher silane
JP2000031066A (ja) 1998-07-10 2000-01-28 Sharp Corp シリコン膜の形成方法及び太陽電池の製造方法
EP2069368A4 (en) 2006-10-06 2011-06-22 Kovio Inc SILICON POLYMERS, METHODS FOR POLYMERIZING SILICON COMPOUNDS, AND METHODS FOR FORMING THIN FILMS OF SILICON POLYMERS
DE102007007874A1 (de) * 2007-02-14 2008-08-21 Evonik Degussa Gmbh Verfahren zur Herstellung höherer Silane
JP2008305974A (ja) 2007-06-07 2008-12-18 Elpida Memory Inc 酸化膜形成用塗布組成物およびそれを用いた半導体装置の製造方法
KR100946374B1 (ko) 2008-04-29 2010-03-08 삼성전기주식회사 인쇄회로기판 및 그 제조방법
JPWO2010005107A1 (ja) 2008-07-11 2012-01-05 独立行政法人科学技術振興機構 ポリシランの製造方法
JP2010206161A (ja) 2009-02-04 2010-09-16 Sony Corp 成膜方法および半導体装置の製造方法
DE102009027169A1 (de) 2009-06-24 2010-12-30 Wacker Chemie Ag Verfahren zur Herstellung von Polysilanen
FR2948354B1 (fr) * 2009-07-22 2011-09-30 Air Liquide Production de silanes a partir d'alliages de silicium et de metaux alcalino-terreux ou siliciures de metaux alcalino-terreux
DE102010025948A1 (de) 2010-07-02 2012-01-05 Spawnt Private S.À.R.L. Polysilane mittlerer Kettenlänge und Verfahren zu deren Herstellung
US8900654B2 (en) 2011-07-29 2014-12-02 Thin Film Electronics, Inc. Methods of polymerizing silanes and cyclosilanes using N-heterocyclic carbenes, metal complexes having N-heterocyclic carbene ligands, and lanthanide compounds
KR101231370B1 (ko) 2012-06-13 2013-02-07 오씨아이머티리얼즈 주식회사 모노실란의 열분해에 의한 디실란의 제조방법 및 제조장치
JP3185817U (ja) 2013-06-24 2013-09-05 和泉化成株式会社 額縁
TWI634073B (zh) * 2013-09-05 2018-09-01 道康寧公司 2,2,4,4-四矽基五矽烷及其組成物、方法及用途
DE102013020518A1 (de) 2013-12-11 2015-06-11 Forschungszentrum Jülich GmbH Fachbereich Patente Verfahren und Vorrichtung zur Polymerisation einer Zusammensetzung enthaltend Hydridosilane und anschließenden Verwendung der Polymerisate zur Herstellung von siliziumhaltigen Schichten
WO2016009827A1 (ja) * 2014-07-16 2016-01-21 日産化学工業株式会社 高分子量ポリシラン及びその製造方法
SG11201703228XA (en) 2014-10-30 2017-05-30 Applied Materials Inc Method to grow thin epitaxial films at low temperature
US20170018427A1 (en) 2015-07-15 2017-01-19 Applied Materials, Inc. Method of selective epitaxy
JP6969846B2 (ja) 2016-06-10 2021-11-24 昭和電工株式会社 オリゴシランの製造方法
WO2018079484A1 (ja) * 2016-10-27 2018-05-03 昭和電工株式会社 オリゴシランの製造方法及びオリゴシランの製造装置
US20200115238A1 (en) * 2018-10-11 2020-04-16 L'Air Liquide, Société Anonyme pour l'Etude et l'Exploitation des Procédés Georges Claude Process for producing isomer enriched higher silanes
US11401166B2 (en) * 2018-10-11 2022-08-02 L'Air Liaquide, Société Anonyme pour l'Etude et l'Exploitation des Procédés Georges Claude Process for producing isomer enriched higher silanes

Patent Citations (9)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPS60141614A (ja) * 1983-12-29 1985-07-26 Mitsui Toatsu Chem Inc 水素化ケイ素の製造方法
JPS60180910A (ja) * 1984-02-25 1985-09-14 Mitsui Toatsu Chem Inc 水素化ケイ素の製造方法
JPS60264318A (ja) * 1984-06-11 1985-12-27 Mitsui Toatsu Chem Inc 水素化ケイ素の製造方法
JPH11260729A (ja) * 1998-01-08 1999-09-24 Showa Denko Kk 高次シランの製造法
JP2011524329A (ja) * 2008-06-17 2011-09-01 エボニック デグサ ゲーエムベーハー 高級ヒドリドシランの製造方法
JP2013506541A (ja) * 2009-10-02 2013-02-28 エボニック デグサ ゲーエムベーハー 高度に水素化されたシランの製造方法
JP2014500225A (ja) * 2010-12-14 2014-01-09 エボニック デグサ ゲーエムベーハー 高級ハロゲン化シランおよび高級ヒドリドシランの製造法
JP2016522140A (ja) * 2013-04-24 2016-07-28 エボニック デグサ ゲーエムベーハーEvonik Degussa GmbH ポリシランの製造方法および製造装置
WO2015060189A1 (ja) * 2013-10-21 2015-04-30 三井化学株式会社 高級シランの製造触媒および高級シランの製造方法

Also Published As

Publication number Publication date
EP3863971A1 (en) 2021-08-18
US11377359B2 (en) 2022-07-05
CN112839903B (zh) 2024-04-09
KR20210055796A (ko) 2021-05-17
JP7203232B2 (ja) 2023-01-12
US10752507B2 (en) 2020-08-25
US20200115239A1 (en) 2020-04-16
US20200223703A1 (en) 2020-07-16
CN112839903A (zh) 2021-05-25
WO2020077183A1 (en) 2020-04-16
KR102514167B1 (ko) 2023-03-24
EP3863971A4 (en) 2022-12-21

Similar Documents

Publication Publication Date Title
EP3277699B1 (en) Catalyst dehydrogenative coupling of carbosilanes with amidines
KR20180138215A (ko) 할로실란의 합성 방법
CN109476848B (zh) 形成含si膜的组合物及其制造与使用方法
JP7117461B2 (ja) 異性体富化高級シランの製造方法
JP2019533764A (ja) 高成長速度のケイ素含有膜のための炭素架橋アミノシラン化合物
JP7203232B2 (ja) 液体ポリシラン及び異性体エンリッチド高級シランを製造するためのプロセス
JP7113136B2 (ja) 液体ポリシラン及び異性体エンリッチド高級シランを製造するためのプロセス
KR102603850B1 (ko) 이성질체 풍부 고급 실란의 제조 방법
US20200115238A1 (en) Process for producing isomer enriched higher silanes
US20200115241A1 (en) Process for producing isomer enriched higher silanes

Legal Events

Date Code Title Description
A621 Written request for application examination

Free format text: JAPANESE INTERMEDIATE CODE: A621

Effective date: 20210331

RD03 Notification of appointment of power of attorney

Free format text: JAPANESE INTERMEDIATE CODE: A7423

Effective date: 20210729

RD04 Notification of resignation of power of attorney

Free format text: JAPANESE INTERMEDIATE CODE: A7424

Effective date: 20210729

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20210830

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20220301

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20220527

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20220705

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20220929

TRDD Decision of grant or rejection written
A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

Effective date: 20221206

A61 First payment of annual fees (during grant procedure)

Free format text: JAPANESE INTERMEDIATE CODE: A61

Effective date: 20221226

R150 Certificate of patent or registration of utility model

Ref document number: 7203232

Country of ref document: JP

Free format text: JAPANESE INTERMEDIATE CODE: R150