KR20180138215A - 할로실란의 합성 방법 - Google Patents

할로실란의 합성 방법 Download PDF

Info

Publication number
KR20180138215A
KR20180138215A KR1020187035213A KR20187035213A KR20180138215A KR 20180138215 A KR20180138215 A KR 20180138215A KR 1020187035213 A KR1020187035213 A KR 1020187035213A KR 20187035213 A KR20187035213 A KR 20187035213A KR 20180138215 A KR20180138215 A KR 20180138215A
Authority
KR
South Korea
Prior art keywords
silane
inorganic
sih
reactant
inorganic silane
Prior art date
Application number
KR1020187035213A
Other languages
English (en)
Inventor
션 케리건
지웬 완
장-마크 지라드
Original Assignee
레르 리키드 쏘시에떼 아노님 뿌르 레?드 에렉스뿔라따시옹 데 프로세데 조르즈 클로드
레르 리키드 쏘시에떼 아노님 뿌르 레?드 에렉스뿔라따시옹 데 프로세데 조르즈 클로드
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 레르 리키드 쏘시에떼 아노님 뿌르 레?드 에렉스뿔라따시옹 데 프로세데 조르즈 클로드, 레르 리키드 쏘시에떼 아노님 뿌르 레?드 에렉스뿔라따시옹 데 프로세데 조르즈 클로드 filed Critical 레르 리키드 쏘시에떼 아노님 뿌르 레?드 에렉스뿔라따시옹 데 프로세데 조르즈 클로드
Publication of KR20180138215A publication Critical patent/KR20180138215A/ko

Links

Images

Classifications

    • CCHEMISTRY; METALLURGY
    • C01INORGANIC CHEMISTRY
    • C01BNON-METALLIC ELEMENTS; COMPOUNDS THEREOF; METALLOIDS OR COMPOUNDS THEREOF NOT COVERED BY SUBCLASS C01C
    • C01B33/00Silicon; Compounds thereof
    • C01B33/08Compounds containing halogen
    • C01B33/107Halogenated silanes

Landscapes

  • Chemical & Material Sciences (AREA)
  • Organic Chemistry (AREA)
  • Inorganic Chemistry (AREA)

Abstract

할로실란 및 디할로실란과 같은 무기 실란을 선택적으로 합성하는 방법이 개시되어 있으며, 이때 상기 방법은 촉매의 존재 하에 할로겐화물 또는 할로겐(즉, HX 또는 X2(여기서 X는 Cl, Br 또는 I임))을 RSiH3(여기서 R은 불포화 C4 내지 C8 환형 탄화수소 또는 헤테로사이클기이며, 단 C6 환형 방향족 화합물은 적어도 하나의 하이드로카르빌 리간드를 포함함)과 반응시켜 화학식 SixHaXb(여기서 x는 1~4이고; a는 1~9이고; b는 1~9이고; a와 b의 합은 2x + 2임)를 갖는 무기 실란 및 RH를 생성하는 단계를 포함한다.

Description

할로실란의 합성 방법
관련 출원에 대한 상호 참조
본 출원은 2016년 05월 19일자로 출원된 미국 특허 출원 제15/159,454호의 이익을 주장하며, 이의 전문은 모든 용도에서 본원에서 참고로 인용된다.
기술 분야
본 발명은 할로실란 및 디할로실란과 같은 무기 실란을 선택적으로 합성하는 방법에 관한 것으로, 상기 방법은 촉매의 존재 하에 할로겐화물 또는 할로겐(즉, HX 또는 X2(여기서 X는 Cl, Br 또는 I임))을 RSiH3(여기서 R은 불포화 C4 내지 C8 환형 탄화수소 또는 헤테로사이클기이며, 단 C6 환형 방향족 화합물은 적어도 하나의 하이드로카르빌 리간드를 포함함)과 반응시켜 화학식 SixHaXb(여기서 x는 1~4이고; a는 1~9이고; b는 1~9이고; a와 b의 합은 2x + 2임)를 갖는 무기 실란 및 RH를 생성하는 단계를 포함한다.
에멜레우스(
Figure pct00001
) 등은 실란(SiH4), 요오드화수소(HI) 및 요오드화알루미늄(AlI3)의 반응에 의한 디요오도실란(SiH2I2)의 합성을 개시한다(문헌{Derivatives of monosilane. Part II. The Iodo compounds: Emeleus, H. J.; Maddock, A. G.; Reid, C., J. Chem. Soc. 1941, 353~358}). 반응에 의해 요오도실란(SiH3I), 트리요오도실란(SiHI3) 및 테트라요오도실란(SiI4)과 함께 목적하는 SiH2I2 반응 생성물이 생성된다(문헌{354페이지에서와 동일함}).
케이난(Keinan) 등은 -20℃에서 미량의 아세트산에틸의 존재 하에 요오드 및 페닐실란의 1:1의 몰비에서의 반응에 의해 1몰의 SiH2I2 및 1몰의 벤젠이 생성된다는 것을 개시한다(문헌{J. Org. Chem., Vol. 52, No. 22, 1987, pp.4846~4851}). 기타 가능한 요오도실란(즉, SiH3I, SiHI3 및 SiI4)에 대해 SiH2I2가 선택될지라도 이러한 방법에 의해 공지된 인간 발암물질인 벤젠이 생성되며, 이는 상업적 구현을 어렵게 한다. 이러한 단점에도 불구하고, 이는 디요오도실란을 생성하는 바람직한 합성 접근법으로 남아 있다.
다량의 SiH2I2 생성물(즉, 그리고 소수 또는 무용적의 기타 요오도실란, 즉 SiH3I, SiHI3 및 SiI4)을 수득하는, 보다 덜 위험한 SiH2I2 합성 방법에 대한 요구가 존재한다.
무기 실란 및 폴리실란을 합성하는 방법이 개시되어 있다. 무기 실란 및 폴리실란은 하기 화학식을 갖는다:
SixHaXb
상기 식에서, X는 Cl, Br 또는 I이고; x는 1~4이고; a는 1~9이고; b는 1~9이고; a와 b의 합은 2x + 2이다. 방법은 화학식 HX를 갖는 할로겐화물 또는 화학식 X2를 갖는 할로겐을 하기 화학식을 갖는 실란 반응물과 혼합하여 무기 실란과 RH 반응 생성물의 혼합물을 생성하는 단계를 포함한다:
SixHyRz
상기 식에서, y는 1~9이고; z는 1~9이고; y와 z의 합은 2x + 2이고; R은 불포화 C4 내지 C8 환형 탄화수소 또는 헤테로사이클기이며, 단 C6 환형 방향족 화합물은 적어도 하나의 하이드로카르빌 리간드를 포함한다.
실란 합성 방법은 촉매의 존재 하에 화학식 X2(여기서 X는 Cl, Br 또는 I임)를 갖는 할로겐을 하기 화학식을 갖는 실란 반응물과 혼합하여 디할로실란(즉, SiH2X2)과 RH 반응 생성물의 혼합물을 생성하는 단계를 포함한다:
SiH3R
상기 식에서, R은 불포화 C4 내지 C8 환형 탄화수소 또는 헤테로사이클기이며, 단 C6 환형 방향족 화합물은 적어도 하나의 하이드로카르빌 리간드를 포함한다.
대안적으로, 실란 합성 방법은 화학식 HX(여기서 X는 Cl, Br 또는 I임)를 갖는 할로겐화물을 하기 화학식을 갖는 실란 반응물과 혼합하여 할로실란(즉, SiH3X)과 RH 반응 생성물의 혼합물을 생성하는 단계를 포함한다:
SiH3R
상기 식에서, R은 불포화 C4 내지 C8 환형 탄화수소 또는 헤테로사이클기이며, 단 C6 환형 방향족 화합물은 적어도 하나의 알킬 리간드를 포함한다.
임의의 개시된 방법은 하나 이상의 하기 양태를 포함할 수 있다:
· 무기 실란은 SiH2X2이고;
· 무기 실란은 SiH2I2이고;
· 무기 실란은 SiH2Br2이고;
· 무기 실란은 SiH2Cl2이고;
· 무기 실란은 SiH3X이고;
· 무기 실란은 SiH3I이고;
· 무기 실란은 SiH3Br이고;
· 무기 실란은 SiH3Cl이고;
· 무기 실란은 Si2H5X이고;
· 무기 실란은 Si2H5I이고;
· 무기 실란은 Si2H5Br이고;
· 무기 실란은 Si2H5Cl이고;
· 무기 실란은 Si2H4X2이고;
· 무기 실란은 Si3H7X이고;
· 무기 실란은 Si3H6X2이고;
· 무기 실란은 Si4H9X이고;
· 무기 실란은 Si4H8X2이고;
· X는 I이고;
· X는 Br이고;
· X는 Cl이고;
· HX 할로겐화물은 대략 95%(w/w) 내지 대략 100%(w/w) 범위의 순도를 갖고;
· HX 할로겐화물은 대략 99%(w/w) 내지 대략 100%(w/w) 범위의 순도를 갖고;
· HX 할로겐화물은 0.0%(w/w) 내지 0.001%(w/w)의 H2O를 포함하고;
· X2 할로겐은 대략 95%(w/w) 내지 대략 100%(w/w) 범위의 순도를 갖고;
· X2 할로겐은 대략 99%(w/w) 내지 대략 100%(w/w) 범위의 순도를 갖고;
· X2 할로겐은 0.0%(w/w) 내지 0.001%(w/w)의 H2O를 포함하고;
· R은 방향족 탄화수소이고;
· 방향족 탄화수소는 톨루엔, 에틸벤젠, 크실렌, 메시틸렌 또는 듀렌(durene)이고;
· R은 벤젠이 아니고;
· 방향족 탄화수소는 나프탈렌 또는 아줄렌(azulene)이고;
· 방향족 탄화수소는 사이클로펜타디에닐, 트로필륨(tropylium) 또는 사이클로옥타테트라엔이고;
· R은 치환되지 않고;
· R은 하나 이상의 포화 C1 내지 C10 탄화수소 리간드에 의해 치환되고;
· R은 하나 이상의 포화 C1 내지 C10 알킬 리간드에 의해 치환되고;
· R은 불포화 환형 C4 탄화수소기이고;
· R은 불포화 환형 C4 헤테로사이클기이고;
· R은 불포화 환형 C5 탄화수소기이고;
· R은 불포화 환형 C5 헤테로사이클기이고;
· R은 푸란(furan)이고;
· R은 불포화 환형 C6 탄화수소기이고;
· R은 불포화 환형 C6 헤테로사이클기이고;
· R은 피리딘이고;
· R은 하나 이상의 포화 C1~C10 하이드로카르빌 리간드를 갖는 치환된 C6 아릴기이고;
· R은 하나 이상의 포화 C1~C10 알킬 리간드를 갖는 치환된 C6 아릴기이고;
· R은 하나 이상의 포화 C1~C4 알킬 리간드를 갖는 치환된 C6 아릴기이고;
· R은 하나 이상의 포화 C1~C2 알킬 리간드를 갖는 치환된 C6 아릴기이고;
· R은 불포화 환형 C7 탄화수소기이고;
· R은 불포화 환형 C7 헤테로사이클기이고;
· R은 불포화 환형 C8 탄화수소기이고;
· R은 불포화 환형 C8 헤테로사이클기이고;
· 실란 반응물은
Figure pct00002
이며, 여기서 R1, R2, R3, R4 및 R5는 H 또는 C1 내지 C10 하이드로카르빌기로부터 독립적으로 선택되며, 단 R1, R2, R3, R4 및 R5 중 적어도 하나는 H가 아니고;
· 실란 반응물은 대략 95%(w/w) 내지 대략 100%(w/w) 범위의 순도를 갖고;
· 실란 반응물은 대략 99%(w/w) 내지 대략 100%(w/w) 범위의 순도를 갖고;
· 실란 반응물은 0.0%(w/w) 내지 0.001%(w/w)의 H2O를 포함하고;
· 실란 반응물은
Figure pct00003
이고;
· 실란 반응물은
Figure pct00004
이고;
· 실란 반응물은
Figure pct00005
이고;
· 실란 반응물은
Figure pct00006
이고;
· 실란 반응물은
Figure pct00007
이고;
· 실란 반응물은
Figure pct00008
이고;
· 실란 반응물은
Figure pct00009
이고;
· 실란 반응물은
Figure pct00010
이고;
· 촉매는 아세트산에틸, 아세트산팔라듐(II), 산화트리페닐포스핀, 아세톤 또는 이들의 조합이고;
· 촉매는 대략 95%(w/w) 내지 대략 100%(w/w) 범위의 순도를 갖고;
· 촉매는 대략 99%(w/w) 내지 대략 100%(w/w) 범위의 순도를 갖고;
· 반응물의 첨가 이전에 촉매를 반응기에 첨가하고;
· 반응물의 첨가 이전에 아세트산팔라듐 촉매를 반응기에 첨가하고;
· 불활성 분위기 하에 실란 반응물 및 촉매를 혼합하고;
· 불활성 분위기 하에 실란 반응물 및 아세트산에틸을 혼합하고;
· 불활성 분위기는 Ar, N2, He 또는 Kr이고;
· 불활성 분위기는 Ar이고;
· 불활성 분위기는 N2이고;
· 용매를 첨가하는 단계를 추가로 포함하고;
· 용매를 할로겐화물 또는 할로겐에 첨가하는 단계를 추가로 포함하고;
· 할로겐화물 또는 할로겐을 용매에 첨가하는 단계를 추가로 포함하고;
· 불활성 분위기 하에 할로겐화물 또는 할로겐을 용매에 첨가하는 단계를 추가로 포함하고;
· 대기 하에 할로겐화물 또는 할로겐을 용매에 첨가하는 단계를 추가로 포함하고;
· 용매는 대략 95%(w/w) 내지 대략 100%(w/w) 범위의 순도를 갖고;
· 용매는 대략 99%(w/w) 내지 대략 100%(w/w) 범위의 순도를 갖고;
· 용매는 0.0%(w/w) 내지 0.001%(w/w)의 H2O를 포함하고;
· 용매는 하이드로플루오로에테르 또는 탄화수소 용매이고;
· 탄화수소 용매는 톨루엔, 사이클로헥산, n-헵탄 또는 이들의 혼합물이고;
· 하이드로플루오로에테르 용매는 메틸 노나플루오로부틸 에테르와 에틸 노나플루오로부틸 에테르의 혼합물이고;
· 하이드로플루오로에테르 용매는 약 10부피% 내지 90부피%, 바람직하게는 약 30부피%의 메틸 노나플루오로부틸 에테르를 포함하고;
· 하이드로플루오로에테르 용매는 약 10부피% 내지 90부피%, 바람직하게는 약 70부피%의 에틸 노나플루오로부틸 에테르를 포함하고;
· 용매의 부재 하에 합성을 수행하고;
· 방법 그 자체를 수행하고;
· 합성 공정에는 벤젠이 없고;
· 합성 공정에 의해 대략 80%(몰/몰) 내지 대략 90%(몰/몰)의 실란 반응물이 무기 실란으로 전환되고;
· 반응을 대략 -20℃ 내지 대략 15℃ 범위의 온도로 유지하고;
· 반응을 대략 -8℃ 내지 대략 5℃ 범위의 온도로 유지하고;
· 촉매/실란 혼합물을 할로겐화물 또는 할로겐에 첨가하는 도중에 무기 실란과 RH 반응 생성물의 혼합물을 대략 -20℃ 내지 대략 15℃ 범위의 온도로 유지하고;
· 촉매/실란 혼합물을 할로겐화물 또는 할로겐에 첨가하는 도중에 무기 실란과 RH 반응 생성물의 혼합물을 대략 -8℃ 내지 대략 5℃ 범위의 온도로 유지하고;
· 반응이 대략 -20℃ 내지 대략 15℃ 범위의 온도로 유지되는 속도로 촉매/실란 혼합물을 할로겐화물 또는 할로겐에 첨가하고;
· 반응이 대략 -8℃ 내지 대략 5℃ 범위의 온도로 유지되는 속도로 촉매/실란 혼합물을 할로겐화물 또는 할로겐에 첨가하고;
· 대략 6시간 내지 대략 15시간 동안 무기 실란과 RH 반응 생성물의 혼합물을 교반하는 단계를 추가로 포함하고;
· 촉매/실란 혼합물의 첨가 이후에 대략 6시간 내지 대략 15시간 동안 무기 실란과 RH 반응 생성물의 혼합물을 혼합하는 단계를 추가로 포함하고;
· 무기 실란과 RH 반응 생성물의 혼합물을 냉각시켜 디할로실란 및 RH 반응 생성물을 분리하는 단계를 추가로 포함하고;
· 무기 실란과 RH 반응 생성물의 혼합물을 대략 -78℃ 내지 대략 -20℃ 범위의 온도까지 냉각시켜 디할로실란 및 RH 반응 생성물을 분리하는 단계를 추가로 포함하고;
· 증류에 의해 RH 반응 생성물로부터 무기 실란 반응 생성물을 분리하는 단계를 추가로 포함하고;
· 감압 분별 증류에 의해 RH 반응 생성물로부터 무기 실란 반응 생성물을 분리하는 단계를 추가로 포함하고;
· 결정화에 의해 RH 반응 생성물로부터 무기 실란 반응 생성물을 분리하는 단계를 추가로 포함하고;
· 분별 결정화에 의해 RH 반응 생성물로부터 무기 실란 반응 생성물을 분리하는 단계를 추가로 포함하고;
· 무기 실란(즉, SixHaXb)과 RH 반응 생성물의 혼합물을 증류하여 무기 실란을 단리하는 단계를 추가로 포함하고;
· 무기 실란(즉, SixHaXb)과 RH 반응 생성물의 혼합물을 승화시켜 무기 실란을 단리하는 단계를 추가로 포함하고;
· 무기 실란은 0%의 벤젠을 포함하고;
· 무기 실란은 대략 0.0%(w/w) 내지 0.2%(w/w)의 RH를 포함하고;
· 무기 실란은 대략 0.0%(w/w) 내지 0.2%(w/w)의 RX를 포함하고;
· 무기 실란은 대략 0.0%(w/w) 내지 0.1%(w/w)의 SiH3X를 포함하고;
· 무기 실란은 대략 0.0%(w/w) 내지 0.2%(w/w)의 SiH3X를 포함하고;
· 무기 실란은 대략 0.0%(w/w) 내지 0.2%(w/w)의 SiX3H를 포함하고;
· 무기 실란은 대략 0.0%(w/w) 내지 0.01%(w/w)의 HX를 포함한다.
또한 화학식 SixHaXb(여기서 x는 1~4이고; a는 1~9이고; b는 1~9이고; a와 b의 합은 2x + 2임)를 갖는 대략 99.0% 내지 99.9%의 무기 실란 및 대략 0.0%(w/w) 내지 0.01%(w/w)(100 ppmw)의 벤젠을 포함하는 Si-함유 막 형성 조성물이 개시되어 있다. 개시된 Si-함유 막 형성 조성물은 하나 이상의 하기 실시형태를 포함할 수 있다:
· 무기 실란은 SiH2I2이고;
· 무기 실란은 SiH3I이고;
· 무기 실란은 SiH2Br2이고;
· 무기 실란은 SiH3Br이고;
· 무기 실란은 SiH2Cl2이고;
· 무기 실란은 SiH3Cl이고;
· 무기 실란은 Si2H4I2이고;
· 무기 실란은 Si2H5I이고;
· 무기 실란은 Si2H4Br2이고;
· 무기 실란은 Si2H5Br이고;
· 무기 실란은 Si2H4Cl2이고;
· 무기 실란은 Si2H5Cl이고;
· 무기 실란은 Si3H6I2이고;
· 무기 실란은 Si3H7I이고;
· 무기 실란은 Si3H6Br2이고;
· 무기 실란은 Si3H7Br이고;
· 무기 실란은 Si3H6Cl2이고;
· 무기 실란은 Si3H7Cl이고;
· 무기 실란은 Si4H8I2이고;
· 무기 실란은 Si4H9I이고;
· 무기 실란은 Si4H8Br2이고;
· 무기 실란은 Si4H9Br이고;
· 무기 실란은 Si4H8Cl2이고;
· 무기 실란은 Si4H9Cl이고;
· 대략 0.0%(w/w) 내지 0.2%(w/w)의 클로로톨루엔이고;
· 대략 0.0%(w/w) 내지 0.001%(w/w)의 클로로톨루엔이고;
· 대략 0.0%(w/w) 내지 0.2%(w/w)의 톨루엔이고;
· 대략 0.0%(w/w) 내지 0.1%(w/w)의 HX이다.
표기 및 명명법
하기 상세한 설명 및 특허청구범위 전반에 걸쳐 특정한 약어, 기호 및 용어가 사용되며, 하기를 포함한다:
본원에서 사용된 바와 같이, "단수"의 부정 관사는 하나 이상을 의미한다.
본원에서 사용된 바와 같이, "대략" 또는 "약"이란 용어는 소정의 값의 ㅁ10%를 의미한다.
본원에 인용된 임의의 모든 범위는 이들의 종단점(즉, x가 1 내지 4인 경우 x는 1 및 4를 포함하고 그 사이의 임의의 숫자를 포함함)을 내포한다.
본원에서 사용된 바와 같이, "알킬기"란 용어는 탄소 및 수소 원자만을 함유하는 포화 작용기를 지칭한다. 게다가, "알킬기"란 용어는 선형, 분지형 또는 환형 알킬기를 지칭한다. 선형 알킬기의 예로는 메틸기, 에틸기, 프로필기, 부틸기 등을 들 수 있지만, 이에 제한되지 않는다. 분지형 알킬기의 예로는 t-부틸을 들 수 있지만, 이에 제한되지 않는다. 환형 알킬기의 예로는 사이클로프로필기, 사이클로펜틸기, 사이클로헥실기 등을 들 수 있지만, 이에 제한되지 않는다.
본원에서 사용된 바와 같이, "탄화수소" 또는 "하이드로카르빌"이란 용어는 문맥에 따라 수소 및 탄소 원자만을 함유하는 용매 또는 작용기를 의미한다. 용매 또는 작용기는 포화될 수 있거나(단일 결합만을 포함함), 포화되지 않을 수 있다(이중 결합 또는 삼중 결합을 포함함).
본원에서 사용된 바와 같이, "헤테로사이클"이란 용어는 이의 고리원으로서 적어도 2개의 상이한 원소의 원자를 갖는 환형 화합물을 의미한다.
본원에서 사용된 바와 같이, "방향족"이란 용어는 탄소 원자 사이에 시그마 결합 및 비편재화 파이 전자를 갖는 탄화수소를 의미한다.
본원에서 사용된 바와 같이, "아릴"이란 용어는 1개의 수소 원자가 고리로부터 제거된 방향족 고리 화합물을 의미한다.
본원에서 사용된 바와 같이, "Me"란 약어는 메틸기를 지칭하고; "Et"란 약어는 에틸기를 지칭하고; "Pr"란 약어는 임의의 프로필기(즉, n-프로필 또는 이소프로필)를 지칭하고; "iPr"란 약어는 이소프로필기를 지칭하고; "Bu"란 약어는 임의의 부틸기(n-부틸, 이소부틸, t-부틸, sec-부틸)를 지칭하고; "tBu"란 약어는 tert-부틸기를 지칭하고; "sBu"란 약어는 sec-부틸기를 지칭하고; "iBu"란 약어는 이소부틸기를 지칭하고; "Ph"란 약어는 페닐기를 지칭하고; "Cp"란 약어는 사이클로펜타디에닐기를 지칭한다.
원소의 주기율표에 있는 원소에 대한 표준 약어가 본원에서 사용된다. 원소는 이들 약어로 지칭될 수 있는 것으로 이해되어야 한다(예를 들어, Co는 코발트를 지칭하고, Si는 실리콘을 지칭하고, C는 탄소를 지칭하는 등임).
본 명세서 및 특허청구범위 전체에 걸쳐 산화코발트와 같은 증착된 막 또는 층이 이들의 적절한 화학량론(즉, CoSi2)과는 무관하게 나열되어 있다는 것을 주지한다. 층은 순수한 (M) 층, 규화물(MoSip) 층, 탄화물(MoCp) 층, 질화물(MkNl) 층, 산화물(MnOm) 층 또는 이들의 혼합물을 포함할 수 있으며; 여기서 M은 Co, Mn, Fe 또는 Ru이고, k, l, m, n, o 및 p는 1 내지 6의 범위(경계값 포함(inclusive))이다. 예를 들어, 규화코발트는 CokSil이며, 여기서 k 및 l은 각각 0.5 내지 5의 범위이다. 유사하게, ConOm은 CoO 및 Co3O4를 포함할 수 있다. 또한 임의의 참고용 층은 산화규소 층인 SinOm을 포함할 수 있으며, 여기서 n은 0.5 내지 1.5의 범위이고, m은 1.5 내지 3.5의 범위이다. 보다 바람직하게는, 산화규소 층은 SiO2 또는 SiO3이다. 산화규소 층은 산화규소계 유전체 물질, 예를 들어 어플라이드 머티리얼스 인코포레이티드(Applied Materials, Inc.)사의 블랙다이아몬드(Black Diamond) II 또는 III 물질과 같은 유기계 또는 산화규소계 저유전체 물질(low-k dielectric material)일 수 있다. 대안적으로, 임의의 참고용 실리콘 함유층은 순수한 실리콘일 수 있다. 또한 임의의 실리콘 함유층은 B, C, P, As 및/또는 Ge와 같은 도판트(dopant)를 포함할 수 있다.
본원에서 사용된 바와 같이, R 기를 설명하는 문맥에서 사용되는 경우 "독립적으로"란 용어는 대상인 R 기가 동일하거나 상이한 아래첨자 또는 위첨자를 갖는 기타 R 기와 관련하여 독립적으로 선택될 뿐만 아니라, 이 같은 동일한 R 기의 임의의 부가적인 종과 관련하여 독립적으로 선택된다는 것을 의미하는 것으로 이해될 것이다. 예를 들어, 화학식 MR1 x(NR2R3)(4-x)(여기서, x는 2 또는 3임)에서, 2개 또는 3개의 R1 기는 서로 동일하거나 R2 또는 R3과 동일할 수 있지만, 동일할 필요는 없다. 게다가, 달리 구체적으로 언급하지 않는 한, R 기의 값은 상이한 화학식에 사용되는 경우에 서로 독립적인 것으로 이해되어야 한다.
본 발명의 특성 및 목적을 추가로 이해하기 위해 첨부된 도면과 함께 하기 상세한 설명을 참고하도록 하며, 도면에서 동일한 구성요소에는 동일하거나 유사한 도면부호가 제공된다. 도면에서:
도 1은 개시된 합성 방법이 수행될 수 있는 상업용 장치의 개략도이고;
도 2는 개시된 합성 방법이 수행될 수 있는 실험실용 장치의 개략도이다.
반도체 산업에서 증착 전구체로서 사용하기에 적합한 무기 실란을 합성하는 방법이 개시되어 있다. 보다 구체적으로는, 개시된 방법에 의해 핀켈스타인(Finkelstein) 할로겐화물 교환 반응(즉, MX1 + SiR3X2 → SiR3X1 + MX2)을 이용하여 합성되는 경우에 발생할 수 있는 기타 할로겐화물 분자로부터 임의의 불순물 없이 무기 실란 생성물이 생성된다. 또한 개시된 방법에 의해서는 임의의 염 부산물이 생성되지 않는다. 염 오염 및/또는 불순물은 증착 공정 도중에 기저 기판에 손상을 입힐 수 있다. 반도체 제조가 고순도의 성분이 요구됨에 따라, 염 부산물의 형성 또는 할로겐화물의 오염 없이 무기 실란 반응 생성물을 생성하는 능력이 유익하다. 게다가, 얻어진 반응 생성물 혼합물에는 벤젠이 없으며, 그 결과 이를 덜 위험하게 만들며, 따라서 상업적 용량으로 처리하기가 보다 용이하게 된다.
방법은 화학식 HX 또는 X2(여기서 X는 Cl, Br 또는 I임)를 갖는 할로겐화물 또는 할로겐 반응물을 하기 화학식을 갖는 실란 반응물과 혼합하여 무기 실란(즉, SixHaXb(여기서 a는 1~9이고, b는 1~9이고, a와 b의 합은 2x + 2임))과 RH 반응 생성물의 혼합물을 생성하는 단계, 또는
SixHyRz
(상기 식에서, x는 1~4이고; y는 1~9이고; z는 1~9이고; y와 z의 합은 2x + 2이고; R은 불포화 C4 내지 C8 환형 탄화수소 또는 헤테로사이클기이며, 단 C6 환형 방향족 화합물은 적어도 하나의 하이드로카르빌 리간드를 포함함);
하기 단계를 포함한다.
HX + SixHyRz → SixHyXz 및 RH
X2+SixHyRz + 촉매 → SixHy-1X2 및 RH
HX 및 X2 반응물은 구매 가능하다. HX 및 X2 반응물 모두는 독성이 있고 부식성이며, 따라서 처리 및 사용 도중에 조심해야 한다. HBr 및 HI는 공기 및 광 민감성이다. Cl2는 압축 산화 가스이고, Br2는 흡입하는 경우 치명적이어서 둘 모두는 불활성 분위기 하에 처리되어야 한다. I2는 처리 측면에서 X2 부류 중에서 문제가 가장 적으며, 대기에서 측정되고 반응 용기에 직접 첨가될 수 있지만, 이는 여전히 독성이 있고 부식성이며, 따라서 조심스럽게 처리되어야 한다. X2 반응물은 대략 95%(w/w) 내지 대략 100%(w/w) 범위, 바람직하게는 대략 99%(w/w) 내지 대략 100%(w/w) 범위의 순도를 갖는다. Br2 및 I2는 각각 99.99% 및 99.999%의 미량 금속 기준만큼 높은 순도로 시그마 알드리히(Sigma Aldrich)사로부터 구매 가능하다.
HX 및 X2 반응물 중의 수분 함량은 실록산 부산물(즉, Si-O-Si)의 형성을 방지하기 위해 최소화되어야 한다. 바람직하게는, 수분 함량은 대략 0%(w/w) 내지 대략 0.001%(w/w)(10 ppmw) 범위이다. 필요한 경우, HX 및 X2 반응물은 P2O5 상에서의 재환류(refluxing), 분자체(molecular sieve)를 이용한 처리 또는 진공 하의 가열과 같은 표준 기법을 이용한 합성 이전에 건조될 수 있다.
반응 용기는 반응물 및 생성물과 상용성인 물질로 만들어진다. 예시적인 물질로는 유리, 퍼플루오로알콕시알칸(PFA) 및 폴리테트라플루오로에틸렌(PTFE)을 들 수 있다. 스테인리스강은 임의의 수분에 대한 노출 시에 부식 가능성으로 인해 X2 반응물과의 상용성 물질이 아니다. 용기는 재키팅(jacketing)되거나 냉각조(cooling bath) 내에 놓여진다. 반응 용기는 유리 교반 샤프트(glass stirring shaft), PTFE 패들 교반기(paddle stirrer) 및/또는 PTFE-코팅된 스테인리스강 임펠러(impeller)와 같은 상용성 물질로 만들어진 교반 기구(stirring mechanism)를 포함한다. 반응 용기는 N2 또는 비활성 가스(noble gas)와 같은 불활성 분위기 하에서 합성을 수행하도록 설계된다. HI 또는 HBr 할로겐화물 반응물이 사용되는 경우, 은박지로 임의의 투명한 유리 제품을 덮는 것과 같이 광에 대한 반응물 및 반응 혼합물의 노출을 최소화하도록 주의할 수도 있다. SiH2I2의 합성에 있어서, 호박색의 유리 제품은 산화철 코팅이 생성물을 오염시킬 수 있기 때문에 적합하지 않다. 게다가, 진공, 불활성 가스 흐름, 오븐 건조 등과 같은 표준 건조 기법을 사용하여 반응 용기, 교반 기구 및 임의의 기타 연관된 장비(예를 들어, 슈렝크 라인(Schlenk line) 또는 글러브박스(glovebox))에는 공기 및 수분이 없어야 한다.
반응물에 대하여 상술한 바와 같이, 반응물 및 생성물과 접촉하는 반응 용기 및 임의의 모든 성분은 높은 순도를 가져야 한다. 고순도 반응 용기는 전형적으로 무기 실란과 상용성이면서 무기 실란과 반응하거나 이를 오염시킬 수 있는 불순물이 없는 용기이다. 이 같은 고순도 용기의 전형적인 예로는 스테인리스강 캐니스터(canister)가 있으며, 이는 낮은 표면 거칠기 및 경면 처리(mirror finish)를 갖는다. 낮은 표면 거칠기 및 경면 처리는 전형적으로 기계적 연마 및 임의적으로는 부가적인 전해 연마(electropolishing)에 의해 수득된다. 높은 순도는 전형적으로 (a) 묽은 산(HF, HNO3)을 이용한 세척 단계, 그 이후에 (b) 미량의 산에 대한 완전한 제거를 보장하기 위한 고순도 탈이온수에 의한 세정, 및 그 이후에 (c) 용기의 건조를 포함하는 처리에 의해 수득된다. 탈이온수(DIW) 세정은 전형적으로 세정수의 저항(resistivity)이 100 μS/㎝, 바람직하게는 25 μS/㎝ 미만에 도달할 때까지 실시된다. 건조 단계는 He, N2, Ar(바람직하게는 N2 또는 Ar)과 같은 불활성 가스를 이용한 퍼지(purge) 단계, 표면으로부터의 탈기(outgassing)를 가속화하기 위해 용기 내의 압력을 감소시키는 진공 단계, 용기의 가열 또는 임의의 이들의 조합을 포함할 수 있다.
퍼징에 사용되는 가스는 반도체 등급이어야 하며, 즉 미량의 수분 및 산소(1 ppm 미만, 바람직하게는 10 ppb 미만) 및 입자(0.5 ㎛에서 1리터 당 5개 미만의 입자)와 같은 오염물이 없어야 한다. 건조 단계는 특정 가스 흐름이 용기를 통해 유동하는 퍼지, 및 진공 처리 단계의 교호의 시퀀스를 포함할 수 있다. 대안적으로, 건조 단계는 용기 내의 압력을 낮게 유지하면서 퍼지 가스(purge gas)를 일정하게 유동시킴으로써 실시될 수 있다. 캐니스터 건조의 효율 및 종료점(end point)은 용기에서 흘러나오는 가스 내 미량의 H2O 수준을 측정함으로써 평가될 수 있다. 유입 가스가 10 ppb 미만의 H2O를 갖는 상태에서 유출 가스는 대략 0 ppm내지 대략 10 ppm 범위, 바람직하게는 대략 0 ppm내지 대략 1 ppm 범위, 보다 바람직하게는 대략 0 ppb내지 대략 200 ppb 범위의 수분 함량을 가져야 한다. 퍼지 단계 및 진공 단계 도중에 용기를 가열하면 수분 증발(dry down)을 가속화하는 것으로 알려져 있으며, 용기는 전형적으로 대략 40℃ 내지 대략 150℃ 범위의 온도로 유지된다.
일단 세척되고 건조되면, 이 같은 고순도 용기는 1E-6 std ㎤/초 미만, 바람직하게는 1E-8 std ㎤/초 미만의 총 누설율(leak rate)을 가져야 한다.
임의적으로는, 용기는 용기 내 생성물의 부식 위험성을 추가로 줄이고 상기 생성물의 안정성을 개선하기 위해 내부 코팅 또는 플레이팅(plating)을 가질 수 있다. 예시적인 코팅으로는 실코테크(Silcotek; https://www.silcotek.com)사에 의해 제공되고 미국 특허 공개공보 제2016/046408호에 개시된 코팅을 들 수 있다. 또한 용기는 Si-H-함유 요오도실란과의 반응 및/또는 이를 이용한 충진 이전에 실란, 디실란, 모노클로로실란, 헥사메틸디실라잔과 같은 실릴화제(silylating agent)에 대한 노출에 의해 패시베이션(passivation)될 수 있다.
당업자라면 개시된 방법을 실시하기 위해 사용되는 시스템의 장비 구성요소에 대한 공급원을 인지할 것이다. 목적하는 온도 범위, 압력 범위, 현지 규정(local regulation) 등에 기초하여 일부 구성요소의 맞춤화(customization) 수준이 요구될 수 있다. 예시적인 장비 공급자로는 부치 글라스 우스터 아게(Buchi Glas Uster AG), 산동 켐스타 머시너리 매뉴팩처링 컴퍼니 리미티드(Shandong ChemSta Machinery Manufacturing Co. Ltd.), 장쑤사자방 케미컬 에큅먼트 컴퍼니 리미티드(Jiangsu Shajabang Chemical Equipment Co. Ltd) 등을 들 수 있다. 상술한 바와 같이, 구성요소는 바람직하게는 유리, 유리 라이닝 강(glass-lined steel), 또는 내식성 라이너(liner)를 갖는 강 등과 같은 내식성 물질로 만들어진다.
반응 용기에는 HX 또는 X2 반응물이 첨가되는 무수 용매가 들어 있을 수 있다. 대안적으로, HX 또는 X2 반응물은 용기에 충전될 수 있고, 후속적으로 용매가 첨가될 수 있다. 다른 대체예에서, 어떠한 용매도 필요하지 않다. 예시적인 용매로는 톨루엔, 사이클로헥산, n-헵탄 및 이들의 혼합물과 같은 탄화수소를 들 수 있다. 대안적으로, 용매는 메틸 퍼플루오로프로필 에테르, 메틸 노나플루오로부틸 에테르, 에틸 노나플루오로부틸 에테르, 1,1,1,2,2,3,4,5,5,5-데카플루오로-3-메톡시-4-(트리플루오로메틸)-펜탄, 3-에톡시-1,1,1,2,3,4,4,5,5,6,6,6-도데카플루오로-2-트리플루오로메틸-헥산, C5F10H2 및 이들의 혼합물과 같은 하이드로플루오로에테르일 수 있다. 이들 하이드로플루오로에테르 용매는 불연성이다. 예시적인 하이드로플루오로에테르로는 Novec HFE 7100 및 Novec HFE 7200이란 상표명으로 3M사에 의해 판매되는 메틸 노나플루오로부틸 에테르와 에틸 노나플루오로부틸 에테르의 혼합물을 들 수 있다. C5F10H2는 Vertrel이란 상표명으로 듀퐁(DuPont)사로부터 구매 가능하다. 용매는 대략 95%(w/w)내지 대략 100%(w/w) 범위, 바람직하게는 대략 99%(w/w) 내지 대략 100%(w/w) 범위의 순도를 갖는다.
할로겐화물 또는 할로겐 반응물과 같이, 실록산 부산물(즉, Si-O-Si)의 형성을 방지하기 위해 용매는 또한 무수물이어야 한다. 바람직하게는, 수분 함량은 대략 0%(w/w) 내지 대략 0.001%(w/w)(10 ppmw) 범위이다. 필요한 경우, 용매는 P2O5 상에서의 재환류, 분자체를 이용한 처리 또는 진공 하의 가열과 같은 표준 기법을 이용하여 합성 이전에 건조될 수 있다.
실란 반응물은 HX 또는 X2 반응물에 첨가된다. 실란 반응물은 하기 화학식을 갖는다:
SixHyRz
상기 식에서, x는 1~4이고; y는 1~9이고; z는 1~9이고; y와 z의 합은 2x + 2이고; R은 불포화 C4 내지 C8 환형 탄화수소 또는 헤테로사이클기이며, 단 C6 환형 방향족 화합물은 적어도 하나의 알킬 리간드를 포함한다. R이 C6 환형 방향족 화합물이 아닌 경우, 이는 치환되지 않을 수 있다. 대안적으로, R은 하나 이상의 포화 C1 내지 C10 알킬 리간드에 의해 치환될 수 있다. R은 사이클로부텐, 사이클로부타디에닐, 사이클로펜텐, 사이클로펜타디에닐, 사이클로헥센, 사이클로헥사디에닐, 톨루엔, 에틸벤젠, 크실렌, 메시틸렌, 듀렌, 사이클로헵텐, 사이클로헵타디엔, 트로필륨, 사이클로옥텐, 사이클로옥타디엔 또는 사이클로옥타테트라엔과 같은 임의의 불포화 환형 C4~C8 탄화수소일 수 있다. 대안적으로, R은 푸란 또는 피리딘과 같은 불포화 환형 C4~C8 헤테로사이클일 수 있다. R은 SixHaXb 및 RH 반응 생성물이 용이하게 분리될 수 있도록 선택된다.
바람직하게는, R은 톨루엔, 에틸벤젠, 크실렌, 메시틸렌 또는 듀렌과 같은 방향족 탄화수소이다. 대안적으로, 방향족 탄화수소는 또한 나프탈렌 또는 아줄렌일 수 있다. 다른 대체예에서, 방향족 탄화수소는 사이클로펜타디에닐, 트로필륨 또는 사이클로옥타테트라엔일 수 있다. 방향족 탄화수소는 비방향족 불포화 R 기에 비해 HX 및 X2 할로겐화물 또는 할로겐 반응물 및 SixHyXz 생성물에 더 반응성이며, 이는 목적하는 생성물의 수율을 증가시키고 중합체 부산물의 생성을 감소시키는데 도움을 줄 수 있다.
예시적인 실란 반응물로는 H3SiR, H5Si2R, H7Si3R 및 H9Si4R을 들 수 있다. 이들 실란 반응물은 가연성일 수 있으며, Ar, N2, He 또는 Kr과 같은 불활성 분위기 하에서 처리되어야 한다.
예시적인 H3SiR 실란 반응물은 하기 화학식을 갖는 H3Si(C6R5)를 포함한다:
Figure pct00011
상기 식에서, R1, R2, R3, R4 및 R5는 H 또는 C1 내지 C10 알킬기로부터 독립적으로 선택되며, 단 R1, R2, R3, R4 및 R5 중 적어도 하나는 H가 아니다. 보다 구체적으로, 실란 반응물은 하기 화학식을 갖는 p-톨일-실란일 수 있거나;
Figure pct00012
하기 화학식을 갖는 m-톨일 실란일 수 있거나;
Figure pct00013
하기 화학식을 갖는 o-톨일 실란일 수 있거나;
Figure pct00014
하기 화학식을 갖는 p-에틸페닐 실란일 수 있거나;
Figure pct00015
하기 화학식을 갖는 m-에틸페닐 실란일 수 있거나;
Figure pct00016
하기 화학식을 갖는 o-에틸페닐 실란일 수 있거나;
Figure pct00017
하기 화학식을 갖는 m-크실렌 실란일 수 있거나;
Figure pct00018
하기 화학식을 갖는 o-크실렌 실란일 수 있다.
Figure pct00019
실란 반응물은 구매 가능할 수 있다. 대안적으로, 실란 반응물은 RMgBr을 SixCl2x+1과 반응시켜 RSixCl2x+1을 형성하고, RSixCl2x+1을 수소화알루미늄리튬으로 환원시킴으로써 합성될 수 있다. AlCl3은 촉매로서 사용되어 RSixCl2x+1을 형성하는데 도움을 줄 수 있다. 새로 합성된 실란 반응물은 증류를 이용하여 정제될 수 있다. 실란 반응물은 대략 95%(w/w) 내지 대략 100%(w/w) 범위, 바람직하게는 대략 98%(w/w) 내지 대략 100%(w/w) 범위의 순도를 가질 것이다.
개시된 합성 반응은 촉매의 도움으로 진행될 수 있다. 예시적인 촉매로는 아세트산에틸, 아세트산팔라듐(II), 산화트리페닐포스핀, 아세톤 또는 이들의 조합을 들 수 있다. 이들 촉매는 구매 가능하다. 촉매는 대략 95%(w/w) 내지 대략 100%(w/w) 범위, 바람직하게는 대략 99%(w/w) 내지 대략 100%(w/w) 범위의 순도를 갖는다.
HX 또는 X2 할로겐화물 또는 할로겐 반응물의 첨가 이전에 실란/촉매 혼합물을 형성하기 위해 실란 반응물은 촉매와 혼합될 수 있다. 대안적으로, 실란 반응물은 HX 또는 X2 할로겐화물 또는 할로겐 반응물에 첨가된 후, 촉매가 첨가될 수 있다. 아세트산팔라듐 촉매는 합성 반응 도중에 소비되지 않는다. 대조적으로, 아세트산에틸 촉매는 합성 반응 도중에 소비된다. 따라서, 촉매가 할로겐화물 또는 할로겐 반응물과 반응하고, 그 결과 목적하는 생성물의 수율 감소를 초래하기 때문에, HX 또는 X2 할로겐화물 또는 할로겐 반응물에 대한 아세트산에틸 촉매의 직접 첨가는 추천되지 않는다. 대조적으로, 아세트산팔라듐 촉매는 나머지 반응물의 첨가 이전에 반응기에 직접 첨가될 수 있거나, 이는 HX 또는 X2 할로겐화물 또는 할로겐 반응물에 직접 첨가될 수 있다. 바람직하게는, 아세트산팔라듐은 I2와 함께 반응기에 직접 첨가된다.
실란 반응물은 HX 또는 X2 반응물에 대한 첨가 이전에 용매와 혼합될 수 있다. 대안적으로, 어떠한 용매도 필요하지 않을 수 있다. 예시적인 용매로는 톨루엔, 사이클로헥산, n-헵탄 및 이들의 혼합물과 같은 탄화수소를 들 수 있다. 대안적으로, 용매는 메틸 퍼플루오로프로필 에테르, 메틸 노나플루오로부틸 에테르, 에틸 노나플루오로부틸 에테르, 1,1,1,2,2,3,4,5,5,5-데카플루오로-3-메톡시-4-(트리플루오로메틸)-펜탄, 3-에톡시-1,1,1,2,3,4,4,5,5,6,6,6-도데카플루오로- 2-트리플루오로메틸-헥산, C5F10H2 및 이들의 혼합물과 같은 하이드로플루오로에테르일 수 있다. 하이드로플루오로에테르 용매는 불연성이다. 예시적인 하이드로플루오로에테르로는 Novec HFE 7100 및 Novec HFE 7200이란 상표명으로 3M사에 의해 판매되는 메틸 노나플루오로부틸 에테르와 에틸 노나플루오로부틸 에테르의 혼합물을 들 수 있다. C5F10H2는 Vertrel이란 상표명으로 듀퐁(DuPont)사로부터 구매 가능하다. 용매는 대략 95%(w/w) 내지 대략 100%(w/w) 범위, 바람직하게는 대략 99%(w/w) 내지 대략 100%(w/w) 범위의 순도를 갖는다.
할로겐화물 또는 할로겐 및 실란 반응물의 몰비는 목적하는 생성물의 형성을 최적화하고/하거나 목적하는 생성물의 정제를 단순화하기 위해 요구되는 바에 따라, 대략 10:1 내지 대략 1:10의 범위, 바람직하게는 2:1 내지 1:2의 범위, 보다 바람직하게는 1:1의 범위일 수 있다.
실란 반응물은 그 자체로 또는 촉매 및/또는 용매와 혼합되어 HX 또는 X2 반응물에 첨가된다. 반응은 발열성이다. 따라서, 실란 반응물은 서서히 첨가되어야 한다. 바람직하게는, SiH2I2 합성에 있어서 반응 온도는 대략 -20℃ 내지 대략 15℃ 범위, 보다 바람직하게는 대략 -8℃ 내지 대략 5℃ 범위의 온도로 유지되어야 한다. 보다 높은 온도에서, HI 중간체는 증발할 수 있으며, 그 결과 수율 손실을 초래할 수 있다. 그 결과, 첨가는 느리다. 배치 크기(batch size)에 따라, 첨가는 수 시간이 걸릴 수 있다. 당업자라면 대안적인 온도 범위가 상이한 SixHaXb 무기 실란 생성물에 대해 요구될 수 있는 것으로 인지할 것이다.
실란 반응물의 첨가 이후, 무기 실란(즉, SixHaXb)과 RH 반응 생성물의 혼합물을 생성하기 위해 얻어진 혼합물은 추가의 6 내지 15시간 동안 교반된다.
또한 반응은 실란 반응물(가능하게는 용매에 희석됨)을 할로겐화물 또는 할로겐 반응물(가능하게는 용매에 현탁됨)과 함께 공급하고, 통류식 반응기(flow through reactor)에서 제어된 잔류 시간 및 온도에서 이들을 통과시킴으로써 연속 반응기에서 수행될 수 있다. 각각의 반응물의 흐름은 연동 펌프와 같은 계량 펌프에 의해 제어될 수 있다. 이어 반응 혼합물은 수납 용기에 수집될 수 있고, 위의 배치 합성 실시예에서와 같이 분리될 수 있다. 대안적으로, 임의의 고체 부분은, 예를 들어 원심분리 펌프(구매 가능함)를 이용하여 일렬로 제거될 수 있다. 또한 생성물은 여과된 분획을 연속 증류 또는 결정화 유닛에 연속적으로 공급함으로써 용매(들)로부터 분리될 수 있다.
무기 실란은 여과, 승화, 증류 또는 결정화에 의해 반응 생성물 혼합물로부터 분리되거나 단리될 수 있다. 예를 들어, 무기 실란 및 RH 반응 생성물의 융점이 유의하게 다른 경우, 혼합물은 2개 중 하나가 고체 또는 액체이고, 다른 하나가 액체 또는 가스인 온도까지 가열되거나 냉각될 수 있으며, 단 온도는 생성물에 악영향을 미치지 않는다.
무기 실란이 고체인 경우, 반응 생성물은 불순물을 제거하고 무기 실란 생성물을 수득하기 위해 여과될 수 있다. 생성물은 펜탄과 같은 용매를 이용하여 추출될 수 있다. 전형적인 필터로는 유리 또는 중합체 프릿 필터를 들 수 있다. 이어 무기 실란은 실온 초과의 온도 범위, 바람직하게는 25℃ 내지 150℃의 범위, 보다 바람직하게는 30℃ 내지 120℃의 범위, 더욱 더 바람직하게는 50℃ 내지 70℃의 범위에서 승화를 이용하여 단리될 수 있다. 대안적으로, 무기 실란은 감압, 바람직하게는 대략 30 mTorr 내지 대략 750 Torr 범위에서 승화를 이용하여 단리될 수 있다. 다른 대체예에서, 승화는 승온 및 감압 둘 모두 하에서 발생할 수 있다.
대안적으로, 무기 실란이 액체인 경우, 반응 생성물은 고체 부산물을 제거하기 위해 여과될 수 있다. 공정을 개선시키기 위해 규조토 무수물과 같은 여과제가 이용될 수 있다. 전형적인 필터로는 유리 또는 중합체 프릿 필터를 들 수 있다. 이러한 단계는 무기 실란을 생성하기에 충분할 수 있다.
종종, 여액은 추가적인 가공이 필요할 수 있다. 예를 들어, 여액이 고체 물질의 이종성 현탁액을 생성하는 경우, 그때 플래시 증류 공정(flash distillation process)을 통해 무기 실란을 생성하기 위해 여액은 단경로 칼럼(short path column) 상에서 증류될 수 있으며, 이때 상기 공정에 의해 원치 않은 반응 생성물 또는 불순물 일부 또는 전부가 제거된다. 대안적으로, 무기 실란은 여액을 무기 실란의 대략적인 비등점까지 가열함으로써 증류 칼럼을 통해 여액으로부터 단리될 수 있다. 다른 대체예에서, 플래시 공정 및 증류 칼럼 둘 모두가 필요할 수 있다. 당업자라면 무기 실란이 반응 생성물로부터 단리됨에 따라 반응 생성물의 비등점이 변화되고, 따라서 회수 온도를 조정할 것이라는 것을 인지할 것이다. 임의의 실란 반응물은 증류 칼럼을 통해 배출될 수 있다. 당업자라면 배출된 실란 반응물이 추후의 사용 또는 처분을 위해 회수될 수 있다는 것을 인지할 것이다.
개시된 방법에 의해 대략 60%(몰/몰) 내지 대략 95%(몰/몰), 바람직하게는 대략 90%(몰/몰) 내지 대략 95%(몰/몰)의 실란 반응물이 무기 실란 반응 생성물로 전환될 수 있다. 불순물은 RH 반응 생성물, HX 및 SixHaXb 유사체를 포함할 수 있다.
무기 실란 반응 생성물은 증류, 승화 또는 재결정화에 의해 추가로 정제될 수 있다. 정제된 무기 실란은 대략 97%(몰/몰) 내지 대략 100%(몰/몰) 범위, 바람직하게는 대략 99%(몰/몰) 내지 대략 100%(몰/몰) 범위의 순도를 갖는다. 정제된 무기 실란은 바람직하게는 검출 한계 내지 100 ppbw의 금속 오염물질(예를 들어, 적어도 Al, Ca, Cr, Cu, Fe, Mg, Ni, K, Na, Ti, Zn 등)을 포함한다. 정제된 무기 실란 반응 생성물 중의 X(여기서 X는 Cl, Br 또는 I임)의 농도는 대략 0 ppmw 내지 대략 100 ppmw 범위, 보다 바람직하게는 대략 0 ppmw 내지 대략 10 ppmw 범위일 수 있다. 적합한 증류 방법으로는 상압 분별 증류, 배치 분별 증류 또는 감압 분별 증류를 들 수 있다. 배치 분별 증류는 낮은 온도 및 압력에서 수행될 수 있지만, 바람직하게는 대기압에서 수행된다. 대안적으로, 무기 실란 반응 생성물은 순차적인 단계에서 저비점 및 고비점 불순물 둘 모두로부터 무기 실란을 분리하기 위해 2개의 증류 칼럼 상에서 연속 증류에 의해 정제될 수 있다.
증류가 UHP 디요오도실란을 단리하는데 효과적이지 못한 경우에 이용될 수 있는 중요한 대안적인 정제 기법은 분별 결정화이다. 이 같은 실시예는, 원료인 p-톨일실란이 p-클로로톨루엔으로 오염되고, 이들 개개의 비등점(162℃ 대 147℃)을 고려할 때 조질의 합성 생성물의 증류가 디요오도실란으로부터 불활성 p-클로로톨일실란을 제거하는데 실패한 경우이다. 이러한 경우, 분별 결정화는 동일한 부피의 펜탄에 DIS/p-클로로톨루엔 혼합물을 용해하고, 혼합물을 -70 내지 -20℃, 바람직하게는 -40℃의 온도까지 냉각시킴으로써 수행된다. 디요오도실란은 백색 결정성 고체로서 얻어지고, 불순물인 p-클로로톨루엔은 펜탄과 함께 상층액 층에 용해된 채로 남아 있다. 이러한 상층액의 후속적인 디캔테이션(decantation)에 의해 p-클로로톨루엔(0%(w/w) 내지 100 ppmw)이 없는 UHP의 디요오도실란이 수득된다.
하기 실시예에서 나타내는 바와 같이, 정제된 생성물은 이의 순도를 나타내는 가스 크로마토그래피 질량 분석법(GCMS)에 의해 분석될 수 있다. 생성물의 구조는 1H, 13C 및/또는 29Si NMR에 의해 확인될 수 있다.
도 1은 개시된 합성 방법이 수행될 수 있는 장치의 개략도이다. 예를 들어, 요오드(I2) 및 용매, 예를 들어 톨루엔 또는 메틸 노나플루오로부틸 에테르와 에틸 노나플루오로부틸 에테르의 혼합물은 바닥 배수관(bottom drain; 101) 및 패들 교반기(102)가 구비된 재킷 유리 반응기(jacketed glass reactor; 100)에 첨가된다. 재킷은 초기에는 반응기(100)를 대략 -20℃ 내지 대략 15℃ 범위, 바람직하게는 대략 -8℃ 내지 대략 5℃ 범위의 온도에서 유지한다. 요오드는 톨루엔에서 난용성이고, 에테르 혼합물에서 더 난용성이다. 그 결과, 패들 교반기(102)는 초기에는 단순히 반응기(100)의 함유물을 교반한다.
p-톨일 실란 및 아세트산에틸은 N2와 같은 불활성 분위기 하에 혼합된다. 얻어진 실란/촉매 혼합물은 격막 펌프(diaphragm pump), 연동 펌프 또는 시린지 펌프(syringe pump)와 같은 액체 계량 펌프(105)를 통해 반응기(100)에 첨가된다. 온도 센서(미도시)는 용기(100)의 함유물의 온도를 모니터링한다. 반응의 발열 특성으로 인해, 반응기(100)의 내용물의 온도가 대략 -20℃ 내지 대략 15℃, 보다 바람직하게는 대략 -8℃ 내지 대략 5℃에서 유지되는 것을 보장하기 위해 센서는 첨가 도중에 액체 계량 펌프(105)와 연통한다. 패들 교반기(102)는 실란/촉매 혼합물의 첨가 도중 및 첨가 이후에 반응기(100)의 함유물을 계속해서 혼합한다.
반응에 의해 HI 중간체(pTolSiH3 + I2 → pTolSiH2I + HI; pTolSiH3 + HI → SiH3I + 톨루엔; SiH3I + I2 → SiH2I2 + HI; pTolSiH2I + HI → SiH2I2 + 톨루엔)가 생성된다. 반응 혼합물에서 빠져나오는 임의의 가스 HI는 응축기(110)에서 축합되며, 이때 응축기(110)는 버블러(bubbler; 111), N2 라인(112), 스크러버(scrubber; 113) 및 환기구(114)와 연결되어 있다. 응축기(110)에는 단독으로 또는 사이클로헥사논 또는 이소프로필 알코올과의 조합으로 드라이아이스(dry ice)와 같은 차가운 혼합물이 담겨 있으며, 이때 상기 혼합물은 HI 가스를 액화시켜 이를 주변 환경으로 빠져나가는 것을 방지한다.
첨가가 완료되면, 용기의 온도는 대략 -78℃ 내지 대략 -20℃ 범위의 온도까지 감소한다. 반응의 진행은, 예를 들어 가스 크로마토그래피를 이용하여 모니터링될 수 있다. 반응이 완료되면, 우세한 반응 생성물은 톨루엔 및 SiH2I2로서 둘 모두는 표준 온도 및 압력에서 액체이며, 소량의 HI, (MePh)SiIH2, SiH3I 및 SiHI3 불순물이 존재한다.
톨루엔은 벤젠보다 독성이 낮다. 그러나 이의 비등점("bp")은 SiH2I2의 bp에 더 가깝다(SiH2I2의 bp는 대략 147℃이고; 톨루엔의 bp는 대략 111℃이고; 벤젠의 bp는 대략 85℃임). 그 결과, 증류를 이용한 톨루엔 및 SiH2I2의 분리가 어렵다. 적합한 증류 장치는 길 것이며, 수율의 희생 없이 별도의 효율을 증가시키기 위해 플루오르화 에틸렌 프로필렌(FEP) 포장재 또는 유리 비드와 같은 구조화 포장 재료를 포함시킬 필요가 있을 수 있다. 또한 당업자라면 적합한 순도 요건을 갖는 생성물을 수득하기 위해 다수 번의 증류가 필요할 수 있다는 것을 인지할 것이다. 그 결과, 당업자라면 톨루엔이 벤젠보다 독성이 낮을지라도 선행 기술의 벤젠을 톨루엔으로 교체하는 경우에 상업적 성공을 기대하지 않을 것이다. 톨루엔으로부터 SiH2I2 무기 실란을 증류하여 전자 산업에 사용하기에 적합한 순도를 구현하기 위해 너무나 많은 시간이 요구될 것이다.
톨루엔 및 SiH2I2 반응 생성물은 결정화에 의해 분리될 수 있다. 톨루엔의 융점(-93℃)은 SiH2I2의 융점(-1℃)보다 훨씬 더 낮다. 결정화를 이용한 벤젠으로부터의 분리는 어렵거나 불가능할 것이며, 이때 벤젠은 SiH2I2의 융점에 매우 근접한 융점(즉, 5.5℃)을 갖는다. 반응기(100)의 온도는 SiH2I2 생성물의 융점 미만(즉, 대략 -20℃ 내지 대략 -78℃의 온도)까지 감소될 수 있다. 고체 SiH2I2 생성물은 반응기(100)의 바닥에 가라앉는다. 이러한 대체예에서, 톨루엔 및 SiH2I2 반응 생성물은 보다 신속하고 효과적으로 분리된다. 일단 반응기(100)가 냉각되면, SiH2I2 생성물은 매우 신속하게 결정화된다. 톨루엔은 액체로 남아있고, 배수관(101)을 통해 용매 수집기(104)로 옮겨질 수 있다. 배수관(101)은 반응기(100) 내에 고체 SiH2I2 생성물을 보유하기 위해 필터(미도시)를 포함한다. 이어 SiH2I2 생성물이 용융하고 또한 배수관(101)을 통해 제거될 수 있도록 반응기(100)의 온도는 대략 15℃ 내지 대략 30℃까지 증가될 수 있다. SiH2I2 생성물이 증류 장치(300)의 포트(pot; 200)로 전환되도록 밸브(103)가 개폐(switching)된다. 증류 장치(300)는 응축기(301) 및 2개의 별도의 플라스크(302 및 303)를 포함한다. 응축기로부터의 생성물에 휘발성 불순물이 풍부함에 따라 이를 플라스크(302)로 전환하여 증류 공정 초기에 응축기 생성물을 수집한다. 소정의 시간 이후, 응축기 생성물은 플라스크(303)로 전환되며, 이때 플라스크(303)에는 목적하는 정제된 생성물이 담겨있다. 당업자라면 상기 전환을 구현하기 위해 밸브와 같은 개폐 기구(미도시)가 사용되며, 정상적인 공정 최적화에 의해 물질이 플라스크(302) 내에 수집될 최고의 시기 및 양을 결정할 것임을 인지할 것이다. SiH2I2 결정은 증류 단독에 의해 단리된 생성물보다 적은 양의 톨루엔 부산물을 함유한다. 그 결과, 전자 산업에서 요구되는 순도를 달성하기 위해 SiH2I2 생성물의 1회 증류만이 요구된다.
후술하는 실시예에서 예시되는 바와 같이, 개시된 공정에 의해 반응 생성물 혼합물에서 SiH2I2 및 톨루엔이 선택적으로 형성된다. 반응 생성물 혼합물은 소량의 SiH3I 및 SiHI3 부산물을 함유한다.
본 출원인은 Br2가 I2에 유사하게 반응할 것이라는 것을 믿고 있다. 또한 본 출원인은 반응 속도론(reaction kinetics)이 유사할 것이기 때문에, 개시된 공정이 폴리실란(즉, Si2, Si3)에 대해 성공적으로 수행될 수 있다는 것을 믿고 있다. 당업자라면 Si-Si 결합의 개열(cleavage)과 아렌 가양성자 분해(arene protonolysis) 사이의 경쟁으로 인해 공정 매개변수의 최적화 및/또는 대안적인 용매의 사용이 요구될 수 있다는 것을 인지할 것이다. 생성물 수율은 임의의 형성된 고체를 제거하고, 할로겐 스크램블링(halogen scrambling) 및 부반응을 방지하기 위해 잔류 시간 및 온도를 최적화함으로써 추가로 최대화될 수 있다.
정제된 무기 실란은 대략 95%(w/w) 내지 대략 100%(w/w) 범위, 바람직하게는 대략 98%(w/w) 내지 대략 100%(w/w) 범위, 보다 바람직하게는 대략 99%(w/w) 내지 대략 100%(w/w) 범위의 순도를 갖는다. 그 결과, 정제된 무기 실란은 전자 산업에서의 기상 증착에 적합한 Si-함유 막 형성 조성물이다. Si-함유 막 형성 조성물은 대략 0.0%(w/w) 내지 0.2%(w/w), 바람직하게는 0.0%(w/w) 내지 0.1%(w/w)의 톨루엔을 함유한다. Si-함유 막 형성 조성물은 대략 0.0%(w/w) 내지 0.1%(w/w), 바람직하게는 0.0%(w/w) 내지 0.05%(w/w)의 SiH3I를 함유한다. Si-함유 막 형성 조성물은 대략 0.0%(w/w) 내지 0.2%(w/w), 바람직하게는 0.0%(w/w) 내지 0.1%(w/w)의 SiHI3을 함유한다. Si-함유 막 형성 조성물은 대략 0.0%(w/w) 내지 0.1%(w/w), 바람직하게는 0.0%(w/w) 내지 0.05%(w/w)의 HI를 함유한다.
상기에서 상세하게 논의된 바와 같이, 정제된 무기 실란은 깨끗한 건식 저장 용기에서 저장되어야 하며, 이때 무기 실란은 이의 순도를 유지하기 위해 저장 용기와 반응하지 않는다.
개시된 합성 방법의 이점은 하기와 같다:
· 인간 발암물질인 벤젠의 생성을 방지하고;
· 1단계-1포트 반응이고;
· 공정에는 용매가 없을 수 있고;
· 용매는 불연성일 수 있고;
· 단순한 정제이다.
기상 증착 방법용으로 개시된 무기 실란을 사용하는 방법이 또한 개시되어 있다. 개시된 방법은 실리콘-함유 막의 증착을 위한 무기 실란의 용도를 제공한다. 개시된 방법은 반도체, 광전지, LCD-TFT 또는 평판형 장치의 제조에 유용할 수 있다. 방법은 개시된 무기 실란의 증기를 내부에 기판이 배치된 반응기 내로 도입하는 단계; 및 증착 공정을 통해 개시된 무기 실란의 적어도 일부분을 기판 상에 증착하여 Si-함유 층을 형성하는 단계를 포함한다.
또한 개시된 방법은 기상 증착 공정을 이용하여 기판 상에 바이메탈(bimetal) 함유층을 형성하는 단계를 제공하고, 보다 구체적으로는 SiMOx 또는 SiMNx 막의 증착을 제공하며, 여기서 x는 0~4일 수 있고, M은 Ta, Nb, V, Hf, Zr, Ti, Al, B, C, P, As, Ge, 란탄족 원소(예를 들어, Er) 또는 이들의 조합이다.
기판 상에 실리콘 함유층을 형성하는 개시된 방법은 반도체, 광전지, LCD-TFT 또는 평판형 장치의 제조에 유용할 수 있다. 개시된 무기 실란은 당해 기술분야에 공지된 임의의 기상 증착 방법을 이용하여 Si-함유 막을 증착할 수 있다. 적합한 기상 증착 방법의 예로는 화학적 기상 증착(CVD) 또는 원자층 증착(ALD)을 들 수 있다. 예시적인 CVD 방법으로는 열적 CVD, 플라즈마 강화 CVD(PECVD), 펄스 CVD(PCVD), 저압 CVD(LPCVD), 대기압아래 CVD(SACVD) 또는 대기압 CVD(APCVD), 유동성 CVD(f-CVD), 금속 유기 화학적 기상 증착(MOCVD), 열선 CVD(HWCVD; 열선이 증착 공정을 위한 에너지 공급원으로 작용하는 촉매적 CVD(cat-CVD)로도 공지됨), 라디칼 병합 CVD 및 이들의 조합을 들 수 있다. 예시적인 ALD 방법으로는 열적 ALD, 플라즈마 강화 ALD(PEALD), 공간적 단리 ALD, 열선 ALD(HWALD), 라디칼 병합 ALD 및 이들의 조합을 들 수 있다. 초임계 유체 증착이 또한 사용될 수 있다. 증착 방법은 바람직하게는 적당한 단차 피복(step coverage) 및 막 두께 제어를 제공하기 위해 ALD, 공간적 ALD 또는 PE-ALD이다.
무기 실란의 증기는 기판이 들어 있는 반응 챔버 내로 도입된다. 반응 챔버 내의 온도 및 압력 및 기판의 온도는 기판 상에 무기 실란의 적어도 일부분을 기상 증착하기에 적합한 조건으로 유지된다. 다시 말해, 기화된 조성물을 챔버 내로 도입한 후, 챔버 내의 조건은 기화된 전구체의 적어도 일부분이 기판 상에 증착되어 실리콘-함유 막을 형성하는 조건이다. 또한 Si 함유층을 형성하는데 도움이 되도록 공반응물(co-reactant)이 사용될 수 있다.
반응 챔버는 평행판형 반응기, 냉벽형 반응기, 고온벽형 반응기, 단일 웨이퍼 반응기, 다중 웨이퍼 반응기 또는 기타 이 같은 유형의 증착 시스템과 같이 증착 방법이 일어나는 장치의 임의의 인클로저(enclosure) 또는 챔버일 수 있지만, 이에 제한되지 않는다. 이들 예시적인 반응 챔버 모두는 ALD 반응 챔버로서 작용할 수 있다. 반응 챔버는 약 0.5 mTorr 내지 약 760 Torr 범위의 압력으로 유지될 수 있다. 게다가, 반응 챔버 내의 온도는 약 20℃ 내지 약 700℃의 범위일 수 있다. 당업자라면 목적하는 결과를 구현하기 위해 단순한 실험을 통해 온도를 최적화할 수 있다는 것을 인지할 것이다.
반응기의 온도는 기판 홀더(substrate holder)의 온도를 제어하거나 반응기 벽의 온도를 제어함으로써 제어될 수 있다. 기판을 가열하기 위해 사용된 장치는 당해 기술분야에 공지되어 있다. 반응기 벽은 충분한 성장 속도에서 목적하는 물리적 상태 및 조성으로 목적하는 막을 수득하기에 충분한 온도까지 가열된다. 반응기 벽이 가열될 수 있는 비제한적인 예시적인 온도 범위는 대략 20℃ 내지 대략 700℃를 포함한다. 플라즈마 증착 공정이 이용되는 경우, 증착 온도는 대략 20℃ 내지 대략 550℃의 범위일 수 있다. 대안적으로, 열적 공정이 수행되는 경우, 증착 온도는 대략 300℃ 내지 대략 700℃의 범위일 수 있다.
대안적으로, 기판은 충분한 성장 속도에서 목적하는 물리적 상태 및 조성으로 목적하는 실리콘-함유 막을 수득하기에 충분한 온도까지 가열될 수 있다. 기판이 가열될 수 있는 비제한적인 예시적인 온도 범위는 150℃ 내지 700℃를 포함한다. 바람직하게는, 기판의 온도는 500℃ 이하로 유지된다.
실리콘-함유 막이 증착될 기판의 유형은 의도된 최종 용도에 따라 달라질 것이다. 기판은 일반적으로 공정이 수행되는 물질로서 정의된다. 기판은 반도체, 광전지, 평면 패널 또는 LCD-TFT 장치의 제조에 사용되는 임의의 적합한 기판일 수 있다. 적합한 기판의 예로는 실리콘, 실리카, 유리, Ge 또는 GaAs 웨이퍼와 같은 웨이퍼를 들 수 있다. 웨이퍼는 이전 제조 단계에서 상이한 물질들이 상부에 증착된 하나 이상의 층을 구비할 수 있다. 예를 들어, 웨이퍼는 실리콘 층(결정성, 비정질, 다공성 등), 산화규소 층, 질화규소 층, 옥시질화규소 층, 탄소가 도핑된 산화규소(SiCOH) 층 또는 이들의 조합을 포함할 수 있다. 게다가, 웨이퍼는 구리 층, 텅스텐 층 또는 금속 층(예를 들어 백금, 팔라듐, 니켈, 로듐 또는 금)을 포함할 수 있다. 웨이퍼는 망간, 산화망간, 탄탈룸, 질화탄탈룸 등과 같은 장벽층을 포함할 수 있다. 층은 평면이거나 패터닝될 수 있다. 일부 실시형태에서, 기판은 패터닝된 포토레지스트 막으로 코팅될 수 있다. 일부 실시형태에서, 기판은 MIM, DRAM 또는 FeRam 기술에서 유전체 물질(예를 들어, ZrO2계 물질, HfO2계 물질, TiO2계 물질, 희토류 산화물계 물질, 삼원 산화물(ternary oxide)계 물질 등)로서 사용되는 산화물의 층, 또는 구리와 저유전체 층 사이의 전자 이동 차단막(electromigration barrier) 및 접착층으로서 사용되는 질화물계 막(예를 들어, TaN)을 포함할 수 있다. 개시된 공정에서는 실리콘 함유층을 웨이퍼 상에 직접 증착할 수 있거나, 웨이퍼 상부에 있는 층들 중 하나 이상의 층(패터닝된 층이 기판을 형성하는 경우) 상에 직접 증착할 수 있다. 더욱이, 당업자라면 본원에서 사용된 "막" 또는 "층"이란 용어가 표면 상에 놓여 있거나 표면 상에 도말된 소정 두께의 일부 물질을 지칭한다는 것을 인지할 것이며, 표면이 트렌치(trench) 또는 라인(line)일 수 있다는 것을 인지할 것이다. 명세서 및 특허청구범위 전반에서 웨이퍼 및 그 상부의 임의의 연관된 층이 기판으로 지칭된다. 또한 사용되는 실제 기판은 사용되는 특정 전구체 실시형태에 의존할 수 있다. 다양한 실례 전반에서 사용되는 바람직한 기판은 수소화 탄소, TiN, SRO, Ru 및 Si형 기판, 예를 들어 폴리실리콘 또는 결정성 실리콘 기판으로부터 선택될 것이다.
기판은 높은 종횡비(aspect ratio)를 갖는 바이어스(vias) 또는 트렌치를 포함하도록 패터닝될 수 있다. 예를 들어, SiO2와 같은 등각성 Si-함유 막은 대략 20:1 내지 대략 100:1 범위의 종횡비를 갖는 실리콘 관통전극(through silicon via; TSV) 상에 임의의 ALD 기법을 이용하여 증착될 수 있다.
무기 실란은 그 자체로서 공급될 수 있다. 대안적으로, 무기 실란은 기상 증착에서의 사용에 적합한 용매를 추가로 포함할 수 있다. 그 중에서도, 용매는 C1~C16 포화 또는 불포화 탄화수소로부터 선택될 수 있다.
기상 증착에 있어서, 무기 실란은 배관 및/또는 유량계와 같은 통상적인 수단에 의해 증기 형태로 반응기 내에 도입된다. 증기 형태는 운반 가스(carrier gas)의 부재 하에 직접적 액체 주입, 직접적 증기 인출(direct vapor draw)과 같은 통상적인 기화 단계를 통해 무기 실란을 기화시키거나, 액체를 통해 운반 가스를 버블링(bubbling)하거나, 액체를 통한 버블링 없이 운반 가스 중의 증기를 기화시키거나, 전구체가 실온에서 고체인 경우에는 쉬(Xu) 등에게 허여된 PCT 공개공보 제WO2009/087609호에 개시된 것과 같은 승화기(sublimator)를 이용함으로써 생성될 수 있다. 무기 실란은 반응기에 도입되기 전에 기화되고 운반 가스와 혼합되는 기화기(vaporizer)에 액체 상태로 공급될 수 있다(직접적 액체 주입). 대안적으로, 무기 실란은 실란을 함유하고 있는 용기 내로 운반 가스를 전달함으로써 기화되거나, 운반 가스를 실란 내로 버블링함으로써 기화될 수 있다. 운반 가스로는 Ar, He 또는 N2 및 이들의 혼합물을 들 수 있지만, 이에 제한되지 않는다. 이어 운반 가스 및 무기 실란은 증기로서 반응기 내에 도입된다.
필요한 경우, 용기는 무기 실란이 이의 액체상으로 있도록 하고, 충분한 증기압을 갖도록 하는 온도까지 가열될 수 있다. 용기는, 예를 들어 0~150℃ 범위의 온도로 유지될 수 있다. 당업자라면 용기의 온도가 무기 실란의 기화량을 제어하기 위해 공지된 방식으로 조절될 수 있다는 것을 인지한다.
개시된 조성물 이외에, 반응 가스가 또한 반응기 내에 도입될 수 있다. 반응 가스는 O2; O3; H2O; H2O2와 같은 산화제; O· 또는 OH·; NO; NO2와 같은 산소-함유 라디칼; 포름산, 아세트산, 프로피온산과 같은 카복실산; NO, NO2 또는 카복실산의 라디칼 종; 파라-포름알데하이드; 및 이들의 혼합물일 수 있다. 바람직하게는, 산화제는 O2, O3, H2O, H2O2, 이들의 산소-함유 라디칼(예를 들어, O· 또는 OH·) 및 이들의 혼합물로 이루어진 군으로부터 선택된다. 바람직하게는, ALD 공정이 수행되는 경우, 공반응물은 플라즈마 처리 산소, 오존 또는 이들의 조합이다. 산화 가스가 사용되는 경우, 얻어진 실리콘-함유 막은 또한 산소를 함유할 것이다.
대안적으로, 반응 가스는 H2, NH3, (SiH3)3N, 하이드리도실란(예를 들어, SiH4, Si2H6, Si3H8, Si4H10, Si5H10, Si6H12), 클로로실란 및 클로로폴리실란(예를 들어, SiHCl3, SiH2Cl2, SiH3Cl, Si2Cl6, Si2HCl5, Si3Cl8), 알킬실란(예를 들어, Me2SiH2, Et2SiH2, MeSiH3, EtSiH3), 히드라진(예를 들어, N2H4, MeHNNH2, MeHNNHMe), 유기 아민(예를 들어, NMeH2, NEtH2, NMe2H, NEt2H, NMe3, NEt3, (SiMe3)2NH), 에틸렌디아민, 디메틸에틸렌디아민, 테트라메틸에틸렌디아민과 같은 디아민, 피라졸린, 피리딘, B-함유 분자(예를 들어, B2H6, 트리메틸보론, 트리에틸보론, 보라진(borazine), 치환된 보라진, 디알킬아미노보란), 알킬 금속(예를 들어, 트리메틸알루미늄, 트리에틸알루미늄, 디메틸아연, 디에틸아연), 이들의 라디칼 종 또는 이들의 혼합물일 수 있다. H2 또는 무기 Si-함유 가스가 사용되는 경우, 얻어진 실리콘-함유 막은 순수한 Si일 수 있다.
대안적으로, 반응 가스는 포화 또는 불포화, 선형, 분지형 또는 환형인 탄화수소, 예를 들어 에틸렌, 아세틸렌, 프로필렌, 이소프렌, 사이클로헥산, 사이클로헥센, 사이클로헥사디엔, 펜텐, 펜틴, 사이클로펜탄, 부타디엔, 사이클로부탄, 테르피넨, 옥탄, 옥탄 또는 이들의 조합일 수 있지만, 이에 제한되지 않는다.
반응 가스를 이의 라디칼 형태로 분해하기 위해 반응 가스는 플라즈마로 처리될 수 있다. 또한 N2는 플라즈마로 처리되는 경우에 환원제로서 이용될 수 있다. 예를 들어, 플라즈마는 약 50 W 내지 약 500 W, 바람직하게는 약 100 W 내지 약 200 W 범위의 전력을 사용하여 생성될 수 있다. 플라즈마는 반응기에서 생성되거나 반응기 자체 내에 존재할 수 있다. 대안적으로, 플라즈마는 일반적으로 반응기로부터 제거되는 위치, 예를 들어 원격 위치한 플라즈마 시스템 내에 있다. 당업자라면 이 같은 플라즈마 처리에 적합한 방법 및 장치를 인지할 것이다.
또한 목적하는 실리콘-함유 막은, 예를 들어 이에 제한되지 않지만 B, P, As, Zr, Hf, Ti, Nb, V, Ta, Al, Si 또는 Ge와 같은 다른 원소를 함유한다.
무기 실란 및 하나 이상의 공반응물은 반응 챔버에 동시에(화학적 기상 증착), 연속적으로(원자층 증착) 또는 기타 조합으로 도입될 수 있다. 예를 들어, 무기 실란은 하나의 펄스로 도입될 수 있으며, 2개의 부가적인 금속 공급원은 별도의 펄스로 함께 도입될 수 있다(개질된 원자층 증착). 대안적으로, 반응 챔버는 무기 실란의 도입 이전에 이미 공반응물을 함유할 수 있다. 공반응물은 반응 챔버에 위치하거나 반응 챔버로부터 원격으로 위치한 플라즈마 시스템을 통과하여 라디칼로 분해될 수 있다. 대안적으로, 무기 실란은 기타 전구체 또는 반응물이 펄스에 의해 도입되는 동안에 반응 챔버에 연속적으로 도입될 수 있다(펄스 화학적 기상 증착). 다른 대체예에서, 무기 실란 및 하나 이상의 공반응물은 몇몇 웨이퍼가 거치된 서셉터(susceptor)가 회전하고 있는 샤워 헤드(shower head)로부터 동시에 분무될 수 있다(공간적 ALD).
하나의 비제한적인 예시적인 원자층 증착 공정에서, 무기 실란의 증기상(vapor phase)은 반응 챔버 내로 도입되며, 여기서 이는 적합한 기판과 접촉하게 된다. 이어 과량의 조성물은 반응 챔버를 퍼징(purging) 및/또는 배기(evacuation)함으로써 반응 챔버로부터 제거될 수 있다. 산소 공급원은 반응 챔버 내로 도입되며, 여기서 이는 흡수된 무기 실란과 자기 제한 방식으로 반응한다. 과량의 임의의 산소 공급원은 반응 챔버를 퍼징 및/또는 배기함으로써 반응 챔버로부터 제거된다. 목적하는 막이 산화규소 막인 경우, 이러한 2단계 공정은 목적하는 막 두께를 제공할 수 있거나, 필요한 두께를 갖는 막이 수득될 때가지 반복될 수 있다.
대안적으로, 목적하는 막이 실리콘 금속/준금속 산화물 막(즉, SiMOx(여기서 x는 0~4일 수 있고, M은 B, Zr, Hf, Ti, Nb, V, Ta, Al, Si, Ga, Ge 또는 이들의 조합임))인 경우, 상술한 2단계 공정을 수행한 후, 금속- 또는 준금속-함유 전구체의 증기를 반응 챔버 내로 도입할 수 있다. 금속- 또는 준금속-함유 전구체는 증착될 실리콘 금속/준금속 산화물 막의 특성에 따라 선택될 것이다. 반응 챔버 내로 도입한 후, 금속- 또는 준금속-함유 전구체는 기판과 접촉한다. 과량의 임의의 금속- 또는 준금속-함유 전구체는 반응 챔버를 퍼징 및/또는 배기함으로써 반응 챔버로부터 제거된다. 한 번 더, 산소 공급원은 반응 챔버 내에 도입되어 금속- 또는 준금속-함유 전구체와 반응할 수 있다. 과량의 산소 공급원은 반응 챔버를 퍼징 및/또는 배기함으로써 반응 챔버로부터 제거된다. 목적하는 막 두께가 구현되는 경우, 공정은 종료될 수 있다. 그러나 보다 두꺼운 막이 요구되는 경우, 4단계 공정 전체를 반복할 수 있다. 무기 실란, 금속- 또는 준금속-함유 전구체 및 산소 공급원을 제공하는 것을 번갈아 함으로써 목적하는 조성 및 두께를 갖는 막을 증착할 수 있다.
게다가, 펄스의 횟수를 변경함으로써 목적하는 화학량적 M:Si 비율을 갖는 막을 수득할 수 있다. 예를 들어, SiMO2 막은 무기 실란의 1회 펄스 및 금속- 또는 준금속-함유 전구체의 1회 펄스를 갖도록 함으로써 수득될 수 있으며, 이때 각각의 펄스가 인가된 후, 산소 공급원의 펄스가 인가된다. 그러나 당업자라면 목적하는 막을 수득하기 위해 요구되는 펄스의 횟수는 얻어진 막의 화학량적 비율과 동일하지 않을 수 있다는 것을 인지할 것이다.
상술한 공정으로부터 얻어진 실리콘-함유 막은 SiO2; SiC; SiN; SiON; SiOC; SiONC; SiBN; SiBCN; SiCN; SiMO; SiMN을 포함할 수 있으며, 이때 M은 물론 M의 산화 상태에 따라 Zr, Hf, Ti, Nb, V, Ta, Al, Ge로부터 선택된다. 당업자라면 적절한 무기 실란 및 공반응물의 공정한 선택에 의해 목적하는 막 조성물이 수득될 수 있다는 것을 인지할 것이다.
목적하는 막 두께를 구현할 때 막에는 열적 어닐링, 퍼니스 어닐링(furnace annealing), 급속 열적 어닐링, UV 또는 전자선 경화 및/또는 플라즈마 가스 노출과 같은 추가적인 가공이 적용될 수 있다. 당업자라면 이들 부가적인 가공 단계를 수행하기 위해 이용되는 시스템 및 방법을 인지한다. 예를 들어, 실리콘-함유 막은 불활성 분위기, H-함유 분위기, N-함유 분위기 또는 이들의 조합 하에 대략 0.1초 내지 대략 7,200초 범위의 시간 동안 대략 200℃ 내지 대략 1000℃ 범위의 온도에 노출될 수 있다. 가장 바람직하게는, 온도는 3,600초 미만 동안 600℃이다. 더욱 더 바람직하게는, 온도는 400℃ 미만이다. 어닐링 단계는 증착 공정이 수행되는 동일한 반응 챔버에서 수행될 수 있다. 대안적으로, 기판은 어닐링/플래쉬 어닐링(flash annealing) 공정이 별도의 장치에서 수행되는 상태에서 반응 챔버로부터 제거될 수 있다. 임의의 상기 후처리 방법, 특히 UV-경화 방법은 막의 연결성 및 가교를 향상시키는데 효과적이고, 막이 SiN-함유 막인 경우에 막의 H 함량을 줄이는데 효과적인 것으로 밝혀져 있다. 전형적으로, 가장 높은 밀도를 갖는 막을 수득하기 위해 400℃ 미만(바람직하게는 약 100℃~300℃)까지의 열적 어닐링과 UV 경화의 조합이 사용된다.
실시예
하기 비제한적인 실시예는 본 발명의 실시형태를 추가로 예시하기 위해 제공된다. 그러나 실시예는 모두 내포하는 것으로 의도되지 않으며, 본원에 개시된 본 발명의 범주를 제한하기 위해 의도된 것은 아니다.
실시예 1: 디(요오도)실란(SiH2I2)의 합성
도 2에 도시된 장비와 유사한 장비를 이용하여 합성을 수행하였다. 기계적 교반기(11), 액체 투입 깔때기(12), 열전대(thermocouple; 13), 드라이아이스 응축기(14) 및 고체 투입구(열전대(13)로 교체됨)가 구비된 5 ℓ의 4구 플라스크(10)를 요오드(2,042 g, 8.05몰) 및 톨루엔(360 ㎖)으로 충전하였다. 드라이아이스 응축기(14)를 스크러버(15) 및 불활성 가스라인(16)에 연결하였다. 고체 투입구를 유리 마개로 교체하고, 드라이아이스/이소프로필 알코올 냉각조(17)의 도움으로 플라스크(10) 및 함유물을 -10℃까지 냉각시켰다. 교반은 400 RPM에서 시작되었다.
p-톨일실란(1,181 ㎖, 8.45몰) 중의 아세트산에틸(9.89 ㎖, 0.1몰) 용액을 제조하고, 캐뉼라(cannula; 미도시)를 통해 액체 투입 깔때기(12)로 옮겼다. -6℃ 내지 +6℃의 반응 온도가 유지되는 속도로 아세트산에틸/p-톨일실란 용액을 5.5시간에 걸쳐 적가하였다. 냉각조(17)의 온도를 -65℃로 조절하고, 드라이아이스 응축기(14)를 재충전하였으며, 혼합물은 실온까지 서서히 가온하면서 추가의 15시간 동안 교반하도록 하였다.
가스 크로마토그래피(GC) 분석에 따르면 조질의 반응 혼합물은 모노요오도실란(3.63%); 톨루엔(50.98%); 디요오도실란(40.06%); 트리요오도실란(1.1%) 및 파라-톨일요오도실란(1.26%)을 포함하는 것으로 나타났다.
플라스크(100)의 함유물을 -60℃까지 냉각시키고, 진공 캐뉼라 이송을 통해 상층액을 부었으며, 그 결과 대부분의 톨루엔이 제거되고, 디요오도실란이 풍부한 혼합물이 얻어졌다.
진공 하에서 결정화된 잔류물의 후속적인 분별 증류에 의해 불순물 및 잔류 톨루엔이 제거되었으며, 그 결과 초고순도(UHP; 99.45%)의 디요오도실란이 얻어졌다.
실시예 2: 디(요오도)실란(SiH2I2)의 합성
도 2의 5 ℓ의 4구 플라스크(10)를 Novec HFE 7100이란 상표명으로 3M사에 의해 판매되는 메틸 노나플루오로부틸 에테르와 에틸 노나플루오로부틸 에테르의 혼합물(756 ㎖) 및 요오드(4,350.4 g, 17.14몰)로 충전하였다. 드라이아이스/이소프로필 알코올 냉각조(17)의 도움으로 플라스크(10) 및 이의 함유물을 -10℃까지 냉각시켰다. 교반은 400 RPM에서 시작되었다.
p-톨일실란(2,514 ㎖, 17.99몰) 중의 아세트산에틸(21.84 ㎖, 0.22몰) 용액을 제조하고, 캐뉼라를 통해 액체 투입 깔때기(12)로 옮겼다. -6℃ 내지 +6℃의 반응 온도가 유지되는 속도로 p-톨일실란 용액을 8시간에 걸쳐 적가하였다. 첨가를 완료한 후, 냉각조(17)를 -65℃로 조절하고, 드라이아이스 응축기(14)를 재충전하였으며, 혼합물은 실온까지 서서히 가온하면서 추가의 15시간 동안 교반하도록 하였다.
GC 분석에 따르면 조질의 반응 혼합물이 모노요오도실란(3.5%); 톨루엔(36%); 디요오도실란(47%); 트리요오도실란(2.6%) 및 파라-톨일요오도실란(2.9%)을 포함하는 것으로 나타났다.
진공 하에서 결정화된 잔류물의 후속적인 분별 증류에 의해 불순물 및 잔류 톨루엔이 제거되었으며, 그 결과 UHP가 99% 초과인 디요오도실란이 얻어졌다.
실시예 3: 물질 상용성
작은 물질 조각을 SiH2I2에 침지하고, 유리 압력관 내에 밀봉하고, 소정의 기간 동안 소정의 온도에서 광의 부재 하에 유지하였다. 초기 대조군 검정(initial control assay)은 GCMS 피크 적분(GCMS peak integration)에 기초하여 1.3%의 SiH(Me)I2 및 1.6%의 SiHI3과 함께 96.9% SiH2I2였다. 그 결과가 하기에 제공되어 있으며, 이에 따르면 SiH2I2의 안정성을 유지하기 어려운 것으로 증명되었다. 알 수 있는 바와 같이, 표준 포장 재료 일부는 SiH2I2 생성물의 분해를 추가로 가속화하며, 그 결과 합성 및 저장용으로는 피해야 한다.
실온:
Figure pct00020
40℃:
Figure pct00021
본 발명의 특성을 설명하기 위해 본원에서 개시되고 예시되어 있는 세부사항, 재료, 단계 및 부품의 배열에 대한 다양한 부가적인 변경은, 첨부된 특허청구범위에 나타나 있는 바와 같이, 본 발명의 원리 및 범주 내에서 당업자에 의해 이루어질 수 있는 것으로 이해될 것이다. 따라서 본 발명은 상술한 실시예 및/또는 첨부된 도면에서 나타낸 특정 실시형태에 제한되는 것으로 의도된 것은 아니다.

Claims (15)

  1. 화학식 SixHaXb(여기서 X는 Cl, Br 또는 I이고; x는 1 내지 4이고; a는 1 내지 9이고; b는 1 내지 9이고; a와 b의 합은 2x + 2임)를 갖는 무기 실란을 합성하는 방법으로서,
    화학식 HX를 갖는 할로겐화물 반응물 또는 화학식 X2를 갖는 할로겐 반응물을 하기 화학식을 갖는 실란 반응물과 혼합하여 무기 실란과 RH 반응 생성물의 혼합물을 생성하는 단계를 포함하는, 무기 실란을 합성하는 방법:
    SixHyRz
    (상기 식에서, y는 1 내지 9이고; z는 1 내지 9이고; y와 z의 합은 2x + 2이고; R은 불포화 C4 내지 C8 환형 탄화수소 또는 헤테로사이클기이며, 단 C6 환형 방향족 화합물은 적어도 하나의 하이드로카르빌 리간드를 포함함).
  2. 제1항에 있어서, 상기 무기 실란은 SiH2I2이고, 상기 할로겐 반응물은 I2인, 방법.
  3. 제1항에 있어서, 상기 할로겐화물 또는 할로겐을 용매에 첨가하는 단계를 추가로 포함하는, 방법.
  4. 제3항에 있어서, 상기 용매는 하이드로플루오로에테르 또는 탄화수소 용매인, 방법.
  5. 제4항에 있어서, 상기 탄화수소 용매는 톨루엔, 사이클로헥산, n-헵탄 또는 이들의 혼합물인, 방법.
  6. 제4항에 있어서, 상기 하이드로플루오로에테르 용매는 메틸 노나플루오로부틸 에테르와 에틸 노나플루오로부틸 에테르의 혼합물인, 방법.
  7. 제1항에 있어서, 상기 실란 반응물은
    Figure pct00022
    이며, 여기서 R1, R2, R3, R4 및 R5는 H 또는 C1 내지 C10 알킬기로부터 독립적으로 선택되며, 단 R1, R2, R3, R4 및 R5 중 적어도 하나는 H가 아닌, 방법.
  8. 제7항에 있어서, 상기 실란 반응물은
    Figure pct00023
    인, 방법.
  9. 제1항에 있어서, 상기 촉매는 아세트산에틸, 아세트산팔라듐(II), 산화트리페닐포스핀, 아세톤 또는 이들의 조합인, 방법.
  10. 제1항에 있어서, 불활성 분위기 하에 상기 실란 반응물 및 촉매를 혼합하는 단계를 추가로 포함하는, 방법.
  11. 제1항에 있어서, 상기 무기 실란과 RH 반응 생성물의 혼합물을 냉각시켜 무기 실란 및 RH 반응 생성물을 분리하는 단계를 추가로 포함하는, 방법.
  12. 화학식 SixHaXb(여기서 x는 1~4이고; a는 1~9이고; b는 1~9이고; a와 b의 합은 2x + 2임)를 갖는 대략 99.0%(w/w) 내지 99.9%(w/w)의 무기 실란 전구체 및 대략 0.0%(w/w) 내지 0.001%(w/w)의 벤젠을 포함하는 Si-함유 막 형성 조성물.
  13. 제12항에 있어서, 상기 무기 실란 전구체는 SiH2I2인, Si-함유 막 형성 조성물.
  14. 제12항에 있어서, 상기 무기 실란 전구체는 SiH2Br2인, Si-함유 막 형성 조성물.
  15. 제12항에 있어서, 대략 0.0%(w/w) 내지 0.2%(w/w)의 톨루엔을 추가로 포함하는, Si-함유 막 형성 조성물.
KR1020187035213A 2016-05-19 2017-05-19 할로실란의 합성 방법 KR20180138215A (ko)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US15/159,454 2016-05-19
US15/159,454 US10106425B2 (en) 2016-05-19 2016-05-19 Synthesis methods for halosilanes
PCT/US2017/033576 WO2017201426A1 (en) 2016-05-19 2017-05-19 Synthesis methods for halosilanes

Publications (1)

Publication Number Publication Date
KR20180138215A true KR20180138215A (ko) 2018-12-28

Family

ID=56887424

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1020187035213A KR20180138215A (ko) 2016-05-19 2017-05-19 할로실란의 합성 방법

Country Status (3)

Country Link
US (1) US10106425B2 (ko)
KR (1) KR20180138215A (ko)
WO (1) WO2017201426A1 (ko)

Cited By (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR102331310B1 (ko) * 2020-11-12 2021-12-01 (주)엘케이켐 디아이오도실란의 제조 방법 및 이에 의해 제조된 조성물
KR102378804B1 (ko) * 2021-04-29 2022-03-29 아이에이씨에스코리아 유한회사 고순도의 다이요오도실란을 제조하는 방법
KR102621030B1 (ko) * 2023-07-27 2024-01-04 주식회사 아이켐스 디요오도실란의 제조방법

Families Citing this family (9)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
EP3458415A4 (en) 2016-05-19 2019-11-27 L'Air Liquide Société Anonyme pour l'Etude et l'Exploitation des Procédés Georges Claude PREPARATION OF IODOSILANES CONTAINING SI-H VIA A HALOGENURE EXCHANGE REACTION
US10384944B2 (en) 2016-05-19 2019-08-20 L'Air Liquide, Société Anonyme pour l'Etude et l'Exploitation des Procédés Georges Claude Preparation of Si—H containing iodosilanes via halide exchange reaction
DE102016014900A1 (de) * 2016-12-15 2018-06-21 Psc Polysilane Chemicals Gmbh Verfahren zur Erhöhung der Reinheit von Oligosilanen und Oligosilanverbindungen
JP6688513B2 (ja) * 2018-10-18 2020-04-28 ヤマナカヒューテック株式会社 ジヨードシランの製造方法
US11203604B2 (en) 2018-12-10 2021-12-21 Entegris, Inc. Preparation of triiodosilanes
CN110606491B (zh) * 2019-06-20 2022-07-29 天津绿菱气体有限公司 一种高纯二碘硅烷的制备方法
KR20230003543A (ko) * 2020-04-24 2023-01-06 엔테그리스, 아이엔씨. 아이오도실란의 제조 방법 및 이로부터의 조성물
EP4232410A1 (en) 2020-10-23 2023-08-30 Entegris, Inc. Method for preparing iodosilanes
WO2022226062A1 (en) * 2021-04-21 2022-10-27 Entegris, Inc. Method for purifying iodosilanes

Family Cites Families (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4985579A (en) * 1989-10-16 1991-01-15 Dow Corning Corporation Removal of hydrogen-containing silanes from organosilane mixtures
US20060084283A1 (en) 2004-10-20 2006-04-20 Paranjpe Ajit P Low temperature sin deposition methods
US9034105B2 (en) 2008-01-10 2015-05-19 American Air Liquide, Inc. Solid precursor sublimator
DE102010063823A1 (de) * 2010-12-22 2012-06-28 Evonik Degussa Gmbh Verfahren zur Herstellung von Hydridosilanen

Cited By (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR102331310B1 (ko) * 2020-11-12 2021-12-01 (주)엘케이켐 디아이오도실란의 제조 방법 및 이에 의해 제조된 조성물
KR102378804B1 (ko) * 2021-04-29 2022-03-29 아이에이씨에스코리아 유한회사 고순도의 다이요오도실란을 제조하는 방법
KR102621030B1 (ko) * 2023-07-27 2024-01-04 주식회사 아이켐스 디요오도실란의 제조방법

Also Published As

Publication number Publication date
US10106425B2 (en) 2018-10-23
WO2017201426A1 (en) 2017-11-23
US20160264426A1 (en) 2016-09-15

Similar Documents

Publication Publication Date Title
KR20180138215A (ko) 할로실란의 합성 방법
US10800661B2 (en) Preparation of Si-H containing iodosilanes via halide exchange reaction
JP7014753B2 (ja) ハライド交換反応によるSi-H含有ヨードシランの調製
US10501484B2 (en) Amine substituted trisilylamine and tridisilylamine compounds and synthesis methods thereof
EP3277699B1 (en) Catalyst dehydrogenative coupling of carbosilanes with amidines
JP7117461B2 (ja) 異性体富化高級シランの製造方法
US11377359B2 (en) Process for producing liquid polysilanes and isomer enriched higher silanes
US20200115238A1 (en) Process for producing isomer enriched higher silanes
CN113195506A (zh) 三碘硅烷的制备
US9738971B2 (en) Vapor deposition methods to form group 8-containing films

Legal Events

Date Code Title Description
E902 Notification of reason for refusal
E601 Decision to refuse application