CN114318299A - 用于沉积含硅膜的有机氨基官能化线性和环状低聚硅氧烷 - Google Patents

用于沉积含硅膜的有机氨基官能化线性和环状低聚硅氧烷 Download PDF

Info

Publication number
CN114318299A
CN114318299A CN202111618166.6A CN202111618166A CN114318299A CN 114318299 A CN114318299 A CN 114318299A CN 202111618166 A CN202111618166 A CN 202111618166A CN 114318299 A CN114318299 A CN 114318299A
Authority
CN
China
Prior art keywords
plasma
dimethylamino
silicon
oxygen
reactor
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Pending
Application number
CN202111618166.6A
Other languages
English (en)
Inventor
萧满超
M·R·麦克唐纳
雷新建
王美良
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Versum Materials US LLC
Original Assignee
Versum Materials US LLC
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Versum Materials US LLC filed Critical Versum Materials US LLC
Publication of CN114318299A publication Critical patent/CN114318299A/zh
Pending legal-status Critical Current

Links

Images

Classifications

    • CCHEMISTRY; METALLURGY
    • C08ORGANIC MACROMOLECULAR COMPOUNDS; THEIR PREPARATION OR CHEMICAL WORKING-UP; COMPOSITIONS BASED THEREON
    • C08GMACROMOLECULAR COMPOUNDS OBTAINED OTHERWISE THAN BY REACTIONS ONLY INVOLVING UNSATURATED CARBON-TO-CARBON BONDS
    • C08G77/00Macromolecular compounds obtained by reactions forming a linkage containing silicon with or without sulfur, nitrogen, oxygen or carbon in the main chain of the macromolecule
    • C08G77/04Polysiloxanes
    • C08G77/22Polysiloxanes containing silicon bound to organic groups containing atoms other than carbon, hydrogen and oxygen
    • C08G77/26Polysiloxanes containing silicon bound to organic groups containing atoms other than carbon, hydrogen and oxygen nitrogen-containing groups
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/40Oxides
    • C23C16/401Oxides containing silicon
    • CCHEMISTRY; METALLURGY
    • C07ORGANIC CHEMISTRY
    • C07FACYCLIC, CARBOCYCLIC OR HETEROCYCLIC COMPOUNDS CONTAINING ELEMENTS OTHER THAN CARBON, HYDROGEN, HALOGEN, OXYGEN, NITROGEN, SULFUR, SELENIUM OR TELLURIUM
    • C07F7/00Compounds containing elements of Groups 4 or 14 of the Periodic Table
    • C07F7/02Silicon compounds
    • C07F7/025Silicon compounds without C-silicon linkages
    • CCHEMISTRY; METALLURGY
    • C07ORGANIC CHEMISTRY
    • C07FACYCLIC, CARBOCYCLIC OR HETEROCYCLIC COMPOUNDS CONTAINING ELEMENTS OTHER THAN CARBON, HYDROGEN, HALOGEN, OXYGEN, NITROGEN, SULFUR, SELENIUM OR TELLURIUM
    • C07F7/00Compounds containing elements of Groups 4 or 14 of the Periodic Table
    • C07F7/02Silicon compounds
    • C07F7/08Compounds having one or more C—Si linkages
    • C07F7/10Compounds having one or more C—Si linkages containing nitrogen having a Si-N linkage
    • CCHEMISTRY; METALLURGY
    • C07ORGANIC CHEMISTRY
    • C07FACYCLIC, CARBOCYCLIC OR HETEROCYCLIC COMPOUNDS CONTAINING ELEMENTS OTHER THAN CARBON, HYDROGEN, HALOGEN, OXYGEN, NITROGEN, SULFUR, SELENIUM OR TELLURIUM
    • C07F7/00Compounds containing elements of Groups 4 or 14 of the Periodic Table
    • C07F7/02Silicon compounds
    • C07F7/08Compounds having one or more C—Si linkages
    • C07F7/18Compounds having one or more C—Si linkages as well as one or more C—O—Si linkages
    • C07F7/1804Compounds having Si-O-C linkages
    • C07F7/1872Preparation; Treatments not provided for in C07F7/20
    • C07F7/1888Preparation; Treatments not provided for in C07F7/20 by reactions involving the formation of other Si-linkages, e.g. Si-N
    • CCHEMISTRY; METALLURGY
    • C07ORGANIC CHEMISTRY
    • C07FACYCLIC, CARBOCYCLIC OR HETEROCYCLIC COMPOUNDS CONTAINING ELEMENTS OTHER THAN CARBON, HYDROGEN, HALOGEN, OXYGEN, NITROGEN, SULFUR, SELENIUM OR TELLURIUM
    • C07F7/00Compounds containing elements of Groups 4 or 14 of the Periodic Table
    • C07F7/02Silicon compounds
    • C07F7/21Cyclic compounds having at least one ring containing silicon, but no carbon in the ring
    • CCHEMISTRY; METALLURGY
    • C08ORGANIC MACROMOLECULAR COMPOUNDS; THEIR PREPARATION OR CHEMICAL WORKING-UP; COMPOSITIONS BASED THEREON
    • C08GMACROMOLECULAR COMPOUNDS OBTAINED OTHERWISE THAN BY REACTIONS ONLY INVOLVING UNSATURATED CARBON-TO-CARBON BONDS
    • C08G77/00Macromolecular compounds obtained by reactions forming a linkage containing silicon with or without sulfur, nitrogen, oxygen or carbon in the main chain of the macromolecule
    • C08G77/04Polysiloxanes
    • C08G77/045Polysiloxanes containing less than 25 silicon atoms
    • CCHEMISTRY; METALLURGY
    • C08ORGANIC MACROMOLECULAR COMPOUNDS; THEIR PREPARATION OR CHEMICAL WORKING-UP; COMPOSITIONS BASED THEREON
    • C08GMACROMOLECULAR COMPOUNDS OBTAINED OTHERWISE THAN BY REACTIONS ONLY INVOLVING UNSATURATED CARBON-TO-CARBON BONDS
    • C08G77/00Macromolecular compounds obtained by reactions forming a linkage containing silicon with or without sulfur, nitrogen, oxygen or carbon in the main chain of the macromolecule
    • C08G77/04Polysiloxanes
    • C08G77/06Preparatory processes
    • CCHEMISTRY; METALLURGY
    • C08ORGANIC MACROMOLECULAR COMPOUNDS; THEIR PREPARATION OR CHEMICAL WORKING-UP; COMPOSITIONS BASED THEREON
    • C08LCOMPOSITIONS OF MACROMOLECULAR COMPOUNDS
    • C08L83/00Compositions of macromolecular compounds obtained by reactions forming in the main chain of the macromolecule a linkage containing silicon with or without sulfur, nitrogen, oxygen or carbon only; Compositions of derivatives of such polymers
    • C08L83/04Polysiloxanes
    • C08L83/08Polysiloxanes containing silicon bound to organic groups containing atoms other than carbon, hydrogen and oxygen
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/40Oxides
    • C23C16/401Oxides containing silicon
    • C23C16/402Silicon dioxide
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45527Atomic layer deposition [ALD] characterized by the ALD cycle, e.g. different flows or temperatures during half-reactions, unusual pulsing sequence, use of precursor mixtures or auxiliary reactants or activations
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45527Atomic layer deposition [ALD] characterized by the ALD cycle, e.g. different flows or temperatures during half-reactions, unusual pulsing sequence, use of precursor mixtures or auxiliary reactants or activations
    • C23C16/45536Use of plasma, radiation or electromagnetic fields
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45553Atomic layer deposition [ALD] characterized by the use of precursors specially adapted for ALD
    • CCHEMISTRY; METALLURGY
    • C08ORGANIC MACROMOLECULAR COMPOUNDS; THEIR PREPARATION OR CHEMICAL WORKING-UP; COMPOSITIONS BASED THEREON
    • C08GMACROMOLECULAR COMPOUNDS OBTAINED OTHERWISE THAN BY REACTIONS ONLY INVOLVING UNSATURATED CARBON-TO-CARBON BONDS
    • C08G2390/00Containers
    • C08G2390/40Inner coatings for containers

Landscapes

  • Chemical & Material Sciences (AREA)
  • Organic Chemistry (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Engineering & Computer Science (AREA)
  • General Chemical & Material Sciences (AREA)
  • Materials Engineering (AREA)
  • Mechanical Engineering (AREA)
  • Metallurgy (AREA)
  • Polymers & Plastics (AREA)
  • Medicinal Chemistry (AREA)
  • Health & Medical Sciences (AREA)
  • Inorganic Chemistry (AREA)
  • Physics & Mathematics (AREA)
  • Electromagnetism (AREA)
  • Plasma & Fusion (AREA)
  • Chemical Vapour Deposition (AREA)
  • Formation Of Insulating Films (AREA)
  • Low-Molecular Organic Synthesis Reactions Using Catalysts (AREA)
  • Other Resins Obtained By Reactions Not Involving Carbon-To-Carbon Unsaturated Bonds (AREA)
  • Silicon Polymers (AREA)

Abstract

本文公开了具有至少两个硅原子和两个氧原子以及有机氨基基团的氨基官能化线性和环状低聚硅氧烷以及用于制备所述低聚硅氧烷的方法。本文还公开了使用所述有机氨基官能化线性和环状低聚硅氧烷沉积含硅和氧的膜的方法。

Description

用于沉积含硅膜的有机氨基官能化线性和环状低聚硅氧烷
本申请是申请日为2018年2月8日、申请号为201810128979.9、发明名称为“用于沉积含硅膜的有机氨基官能化线性和环状低聚硅氧烷”的中国专利申请的分案申请。
相关申请的交叉引用
本申请根据35U.S.C.§119(e)要求2017年2月8日提交的美国临时申请序列号62/456,297和2017年10月20日提交的美国临时申请序列号62/574,952的优先权权益,其全部内容通过引用并入本文。
技术领域
本发明涉及可用于沉积含硅和氧的膜(例如氧化硅、氧碳氮化硅、氧碳化硅、碳掺杂氧化硅,以及其它含硅和氧的膜)的有机硅化合物,使用所述化合物沉积含氧化硅膜的方法以及由所述化合物和方法获得的膜。
背景技术
本文描述了新颖的有机氨基官能化线性和环状低聚硅氧烷前体化合物和包含该前体化合物以通过热原子层沉积(ALD)或等离子体增强原子层沉积(PEALD)工艺或其组合沉积含硅膜(例如但不限于氧化硅、氧氮化硅、氧碳氮化硅或碳掺杂氧化硅)的组合物和方法。更具体地,本文描述了用于在约600℃或更低(包括例如约25℃至约300℃)的一个或多个沉积温度下形成化学计量的或非化学计量的含硅膜或材料的组合物和方法。
原子层沉积(ALD)和等离子体增强原子层沉积(PEALD)是用于在低温(<500℃)下沉积例如氧化硅保形膜的工艺。在ALD和PEALD工艺两者中,前体和反应性气体(如氧气或臭氧)被单独脉冲一定次数的循环,以在每个循环时形成氧化硅单层。然而,使用这些工艺在低温下沉积的氧化硅可能含有一定水平的杂质,例如但不限于碳(C)或氢(H),这可能在某些半导体应用中是有害的。为了解决这一问题,一个可能的解决方案是将沉积温度提高到500℃或更高。然而,在这些较高的温度下,半导体工业所使用的常规前体倾向于自反应、热分解,并以化学气相沉积(CVD)模式而不是ALD模式沉积。与ALD沉积相比,CVD模式沉积具有降低的保形性,特别是对于在许多半导体应用中需要的高纵横比结构。另外,与ALD模式沉积相比,CVD模式沉积对膜或材料厚度具有更少的控制。
可以用于通过原子层沉积(ALD)和等离子体增强原子层沉积(PEALD)工艺在相对低的温度(<300℃)下和以相对高的每循环生长(Growth Per Cycle)(GPC>
Figure BDA0003437149440000021
/循环)沉积含硅膜的有机氨基硅烷和氯硅烷前体在本领域中是已知的。
已知前体和方法的实例公开于以下公开出版物、专利和专利申请中。
美国专利号7,084,076 B2描述了卤素取代或NCO取代的二硅氧烷前体使用碱催化ALD工艺沉积氧化硅膜的用途。
美国公布号2015087139 AA描述了使用氨基官能化碳硅烷通过热ALD或PEALD工艺沉积含硅膜的用途。
美国专利号9,337,018 B2描述了使用有机氨基乙硅烷通过热ALD或PEALD工艺沉积含硅膜的用途。
美国专利号8,940,648 B2、9,005,719 B2和8,912,353 B2描述了使用有机氨基硅烷通过热ALD或PEALD工艺沉积含硅膜的用途。
美国公布号2015275355 AA描述了使用单和双(有机氨基)烷基硅烷通过热ALD或PEALD工艺沉积含硅膜的用途。
美国公布号2015376211 A描述了使用单(有机氨基)取代的、卤素取代的和假卤化物(pseudohalido-)取代的三甲硅烷基胺通过热ALD或PEALD工艺沉积含硅膜的用途。
公布号WO15105337和美国专利号9,245,740 B2描述了使用烷基化三甲硅烷基胺通过热ALD或PEALD工艺沉积含硅膜的用途。
公布号WO15105350描述了使用具有至少一个Si-H键的四元环环二硅氮烷通过热ALD或PEALD工艺沉积含硅膜的用途。
美国专利号7,084,076 B2描述了卤素取代的或NCO取代的二硅氧烷前体使用碱催化ALD工艺沉积氧化硅膜的用途。
前文确定的专利和专利申请的公开内容通过引入并入本文。
本领域需要用于以高的每循环生长(GPC)沉积含氧化硅膜的前体和方法,以使半导体制造设施中的生产量最大化。尽管某些前体能够以>
Figure BDA0003437149440000031
/循环的GPC进行沉积,但这些前体具有缺点,如低质量的膜(元素污染、低密度、不良的电性质、高湿蚀刻速率)、高工艺温度、需要催化剂、昂贵、产生低保形膜等缺点。
发明内容
本发明通过提供含硅和含氧的前体,特别是有机氨基官能化线性和环状低聚硅氧烷(其具有至少两个硅和两个氧原子以及用于将低聚硅氧烷单元锚定到衬底表面的有机氨基基团)作为沉积含硅和氧的膜的工艺的部分而解决与常规前体和工艺相关的问题。本发明公开的多硅前体与上述背景技术部分中描述的那些相比具有新颖的结构,且因此可以提供在涉及前体合成的成本或便利性、前体的物理性质(包括热稳定性、反应性或挥发性)、沉积含硅膜的工艺或沉积的含硅膜的性质的一个或多个方面的优势。
本发明公开了组合物,其包含选自式A、B、C、D和E的至少一种有机氨基官能化低聚硅氧烷化合物:
Figure BDA0003437149440000041
其中R1选自C1至C10直链烷基、C3至C10支链烷基、C3至C10环状烷基、C3至C10杂环基、C3至C10烯基、C3至C10炔基和C4至C10芳基;R2选自氢、C1至C10直链烷基、C3至C10支链烷基、C3至C10环状烷基、C3至C10杂环基、C3至C10烯基、C3至C10炔基和C4至C10芳基,其中R1和R2连接形成环状环结构或不连接形成环状环结构;R3-10各自独立地选自氢、C1至C10直链烷基、C3至C10支链烷基、C3至C10环状烷基、C2至C10烯基、C2至C10炔基和C4至C10芳基;和X选自C1至C10直链烷基、C3至C10支链烷基、C3至C10环状烷基、C2至C10烯基、C2至C10炔基、C4至C10芳基、C1至C10酰基、胺基(-NR1R2)、H、Cl、Br、I、F、C2至C12羧酸酯基、C1至C10直链或支链烷氧基、三甲基甲硅烷氧基、二甲基甲硅烷氧基、甲基甲硅烷氧基和甲硅烷氧基,其中R1和X连接形成环状环或不连接形成环状环,其中如果在式C中R1-8全部是甲基,则X不可以是三甲基甲硅烷氧基,并且其中如果在式D中R1-10全部是甲基,则X不可以是甲基或二甲基氨基。
本文描述了用于使用含氧反应物源、含氮反应物源或其组合,在等离子体增强ALD(PEALD)、等离子体增强循环化学气相沉积(PECCVD)、可流动化学气相沉积(FCVD)、等离子体增强可流动化学气相沉积(PEFCVD)、等离子体增强ALD样工艺(plasma enhanced ALD-like)或ALD工艺中,在相对低的温度下(例如,在600℃或更低的一个或多个温度下),沉积化学计量的或非化学计量的含硅和氧的材料或膜(例如但不限于氧化硅、碳掺杂氧化硅、氧氮化硅膜或碳掺杂氧氮化硅膜)的方法。
在一个方面,本发明公开了用于将包含硅和氧的膜沉积到衬底上的方法,所述方法包括以下步骤:(a)在反应器中提供衬底;(b)向所述反应器中引入选自式A、B、C、D和E的至少一种硅前体化合物,
Figure BDA0003437149440000051
其中R1选自C1至C10直链烷基、C3至C10支链烷基、C3至C10环状烷基、C3至C10杂环基、C3至C10烯基、C3至C10炔基和C4至C10芳基;R2选自氢、C1至C10直链烷基、C3至C10支链烷基、C3至C10环状烷基、C3至C10杂环基、C3至C10烯基、C3至C10炔基和C4至C10芳基,其中R1和R2连接形成环状环结构或不连接形成环状环结构;R3-10各自独立地选自氢、C1至C10直链烷基、C3至C10支链烷基、C3至C10环状烷基、C2至C10烯基、C2至C10炔基和C4至C10芳基;X选自C1至C10直链烷基、C3至C10支链烷基、C3至C10环状烷基、C2至C10烯基、C2至C10炔基、C4至C10芳基、C1至C10酰基、胺基(-NR1R2)、H、Cl、Br、I、F、C2至C12羧酸酯基、C1至C10直链或支链烷氧基、三甲基甲硅烷氧基、二甲基甲硅烷氧基、甲基甲硅烷氧基或甲硅烷氧基,其中R1和X连接形成环状环或不连接形成环状环;(c)用吹扫气体吹扫所述反应器;(d)向所述反应器中引入含氧源和含氮源中的至少一种;和(e)用所述吹扫气体吹扫所述反应器,其中重复步骤b至e直至沉积期望厚度的膜;并且其中所述方法在约25℃至600℃范围内的一个或多个温度下进行。
制备上述化合物的方法也在本文中公开。
本发明的实施方式可以单独或彼此组合使用。
附图说明
图1提供了对于在300℃下的臭氧工艺,相对于2-二甲基氨基-2,4,6,8-四甲基环四硅氧烷的前体脉冲次数的热ALD的GPC。
图2提供了对于2-二甲基氨基-2,4,6,8-四甲基环四硅氧烷,相对于前体脉冲时间的PEALD氧化硅沉积的GPC。
图3提供了对于2-二甲基氨基-2,4,6,8-四甲基环四硅氧烷,相对于O2等离子体暴露时间的PEALD氧化硅沉积的GPC。
图4提供了在层流反应器中由2-二甲基氨基-2,4,6,8-四甲基环四硅氧烷沉积的PEALD氧化硅膜的TEM图像,证明优异的阶梯覆盖性(step coverage)且2-二甲基氨基-2,4,6,8-四甲基环四硅氧烷可以是PEALD氧化硅的良好前体,因为它具有锚定基团。
图5提供了在喷淋头室中,对于TMCTS,相对于前体脉冲时间的PEALD氧化硅沉积的GPC,表明TMCTS不适合于原子层沉积。
图6提供了在喷淋头室中由TMCTS沉积的氧化硅膜的TEM图像,显示TMCTS不适合于良好的阶梯覆盖性,且因此进一步证明其不适合作为用于原子层沉积的前体。
图7提供了在喷淋头室中由2-二甲基氨基-2,4,6,8-四甲基环四硅氧烷沉积的PEALD氧化硅膜的TEM图像,证明优异的阶梯覆盖性且2-二甲基氨基-2,4,6,8-四甲基环四硅氧烷可以是PEALD氧化硅的良好前体,因为它具有锚定基团。
具体实施方式
除非本文另行指出或明显与上下文矛盾,否则在描述本发明的上下文中(特别是在下面的权利要求书的上下文中)使用术语“一个/种(a)”、“一个/种(an)”和“该/所述(the)”以及类似的指代被解释为涵盖单数和复数两者。除非另有说明,否则术语“包含”、“具有”、“包括”和“含有”被解释为开放式术语(即,意味着“包括但不限于”)。除非本文另行指出,否则本文中数值范围的记载仅仅旨在作为单独指代落入该范围内的每个单独数值的速记方法,并且每个单独数值被包含在说明书中,就像其在本文中单独陈述一样。除非本文另行指出或另外明显与上下文矛盾,否则本文描述的所有方法可以以任何合适的顺序执行。除非另有声明,否则本文提供的任何和所有实例或示例性语言(例如,“如”)的使用仅旨在更好地说明本发明,而非对本发明的范围加以限制。说明书中没有任何语言应被解释为将任何未要求保护的要素表示为对于实施本发明是必要的。
本文描述了涉及采用约600℃或更低,或约25℃至约600℃,并且在一些实施方式中25℃至约300℃的一个或多个温度,形成包含硅和氧的化学计量的或非化学计量的膜或材料(例如但不限于氧化硅、碳掺杂氧化硅膜、氧氮化硅或碳掺杂氧氮化硅膜,或其组合)的组合物和方法。本文所述的膜在沉积工艺(例如原子层沉积(ALD)或ALD样工艺,例如但不限于等离子体增强ALD(PEALD)或等离子体增强循环化学气相沉积工艺(PECCVD),可流动化学气相沉积(FCVD),或等离子体增强可流动化学气相沉积(PEFCVD))中沉积。本文所述的低温沉积(例如,从约环境温度至600℃范围内的一个或多个沉积温度)方法提供表现出以下优点中的至少一个或多个的膜或材料:约2.1g/cc或更高的密度,低化学杂质,在热原子层沉积、等离子体增强原子层沉积(ALD)工艺或等离子体增强ALD样工艺中的高保形性,调节所得膜中的碳含量的能力;和/或当在0.5重量%的稀HF中测量时,膜具有5埃每秒
Figure BDA0003437149440000071
或更低的蚀刻速率。对于碳掺杂氧化硅膜,除了其它特征(例如但不限于约1.8g/cc或更高或者2.0g/cc或更高的密度)之外,大于1%碳是期望的,以调节在0.5重量%稀HF中的蚀刻速率至低于
Figure BDA0003437149440000081
的值。
本文公开的方法可以使用本领域已知的设备实施。例如,方法可以使用半导体制造领域中常规的反应器。
不希望受到任何理论或解释的束缚,据信本文公开的前体组合物的效能可以随硅原子和特别是硅原子键的数量而变化。本文公开的前体通常具有3至5个硅原子和5至8个硅-氧键。
本文公开的前体具有与本领域中已知的结构不同的结构,且因此能够比常规含硅前体具有更好的性能和提供相对高的GPC,从而产生更高质量的膜,具有有利的湿蚀刻速率或具有更低的元素污染。
本文公开了用于使用气相沉积工艺沉积选自氧化硅、碳掺杂氧化硅或碳氧氮化硅膜的膜的组合物,所述组合物包含具有式A、式B、式C、式D或式E的化合物:
Figure BDA0003437149440000082
其中R1选自C1至C10直链烷基、C3至C10支链烷基、C3至C10环状烷基、C3至C10杂环基、C3至C10烯基、C3至C10炔基和C4至C10芳基;R2选自氢、C1至C10直链烷基、C3至C10支链烷基、C3至C10环状烷基、C3至C10杂环基、C3至C10烯基、C3至C10炔基和C4至C10芳基,其中R1和R2连接形成环状环结构或不连接形成环状环结构;R3-10各自独立地选自氢、C1至C10直链烷基、C3至C10支链烷基、C3至C10环状烷基、C2至C10烯基、C2至C10炔基和C4至C10芳基;和X选自C1至C10直链烷基、C3至C10支链烷基、C3至C10环状烷基、C2至C10烯基、C2至C10炔基、C4至C10芳基、C1至C10酰基、胺基(-NR1R2)、H、Cl、Br、I、F、C2至C12羧酸酯基、C1至C10直链或支链烷氧基、三甲基甲硅烷氧基、二甲基甲硅烷氧基、甲基甲硅烷氧基和甲硅烷氧基,其中R1和X连接形成环状环或不连接形成环状环,其中如果在式C中R1-8全部是甲基,则X不可以是三甲基甲硅烷氧基,并且其中如果在式D中R1-10全部是甲基,则X不可以是甲基或二甲基氨基。
在优选实施方式中,在遵循上述条件的情况下,R1-10中的至少一个是C1至C4烷基。对于式A和B,优选实施方式包括其中R1-9各自是C1至C4烷基的化合物。
在上式和整个说明书中,术语“低聚硅氧烷”表示包含至少两个重复的-Si-O-硅氧烷单元,优选至少三个重复的-Si-O-硅氧烷单元的化合物,并且可以是环状或线性结构,优选是环状结构。
在上式和整个说明书中,术语“烷基”表示具有1至10个碳原子的直链或支链官能团。示例性直链烷基包括但不限于甲基、乙基、丙基、丁基、戊基和己基。示例性支链烷基包括但不限于异丙基、异丁基、仲丁基、叔丁基、异戊基、叔戊基、异己基和新己基。在某些实施方式中,烷基可以具有与其连接的一个或多个官能团,例如但不限于与其连接的烷氧基、二烷基氨基或其组合。在其它实施方式中,烷基不具有与其连接的一个或多个官能团。烷基可以是饱和的,或者是不饱和的。
在上式和整个说明书中,术语“环状烷基”表示具有3至10个碳原子的环状官能团。示例性环状烷基包括但不限于环丁基、环戊基、环己基和环辛基。
在上式和整个说明书中,术语“烯基基团”表示具有一个或多个碳-碳双键并且具有2至10或2至6个碳原子的基团。
在上式和整个说明书中,术语“二烷基氨基”基团、“烷基氨基”基团或“有机氨基”基团表示具有键合至氮原子的两个烷基基团或键合至氮原子的一个烷基并且具有1至10或2至6或2至4个碳原子的基团。实例包括但不限于HNMe、HNBut、NMe2、NMeEt、NEt2和NPri 2
在上式和整个说明书中,术语“芳基”表示具有4至10个碳原子,5至10个碳原子或6至10个碳原子的芳族环状官能团。示例性芳基包括但不限于苯基、苄基、氯苄基、甲苯基、邻二甲苯基、1,2,3-三唑基、吡咯基和呋喃基。
在整个说明书中,术语“烷基烃”是指直链或支链的C1至C20烃、环状C6至C20烃。示例性烃包括但不限于庚烷、辛烷、壬烷、癸烷、十二烷、环辛烷、环壬烷和环癸烷。
在整个说明书中,术语“烷氧基”是指C1至C10-OR1基团,其中R1如上文所定义。示例性烷氧基包括但不限于甲氧基、乙氧基、异丙氧基、正丙氧基、正丁氧基、仲丁氧基、叔丁氧基和苯氧基(phenoxide)。
在整个说明书中,术语“羧酸酯”是指C2至C12-OC(=O)R1基团,其中R1如上文所定义。示例性羧酸酯基团包括但不限于乙酸酯(-OC(=O)Me)、羧酸乙酯(-OC(=O)Et)、羧酸异丙酯(-OC(=O)iPr)和苯甲酸酯(-OC(=O)Ph)。
在整个说明书中,术语“芳族烃”是指C6至C20芳族烃。示例性芳族烃包括但不限于甲苯和均三甲苯。
在上式和整个说明书中,术语“杂环”是指具有约3至约10个环原子,优选约5至约10个环原子的非芳族饱和单环或多环环体系,其中环体系中的原子中的一个或多个是除碳以外的元素,例如氮、氧或硫。优选的杂环含有约5至约6个环原子。杂环之前的前缀氮杂、氧杂(oxo)或硫杂(thio)分别表示至少一个氮、氧或硫原子作为环原子存在。杂环基团被任选地取代。
表1中列出了具有式A-E的示例性有机氨基官能化线性和环状低聚硅氧烷:
表1.具有式A-E的示例性有机氨基官能化线性和环状低聚硅氧烷,其中R1-10独立地选自氢和C1至C4烷基:
Figure BDA0003437149440000111
Figure BDA0003437149440000121
Figure BDA0003437149440000131
Figure BDA0003437149440000141
具有式A或B的化合物可以例如通过环三硅氧烷或环四硅氧烷与有机胺的催化脱氢偶联(例如,对于环三硅氧烷,反应式(1);环四硅氧烷也可以如反应式(3)中使用)或者氯化环三硅氧烷与有机胺或有机胺的金属盐的反应(例如,反应式2;氯化环四硅氧烷也可以如反应式(4)中使用)合成。
Figure BDA0003437149440000142
Figure BDA0003437149440000151
优选地,反应混合物中环三硅氧烷或环四硅氧烷与有机胺的摩尔比为约1至1.0、1至1.5、1至2、1至3、1至4或1至10。
本发明的方法中采用的催化剂在反应式(1)和(3)中是促进硅-氮键形成的催化剂。可以与本文描述的方法一起使用的示例性催化剂包括但不限于以下:碱土金属催化剂;无卤素的主族、过渡金属、镧系元素和锕系元素催化剂;和含卤素的主族、过渡金属、镧系元素和锕系元素的催化剂。
示例性碱土金属催化剂包括但不限于以下:Mg[N(SiMe3)2]2,ToMMgMe[ToM=三(4,4-二甲基-2-噁唑啉基)苯基硼酸酯],ToMMg-H,ToMMg-NR2(R=H、烷基、芳基),Ca[N(SiMe3)2]2,[(dipp-nacnac)CaX(THF)]2(dipp-nacnac=CH[(CMe)(2,6-iPr2-C6H3N)]2;X=H、烷基、硅杂烷基(carbosilyl)、有机氨基),Ca(CH2Ph)2,Ca(C3H5)2,Ca(α-Me3Si-2-(Me2N)-苄基)2(THF)2,Ca(9-(Me3Si)-芴基)(α-Me3Si-2-(Me2N)-苄基)(THF),[(Me3TACD)3Ca33-H)2]+(Me3TACD=Me3[12]aneN4),Ca(η2-Ph2CNPh)(hmpa)3(hmpa=六甲基磷酰胺),Sr[N(SiMe3)2]2,和其它M2+碱土金属-胺化物、-亚胺、-烷基、-氢化物和-硅杂烷基复合物(M=Ca、Mg、Sr、Ba)。
示例性无卤素、主族、过渡金属、镧系元素和锕系元素催化剂包括但不限于以下:1,3-二异丙基-4,5-二甲基咪唑-2-亚基,2,2'-联吡啶,菲咯啉(phenanthroline),B(C6F5)3,BR3(R=直链、支链或环状C1至C10烷基、C5至C10芳基或C1至C10烷氧基),AlR3(R=直链、支链或环状C1至C10烷基、C5至C10芳基或C1至C10烷氧基),(C5H5)2TiR2(R=烷基、H、烷氧基、有机氨基、硅杂烷基),(C5H5)2Ti(OAr)2[Ar=(2,6-(iPr)2C6H3)],(C5H5)2Ti(SiHRR')PMe3(其中R、R'各自独立的选自H、Me、Ph),TiMe2(dmpe)2(dmpe=1,2-双(二甲基膦基)乙烷),双(苯)铬(0),Cr(CO)6,Mn2(CO)12,Fe(CO)5,Fe3(CO)12,(C5H5)Fe(CO)2Me,Co2(CO)8,乙酸Ni(II),乙酰丙酮酸镍(II),Ni(环辛二烯)2,[(dippe)Ni(μ-H)]2(dippe=1,2-双(二异丙基膦基)乙烷),(R-茚基)Ni(PR'3)Me(R=1-iPr、1-SiMe3、1,3-(SiMe3)2;R'=Me、Ph),[{Ni(η-CH2:CHSiMe2)2O}2{μ-(η-CH2:CHSiMe2)2O}],乙酸Cu(I),CuH,[三(4,4-二甲基-2-噁唑啉基)苯基硼酸酯]ZnH,(C5H5)2ZrR2(R=烷基、H、烷氧基、有机氨基、硅杂烷基),Ru3(CO)12,[(Et3P)Ru(2,6-二三甲苯基(mesityl)硫代苯酚酯)][B[3,5-(CF3)2C6H3]4],[(C5Me5)Ru(R3P)x(NCMe)3-x]+(其中R选自直链、支链或环状C1至C10烷基和C5至C10芳基;x=0、1、2、3),Rh6(CO)16,三(三苯基膦)铑(I)羰基氢化物,Rh2H2(CO)2(dppm)2(dppm=双(二苯基膦基)甲烷),Rh2(μ-SiRH)2(CO)2(dppm)2(R=Ph、Et、C6H13),Pd/C,三(二亚苄基丙酮)二钯(0),四(三苯基膦)钯(0),乙酸Pd(II),(C5H5)2SmH,(C5Me5)2SmH,(THF)2Yb[N(SiMe3)2]2,(NHC)Yb(N(SiMe3)2)2[NHC=1,3-双(2,4,6-三甲基苯基)咪唑-2-亚基)],Yb(η2-Ph2CNPh)(hmpa)3(hmpa=六甲基磷酰胺),W(CO)6,Re2(CO)10,Os3(CO)12,Ir4(CO)12,(乙酰丙酮)二羰基铱(I),Ir(Me)2(C5Me5)L(L=PMe3,PPh3),[Ir(环辛二烯)OMe]2,PtO2(Adams催化剂),铂碳(Pt/C),钌碳(Ru/C),钌氧化铝,钯碳,镍碳,锇碳,铂(0)-1,3-二乙烯基-1,1,3,3-四甲基二硅氧烷(Karstedt催化剂),双(三叔丁基膦)铂(0),Pt(环辛二烯)2,[(Me3Si)2N]3U][BPh4],[(Et2N)3U][BPh4],和其他无卤素Mn+复合物(M=Sc、Ti、V、Cr、Mn、Fe、Co、Ni、Cu、Zn、Y、Zr、Nb、Mo、Ru、Rh、Pd、La、Ce、Pr、Nd、Pm、Sm、Eu、Gd、Tb、Dy、Ho、Er、Tm、Yb、Lu、Hf、Ta、W、Re、Os、Ir、Pt、U;n=0、1、2、3、4、5、6)。上文列出的催化剂以及纯的贵金属(如钌、铂、钯、铑、锇)也可以被附着在载体上。载体是具有高表面积的固体。典型的载体材料包括但不限于:氧化铝、MgO、沸石、碳、整体式堇青石、硅藻土、硅胶、二氧化硅/氧化铝、ZrO和TiO2。优选的载体是碳(例如,铂碳、钯碳、铑碳、钌碳)、氧化铝、二氧化硅和MgO。催化剂的金属负载在约0.01重量%至约50重量%的范围内。优选的范围是约0.5重量%至约20重量%。更优选的范围是约0.5重量%至约10重量%。需要活化的催化剂可以通过许多已知方法活化。在真空下加热催化剂是优选的方法。催化剂可以在加入反应容器之前或在反应容器中加入反应物之前活化。催化剂可以含有促进剂。促进剂是本身不是催化剂,但是当以少量与活性催化剂混合时增加它们的效率(活性和/或选择性)的物质。促进剂通常是金属如Mn、Ce、Mo、Li、Re、Ga、Cu、Ru、Pd、Rh、Ir、Fe、Ni、Pt、Cr、Cu和Au和/或其氧化物。它们可以被单独添加到反应容器中,或者它们可以是催化剂本身的部分。例如,Ru/Mn/C(由锰促进的钌碳)或Pt/CeO2/Ir/SiO2(由二氧化铈和铱促进的铂氧化硅)。一些促进剂可以本身用作催化剂,但是它们与主催化剂结合使用可以改善主催化剂的活性。催化剂可以充当其他催化剂的促进剂。在这种情况下,催化剂可以被称为双金属(或多金属)催化剂。例如,Ru/Rh/C可以被称为钌铑碳双金属催化剂,或者铑促进的钌碳。活性催化剂是在特定化学反应中用作催化剂的物质。
示例性含卤素、主族、过渡金属、镧系元素和锕系元素催化剂包括但不限于以下:BX3(X=F、Cl、Br、I),BF3·OEt2,AlX3(X=F、Cl、Br、I),(C5H5)2TiX2(X=F、Cl),[Mn(CO)4Br]2,NiCl2,(C5H5)2ZrX2(X=F、Cl),PdCl2,PdI2,CuCl,CuI,CuF2,CuCl2,CuBr2,Cu(PPh3)3Cl,ZnCl2,[(C6H6)RuX2]2(X=Cl,Br,I),(Ph3P)3RhCl(Wilkinson催化剂),[RhCl(环辛二烯)]2,二-μ-氯-四羰基二铑(I),双(三苯基膦)铑(I)羰基氯化物,NdI2,SmI2,DyI2,(POCOP)IrHCl(POCOP=2,6-(R2PO)2C6H3;R=iPr,nBu,Me),H2PtCl6·nH2O(Speier催化剂),PtCl2,Pt(PPh3)2Cl2,和其他含卤素Mn+复合物(M=Sc、Ti、V、Cr、Mn、Fe、Co、Ni、Cu、Zn、Y、Zr、Nb、Mo、Ru、Rh、Pd、La、Ce、Pr、Nd、Pm、Sm、Eu、Gd、Tb、Dy、Ho、Er、Tm、Yb、Lu、Hf、Ta、W、Re、Os、Ir、Pt、U;n=0、1、2、3、4、5、6)。
在反应混合物中催化剂与环三硅氧烷或环四硅氧烷的摩尔比在0.1至1、0.05至1、0.01至1、0.005至1、0.001至1、0.0005至1、0.0001至1、0.00005至1或0.00001至1的范围内。在一个特别的实施方式中,每当量的环三硅氧烷或环四硅氧烷使用0.05至0.07当量的催化剂。在另一个特别的实施方式中,每当量的环三硅氧烷或环四硅氧烷使用0.00008当量的催化剂。
在某些实施方式中,包含环三硅氧烷或环四硅氧烷、有机胺和催化剂的反应混合物还包含无水溶剂。示例性溶剂可以包括但不限于直链、支链、环状或聚醚(例如四氢呋喃(THF)、二乙醚、二甘醇二甲醚和/或四甘醇二甲醚);直链、支链或环状烷烃、烯烃、芳族化合物和卤代烃(例如戊烷、己烷、甲苯和二氯甲烷)。一种或多种溶剂(如果加入的话)的选择可以受其与反应混合物内所含试剂的相容性、催化剂的溶解度和/或所选择的中间产物和/或最终产物的分离过程的影响。在其他实施方式中,反应混合物不包含溶剂。
在本文所述的方法中,环三硅氧烷或环四硅氧烷与有机胺之间的反应在约0℃至约200℃,优选0℃至约100℃范围内的一个或多个温度下进行。用于反应的示例性温度包括具有以下端点中的任何一个或多个的范围:0、10、20、30、40、50、60、70、80、90或100℃。用于该反应的合适温度范围可以由试剂和任选的溶剂的物理性质决定。特定反应器温度范围的实例包括但不限于0℃至80℃或0℃至30℃。
在本文所述的方法的某些实施方式中,反应压力可以在约1至约115psia或约15至约45psia的范围内。在其中环三硅氧烷或环四硅氧烷在环境条件下为液体的一些实施方式中,反应在大气压下进行。在其中环三硅氧烷或环四硅氧烷在环境条件下为气体的一些实施方式中,反应在高于15psia的压力下进行。
在某些实施方式中,可以将一种或多种试剂作为液体或蒸气引入到反应混合物中。在其中试剂中的一种或多种被作为蒸气添加的实施方式中,非反应性气体如氮气或惰性气体可以被用作载气以将蒸气递送至反应混合物。在其中试剂中的一种或多种被作为液体添加的实施方式中,试剂可以被未经稀释地(neat)加入,或者可以用溶剂稀释。试剂被进料到反应混合物中,直到已经实现到含有机氨基硅烷产物的粗混合物或粗液体的期望转化率。在某些实施方式中,反应可以通过补充反应物并从反应器中移除反应产物和粗液体而以连续方式进行。
包含式A-E的化合物、催化剂和可能残留的有机胺、溶剂或不期望的产物的粗混合物可能需要分离过程。合适的分离过程的实例包括但不限于蒸馏、蒸发、膜分离、过滤、气相转移、萃取、使用反相塔的分馏及其组合。
具有式C、D或E的化合物可以例如通过用例如乙酰氯、甲基碘、三甲基氯硅烷或其它类型的氯硅烷试剂使环三硅氧烷或环四硅氧烷开环以产生卤素取代的线性三硅氧烷或四硅氧烷,随后与有机胺反应而合成(例如,反应式5-7)。或者,具有式C、D或E的化合物可以通过与R1R2N-X物质,例如Me2NSiMe3直接反应而合成(例如,反应式8)。具有式C、D或E的化合物还可以通过用有机锂试剂(例如甲基锂或氨基锂)使环三硅氧烷或环四硅氧烷开环,随后与有机氨基氯硅烷或氯硅烷反应而合成(例如,反应式9-10)。由反应式5-10示例的这些开环反应可以需要存在催化剂。应注意,在其中卤代低聚硅氧烷化合物或中间体与2当量的有机胺HNR1R2反应的反应中(反应式5-7),可选地仅一当量的有机胺HNR1R2可以在叔胺如Et3N存在下使用,因此产生叔胺盐酸盐作为盐副产物。
Figure BDA0003437149440000201
Figure BDA0003437149440000211
反应式5-10中示例的合成方法还可以用具有式(vi)的环四硅氧烷起始材料进行:
Figure BDA0003437149440000221
反应式1-10是示例性制备化学过程,并不意味着以任何方式限制成具有式A、B、C、D或E的化合物的制备。
根据本发明具有式A、B、C、D或E的硅前体化合物以及包含根据本发明具有式A、B、C、D或E的硅前体化合物的组合物优选基本上不含卤离子。如本文所用,术语“基本上不含”在它涉及卤离子(或卤化物)例如氯化物(即,含氯物质,如HCl,或具有至少一个Si-Cl键的硅化合物)及氟化物、溴化物和碘化物时意味着通过ICP-MS测量为小于5ppm(按重量计),优选通过ICP-MS测量为小于3ppm,更优选通过ICP-MS测量为小于1ppm,最优选通过ICP-MS测量为0ppm。已知氯化物用作具有式A的硅前体化合物的分解催化剂。最终产物中显著水平的氯化物可以导致硅前体化合物降解。硅前体化合物的逐渐降解可以直接影响膜沉积过程,使得半导体制造商难以满足膜的规格。此外,保质期或稳定性受到硅前体化合物的较高降解速率的负面影响,由此使得难以保证1-2年的保质期。因此,硅前体化合物的加速分解带来与这些可燃烧和/或自燃性气体副产物的形成有关的安全性和性能问题。具有式A、B、C、D或E的硅前体化合物优选基本上不含金属离子,如Li+、Na+、K+、Mg2+、Ca2+、Al3+、Fe2+、Fe3+、Ni2 +、Cr3+。如本文所用,术语“基本上不含”在其涉及Li、Na、K、Mg、Ca、Al、Fe、Ni,Cr时意味着小于5ppm(按重量计),优选小于3ppm,更优选小于1ppm,最优选小于0.1ppm,如通过ICP-MS测量的。在一些实施方式中,具有式A的硅前体化合物不含金属离子,如Li+、Na+、K+、Mg2+、Ca2+、Al3+、Fe2+、Fe3+、Ni2+、CR3+。如本文所用,术语“不含”金属杂质在其涉及Li、Na、K、Mg、Ca、Al、Fe、Ni、Cr,贵金属(如来自合成中使用的钌或铂催化剂的挥发性Ru或Pt络合物)时意味着如通过ICP-MS或用于测量金属的其他分析方法测量的小于1ppm,优选小于0.1ppm(按重量计)。
在另一个实施方式中,提供了用于将包含硅和氧的膜沉积到衬底上的方法,所述方法包括以下步骤:
a)在反应器中提供衬底;
b)向所述反应器中引入至少一种硅前体化合物,其中所述至少一种硅前体选自式A-E:
Figure BDA0003437149440000231
其中R1独立地选自C1至C10直链烷基、C3至C10支链烷基、C3至C10环状烷基、C3至C10杂环基、C3至C10烯基、C3至C10炔基和C4至C10芳基;R2选自氢、C1至C10直链烷基、C3至C10支链烷基、C3至C10环状烷基、C3至C10杂环基、C3至C10烯基、C3至C10炔基和C4至C10芳基,其中R1和R2连接形成环状环结构或不连接形成环状环结构;R3-10各自独立地选自氢、C1至C10直链烷基、C3至C10支链烷基、C3至C10环状烷基、C2至C10烯基、C2至C10炔基和C4至C10芳基;X选自C1至C10直链烷基、C3至C10支链烷基、C3至C10环状烷基、C2至C10烯基、C2至C10炔基、C4至C10芳基、C1至C10酰基、胺基(-NR1R2)、H、Cl、Br、I、F、C2至C12羧酸酯基、C1至C10直链或支链烷氧基、三甲基甲硅烷氧基、二甲基甲硅烷氧基、甲基甲硅烷氧基或甲硅烷氧基,其中R1和X连接形成环状环或不连接形成环状环;
c)用吹扫气体吹扫所述反应器;
d)向所述反应器中引入含氧源;和
e)用所述吹扫气体吹扫所述反应器,
其中重复步骤b至e直至沉积期望厚度的膜;并且其中所述方法在约25℃至600℃范围内的一个或多个温度下进行。
本文公开的方法形成氧化硅膜,其包含以下特征中的至少一种:至少约2.1g/cc的密度;如在HF与水为1:100的稀HF酸溶液(0.5重量%的dHF)中测量的小于约
Figure BDA0003437149440000241
的湿蚀刻速率;至多6MV/cm下小于约1e-8A/cm2的漏电;和如通过二次离子质谱法(SIMS)测量的小于约5e20 at/cc的氢杂质。
在本文所述的方法和组合物的某些实施方式中,含硅电介质材料层例如采用反应室通过化学气相沉积(CVD)工艺在衬底的至少一部分上沉积。合适的衬底包括但不限于半导体材料,如砷化镓(“GaAs”),硅,和含硅的组合物,例如晶体硅、多晶硅、非晶硅、外延硅、二氧化硅(“SiO2”)、硅玻璃、氮化硅、熔凝二氧化硅、玻璃、石英、硼硅酸盐玻璃及其组合。其他合适的材料包括铬、钼和在半导体、集成电路、平板显示器和柔性显示器应用中常用的其它金属。衬底可以具有额外的层,例如硅、SiO2、有机硅酸盐玻璃(OSG)、氟化硅酸盐玻璃(FSG)、碳氮化硼、碳化硅、氢化碳化硅、氮化硅、氢化氮化硅、碳氮化硅、氢化碳氮化硅、氮化硼、有机-无机复合材料、光致抗蚀剂、有机聚合物、多孔有机和无机材料和复合材料、金属氧化物如氧化铝和氧化锗。又一些层也可以是锗硅酸盐、铝硅酸盐、铜和铝,以及扩散屏障材料,例如但不限于TiN、Ti(C)N、TaN、Ta(C)N、Ta、W或WN。
本文公开的沉积方法可以涉及一种或多种吹扫气体。用于吹扫掉未消耗的反应物和/或反应副产物的吹扫气体是不与前体反应的惰性气体。示例性吹扫气体包括但不限于氩气(Ar)、氮气(N2)、氦气(He)、氖气、氢气(H2)及其混合物。在某些实施方式中,吹扫气体例如Ar以约10至约2000sccm的流速供应到反应器中约0.1至1000秒,由此吹扫可能留在反应器中的未反应的材料和任何副产物。
吹扫气体如氩气从处理室吹扫掉未吸附的过量复合物。在充分吹扫之后,可以将氧源引入到反应室中以与吸附表面反应,接着进行另一次气体吹扫以从反应室中除去反应副产物。可以重复工艺循环以达到期望的膜厚度。在一些情况下,泵送可以代替惰性气体的吹扫,或者可以使用这两者来除去未反应的硅前体。
在整个说明书中,术语“ALD或ALD样”是指包括但不限于以下过程的工艺:a)将包括硅前体和反应性气体的各个反应物顺序地引入到反应器中,例如单晶片ALD反应器、半分批式ALD反应器或分批式炉ALD反应器;b)通过将衬底移动或旋转到反应器的不同节段使包括硅前体和反应性气体的各个反应物暴露于衬底,并且各个节段通过惰性气体帘幕隔开,即空间ALD反应器或卷对卷ALD反应器。
本发明的方法通过使用臭氧或包含等离子体的含氧源的ALD工艺进行,其中等离子体可以进一步包含惰性气体,例如以下的一种或多种:具有或不具有惰性气体的氧等离子体,具有或不具有惰性气体的水蒸汽等离子体,具有或不具有惰性气体的氮氧化物(例如N2O、NO、NO2)等离子体,具有或不具有惰性气体的碳氧化物(例如CO2、CO)等离子体,及其组合。
含氧等离子体源可以原位产生,或者远程产生。在一个特定的实施方式中,含氧源包含氧并且在方法步骤b至d期间与其他试剂(例如但不限于至少一种硅前体和任选地惰性气体)一起流动或引入。
在某些实施方式中,本文所述的—和在所公开的方法中使用的—组合物还包含溶剂。示例性溶剂可以包括但不限于醚、叔胺、烷基烃、芳族烃、叔氨基醚及其组合。在某些实施方式中,硅前体的沸点与溶剂的沸点之间的差异为40℃或更小。在一些实施方式中,组合物可以通过直接液体注射到用于含硅膜的反应室中而递送。
对于其中具有式A至E的至少一种硅前体在包含溶剂的组合物中使用的那些实施方式,所选择的溶剂或其混合物不与硅前体反应。组合物中按重量%计的溶剂量在0.5重量%至99.5重量%或10重量%至75重量%的范围内。在这个或其它实施方式中,溶剂的沸点(b.p.)与式A至E的硅前体的b.p.相似,或者溶剂的b.p.与式A至E的硅前体的b.p.之间的差异为40℃或更小,30℃或更小,或20℃或更小,或10℃。或者,沸点之间的差异在以下端点的任何一个或多个的范围内:0、10、20、30或40℃。b.p.差异的合适范围的实例包括但不限于0至40℃、20至30℃或10至30℃。组合物中合适溶剂的实例包括但不限于醚(例如1,4-二噁烷、二丁基醚),叔胺(例如吡啶、1-甲基哌啶、1-乙基哌啶、N,N'-二甲基哌嗪、N,N,N',N'-四甲基乙二胺),腈(如苯甲腈),烷基烃(如辛烷、壬烷、十二烷、乙基环己烷),芳族烃(如甲苯、均三甲苯),叔氨基醚(如双(2-二甲基氨基乙基)醚)或其混合物。
在某些实施方式中,使用本文所述的方法沉积的氧化硅或碳掺杂氧化硅膜在包含臭氧、水(H2O)(例如去离子水、纯化水和/或蒸馏水)、氧气(O2)、氧等离子体、NO、N2O、NO2、一氧化碳(CO)、二氧化碳(CO2)及其组合的含氧源的存在下形成。使含氧源通过例如原位或远程等离子体发生器以提供包含氧的含氧等离子体源,如氧等离子体、包含氧和氩的等离子体、包含氧和氦的等离子体、臭氧等离子体、水等离子体、一氧化二氮等离子体或二氧化碳等离子体。在某些实施方式中,含氧等离子体源包含以约1至约2000标准立方厘米(sccm)或约1至约1000sccm的流速引入反应器中的氧源气体。含氧等离子体源可以被引入约0.1至约100秒的时间。在一个特别的实施方式中,含氧等离子体源包含温度为10℃或更高的水。在其中膜通过PEALD或等离子体增强循环CVD工艺沉积的实施方式中,取决于ALD反应器的体积,前体脉冲可以具有大于0.01秒(例如,约0.01至约0.1秒,约0.1至约0.5秒,约0.5秒至约10秒,约0.5秒至约20秒,约1秒至约100秒)的脉冲持续时间,并且含氧等离子体源可以具有小于0.01秒(例如约0.001至约0.01秒)的脉冲持续时间。
在上述一个或多个实施方式中,含氧等离子体源选自具有或不具有惰性气体的氧等离子体、具有或不具有惰性气体的水蒸气等离子体、具有或不具有惰性气体的氮氧化物(N2O、NO、NO2)等离子体、具有或不具有惰性气体的碳氧化物(CO2、CO)等离子体及其组合。在某些实施方式中,含氧等离子体源还包含惰性气体。在这些实施方式中,惰性气体选自氩气、氦气、氮气、氢气或其组合。在替代性实施方式中,含氧等离子体源不包含惰性气体。
供应前体、氧源、和/或其他前体、源气体、和/或试剂的相应步骤可以通过改变供应它们的时间以改变所得介电膜的化学计量组成而实施。
能量被施加到式A至E的硅前体、含氧源或其组合中的至少一种以引发反应并在衬底上形成介电膜或涂层。这样的能量可以通过但不限于热、等离子体、脉冲等离子体、螺旋波等离子体、高密度等离子体、电感耦合等离子体、X射线、电子束、光子、远程等离子体方法及其组合提供。在某些实施方式中,次级RF射频源可用于改变衬底表面处的等离子体特性。在其中沉积涉及等离子体的实施方式中,等离子体发生过程可以包括直接等离子体发生过程(其中等离子体在反应器中直接产生)或者远程等离子体发生过程(其中等离子体在反应器外部产生并被供应到反应器中)。
至少一种硅前体可以以各种方式被递送至反应室,例如等离子体增强循环CVD或PEALD反应器或分批式炉型反应器。在一个实施方式中,可以使用液体递送系统。在替代性实施方式中,可以使用组合的液体递送和闪蒸处理单元,例如由MSP Corporation,Shoreview,MN制造的涡轮蒸发器,以使低挥发性材料能够被定量地(volumetrically)递送,这导致可重复的输送和沉积而不使前体热分解。在液体递送制剂中,本文所述的前体可以以纯净液体形式递送,或者可以在包含其的溶剂制剂或组合物中使用。因此,在某些实施方式中,前体制剂可以包含具有合适特性(如在给定的最终用途应用中可能期望和有利的)的溶剂组分,以在衬底上形成膜。
如前所述,至少一种硅前体的纯度水平足够地高,足以对于可靠的半导体制造是可接受的。在某些实施方式中,本文所述的至少一种硅前体包含小于2重量%,或小于1重量%,或小于0.5重量%的一种或多种以下杂质:游离胺、游离卤化物或卤素离子和较高分子量的物质。本文所述的硅前体的较高纯度水平可以通过以下过程中的一个或多个获得:纯化、吸附和/或蒸馏。
在本文所述方法的一个实施方式中,可以使用等离子体增强循环沉积工艺,例如PEALD样或PEALD,其中使用至少一种硅前体和氧等离子体源进行沉积。PEALD样工艺被定义为等离子体增强循环CVD工艺,但仍提供高保形的含硅和氧的膜。
在本发明的一个实施方式中,本文描述了用于将含硅和氧的膜沉积到衬底的至少一个表面上的方法,其中所述方法包括以下步骤:
a.在反应器中提供衬底;
b.向所述反应器中引入具有如上定义的式A至E的至少一种硅前体;
c.用吹扫气体吹扫所述反应器;
d.向所述反应器中引入包含等离子体的含氧源;和
e.用吹扫气体吹扫所述反应器。
在该方法中,重复步骤b至e直至在衬底上沉积期望厚度的膜。
在这个或其他实施方式中,应理解,本文所述方法的步骤可以以各种顺序实施,可以顺序实施,可以同时实施(例如,在另一步骤的至少一部分的期间),及其任何组合。供应前体和氧源气体的相应步骤例如可以通过改变供应它们的持续时间以改变所得介电膜的化学计量组成而实施。另外,在前体或氧化剂步骤之后的吹扫时间可以被最小化至<0.1s,使得生产量被改善。
在一个特别的实施方式中,本文所述的方法在衬底上沉积高质量的含硅和氧的膜。所述方法包括以下步骤:
a.在反应器中提供衬底;
b.向所述反应器中引入本文所述的具有式A至E的至少一种硅前体;
c.用吹扫气体吹扫反应器以除去未吸附的前体的至少一部分;
d.向所述反应器中引入含氧等离子体源;和
e.用吹扫气体吹扫所述反应器以除去未反应的氧源的至少一部分,
其中重复步骤b至e直至沉积期望厚度的含硅膜。
在另一个特别的实施方式中,本文所述的方法在高于600℃的温度下在衬底上沉积高质量的含硅和氧的膜。所述方法包括以下步骤:
a.在反应器中提供衬底;
b.向所述反应器中引入本文所述的具有式A至E的至少一种有机氨基聚硅氧烷前体;
c.用吹扫气体吹扫反应器以除去未吸附的前体的至少一部分;
d.向所述反应器中引入含氧等离子体源;和
e.用吹扫气体吹扫反应器以除去未反应的氧源的至少一部分,
其中重复步骤b至e直至沉积期望厚度的含硅膜。
据信具有式A至E(特别是其中R3-R10不是氢的式C、D和E)的有机氨基官能化线性和环状低聚硅氧烷前体对于该方法是优选的,因为它们不包含任何Si-H基团,或者Si-H基团的数量是有限的,因为Si-H基团可以在高于600℃的温度下分解并且可以潜在地导致不期望的化学气相沉积。然而,可能的是在某些条件下,例如使用短前体脉冲或低反应器压力,该方法也可以在高于600℃的温度下使用具有式A至E(其中R3-10中的任一个是氢)的有机氨基官能化线性和环状低聚硅氧烷前体进行,而没有显著的不期望的化学气相沉积。
本文公开的另一种方法使用具有如上定义的式A至E表示的化学结构的硅前体化合物加上氧源而形成碳掺杂氧化硅膜。
另一个示例性方法如下所述:
a.在反应器中提供衬底;
b.使从具有如上定义的式A至E表示的结构的至少一种硅前体化合物产生的蒸气在具有或不具有共流动的氧源的情况下接触以使所述前体化学吸附到加热的衬底上;
c.吹扫掉任何未吸附的前体;
d.将氧源引入到加热的衬底上以与吸附的前体反应;和
e.吹扫掉任何未反应的氧源,
其中重复步骤b至e直至获得期望厚度。
在另一个特别的实施方式中,本文所述的方法在衬底上沉积高质量的氧氮化硅膜。所述方法包括以下步骤:
a.在反应器中提供衬底;
b.向所述反应器中引入本文所述的具有式A至E的至少一种硅前体;
c.用吹扫气体吹扫反应器以除去未吸附的前体的至少一部分;
d.向所述反应器中引入含氮等离子体源;和
e.用吹扫气体吹扫反应器以除去未反应的氮源的至少一部分,
其中重复步骤b至e直至沉积期望厚度的含氧氮化硅膜。
另一个示例性方法如下所述:
a.在反应器中提供衬底;
b.使从具有如上定义的式A至E表示的结构的至少一种硅前体化合物产生的蒸气在具有或不具有共流动的氮源的情况下接触以使所述前体化学吸附到加热的衬底上;
c.吹扫掉任何未吸附的前体;
d.将氮源引入到加热的衬底上以与吸附的前体反应;和
e.吹扫掉任何未反应的氮源,
其中重复步骤b至e直至获得期望厚度。
可以使用各种商业ALD反应器(例如单晶片、半分批式、分批式炉或卷对卷反应器)沉积固体氧化硅、氧氮化硅、碳掺杂氧氮化硅或碳掺杂氧化硅。
本文所述方法的处理温度使用以下温度中的一个或多个作为端点:0℃、25℃、50℃、75℃、100℃、125℃、150℃、175℃、200℃、225℃、250℃、275℃、300℃、325℃、350℃、375℃、400℃、425℃、450℃、500℃、525℃、550℃、600℃、650℃、700℃、750℃、760℃和800℃。示例性温度范围包括但不限于以下:约0℃至约300℃;或约25℃至约300℃;或约50℃至约290℃;或约25℃至约250℃,或约25℃至约200℃。
在另一个方面,提供了用于通过可流动化学气相沉积(FCVD)沉积含硅和氧的膜的方法,所述方法包括:
将包含表面特征的衬底置于反应器中,其中将所述衬底保持在约-20℃至约400℃范围内的一个或多个温度下,并将所述反应器的压力保持在100托或更低;
引入选自式A至E的至少一种化合物;
向所述反应器中提供氧源以与所述至少一种化合物反应而形成膜并覆盖所述表面特征的至少一部分;
在约100℃至1000℃的一个或多个温度下使所述膜退火以包覆所述表面特征的至少一部分;和
在约20℃至约1000℃范围内的一个或多个温度下用氧源处理所述衬底以在所述表面特征的至少一部分上形成含硅膜。
在另一个方面,提供了用于通过可流动化学气相沉积(FCVD)沉积含硅和氧的膜的方法,所述方法包括:
将包含表面特征的衬底置于反应器中,其中将所述衬底保持在约-20℃至约400℃范围内的一个或多个温度下,并将所述反应器的压力保持在100托或更低;
引入选自式A至E的至少一种化合物;
向所述反应器中提供氮源以与所述至少一种化合物反应而形成膜并覆盖所述表面特征的至少一部分;
在约100℃至1000℃的一个或多个温度下使所述膜退火以包覆所述表面特征的至少一部分;和
在约20℃至约1000℃范围内的一个或多个温度下用氧源处理所述衬底以在所述表面特征的至少一部分上形成含硅膜。
在某些实施方式中,氧源选自水蒸汽、水等离子体、臭氧、氧气、氧等离子体、氧/氦等离子体、氧/氩等离子体、氮氧化物等离子体、二氧化碳等离子体、过氧化氢、有机过氧化物及其混合物。在其他实施方式中,氮源选自例如氨,肼,单烷基肼,二烷基肼,氮,氮/氢,氮/氩等离子体,氮/氦等离子体,氨等离子体,氮等离子体,氮/氢等离子体,有机胺如叔丁胺、二甲胺、二乙胺、异丙胺、二乙胺等离子体、二甲胺等离子体、三甲胺等离子体、乙二胺等离子体和烷氧基胺如乙醇胺等离子体,及其混合物。在又一些实施方式中,含氮源包括氨等离子体、包含氮和氩的等离子体、包含氮和氦的等离子体或包含氢和氮源气体的等离子体。在这个或其它实施方式中,重复方法步骤直到表面特征被含硅膜填充。在其中水蒸汽在可流动化学气相沉积工艺中被用作氧源的实施方式中,衬底温度在约-20℃至约40℃或约-10℃至约25℃的范围内。
在本文所述方法的又一个实施方式中,使由ALD、ALD样、PEALD、PEALD样或FCVD沉积的膜或如此沉积的膜进行(沉积后)处理步骤。处理步骤可以在沉积步骤的至少一部分的期间、沉积步骤之后及其组合的情况下进行。示例性处理步骤包括但不限于高温热退火处理;等离子体处理;紫外(UV)光处理;激光;电子束处理及其组合,以影响膜的一个或多个性质。
在另一个实施方式中,提供包含本文所述的一种或多种硅前体化合物的用于沉积含硅膜的器皿(vessel)或容器(container)。在一个特别的实施方式中,器皿包括至少一个可加压器皿(优选具有如美国专利号US7334595,US6077356,US5069244和US5465766中公开的设计的不锈钢器皿,其公开内容通过引用并入本文)。容器可以包括玻璃(硼硅酸盐或石英玻璃)或316、316L、304或304L型不锈钢合金(UNS名称S31600、S31603、S30400、S30403)并装备有合适的阀和配件以允许将一种或多种前体递送到用于CVD或ALD工艺的反应器。在这个或其它实施方式中,硅前体被提供在由不锈钢构成的可加压器皿中,并且前体的纯度为98重量%或更高或者99.5%或更高,这适合于大部分的半导体应用。器皿或容器的顶空填充有选自氦气、氩气、氮气及其组合的惰性气体。
在某些实施方式中,将从前体罐连接到反应室的气体管线加热到取决于工艺要求的一个或多个温度,并且将至少一种硅前体的容器保持在一个或多个温度下用于鼓泡。在其他实施方式中,将包含至少一种硅前体的溶液注入保持在一个或多个温度下的蒸发器中用于直接液体注射。
可以使用氩气和/或其它气体的流作为载气以在前体脉冲过程中帮助将至少一种硅前体的蒸气递送到反应室。在某些实施方式中,反应室工艺压力是约50毫托至10托。在其它实施方式中,反应室工艺压力可以是至多760托(例如,约50毫托至约100托)。
在典型的PEALD或PEALD样工艺(如PECCVD工艺)中,衬底如氧化硅衬底在反应室中的加热器台上被加热,反应室最初被暴露于硅前体以允许复合物被化学吸附到衬底表面上。
与在相同条件下用先前公开的硅前体沉积的膜相比,用本文所述的具有式A至E的硅前体沉积的膜具有改进的性质,例如但不限于,低于处理步骤之前的膜的湿蚀刻速率的湿蚀刻速率,或高于处理步骤之前的密度的密度。在一个特别的实施方式中,在沉积过程中,如此沉积的膜被间歇地处理。这些间歇或沉积中处理可以例如在每个ALD循环之后,在每一定数量的ALD循环之后(例如但不限于一个(1)ALD循环,两个(2)ALD循环,五个(5)ALD循环,或者在每十(10)个或更多个ALD循环之后)实施。
式A至E的前体表现出
Figure BDA0003437149440000331
/循环或更高的生长速率。
在其中用高温退火步骤处理膜的实施方式中,退火温度比沉积温度高至少100℃或更高。在这个或其他实施方式中,退火温度在约400℃至约1000℃的范围内。在这个或其他实施方式中,退火处理可以在真空(<760托)、惰性环境或含氧环境(如H2O、N2O、NO2或O2)中进行。
在其中膜进行UV处理的实施方式中,使膜暴露于宽UV带,或者具有约150纳米(nm)至约400nm范围的波长的UV源。在一个特别的实施方式中,在达到期望的膜厚度之后,使如此沉积的膜在与沉积室不同的室中暴露于UV。
在其中在用等离子体处理膜的实施方式中,沉积钝化层(如SiO2或碳掺杂SiO2)以防止氯和氮污染物在后续等离子体处理中渗入膜中。可以使用原子层沉积或循环化学气相沉积来沉积钝化层。
在其中用等离子体处理膜的实施方式中,等离子体源选自氢等离子体、包含氢和氦的等离子体、包含氢和氩的等离子体。氢等离子体降低膜的介电常数,并增加对后续等离子体灰化过程的抗损伤性,同时仍然保持整体碳含量几乎不变。
不旨在受到特定理论束缚,据信具有由如上定义的式A至E表示的化学结构的硅前体化合物可以通过使有机氨基基团与衬底表面上的羟基反应以提供每分子前体多个Si-O-Si片段而被锚定,因此与具有仅一个硅原子的常规硅前体如双(叔丁基氨基)硅烷或双(二乙基氨基)硅烷相比,增加氧化硅或碳掺杂氧化硅的生长速率。
在某些实施方式中,具有如上定义的式A至E的硅前体也可以用作用于含金属膜的掺杂剂,例如但不限于,金属氧化物膜或金属氧氮化物膜。在这些实施方式中,使用金属醇盐、金属胺化物或挥发性有机金属前体,利用ALD或CVD工艺(例如本文所述的那些工艺)沉积含金属膜。可以与本文公开的方法一起使用的合适的金属醇盐前体的实例包括但不限于:第3至6族金属醇盐,具有烷氧基和烷基取代的环戊二烯基配体两者的第3至6族金属络合物,具有烷氧基和烷基取代的吡咯基配体两者的第3至6族金属络合物,具有烷氧基和二酮酸酯(diketonate)配体两者的第3至6族金属络合物;具有烷氧基和酮酯配体两者的第3-6族金属络合物。
可以与本文公开的方法一起使用的合适的金属氨化物前体的实例包括但不限于四(二甲基氨基)锆(TDMAZ),四(二乙基氨基)锆(TDEAZ),四(乙基甲基氨基)锆(TEMAZ),四(二甲基氨基)铪(TDMAH),四(二乙基氨基)铪(TDEAH),和四(乙基甲基氨基)铪(TEMAH),四(二甲基氨基)钛(TDMAT),四(二乙基氨基)钛(TDEAT),四(乙基甲基氨基)钛(TEMAT),叔丁基亚氨基三(二乙基氨基)钽(TBTDET),叔丁基亚氨基三(二甲基氨基)钽(TBTDMT),叔丁基亚氨基三(乙基甲基氨基)钽(TBTEMT),乙基亚氨基三(二乙基氨基)钽(EITDET),乙基亚氨基三(二甲基氨基)钽(EITDMT),乙基亚氨基三(乙基甲基氨基)钽(EITEMT),叔戊基亚氨基三(二甲基氨基)钽(TAIMAT),叔戊基亚氨基三(二乙基氨基)钽,五(二甲基氨基)钽,叔戊基亚氨基三(乙基甲基氨基)钽,双(叔丁基亚氨基)双(二甲基氨基)钨(BTBMW),双(叔丁基亚氨基)双(二乙基氨基)钨,双(叔丁基亚氨基)双(乙基甲基氨基)钨及其组合。可以与本文公开的方法一起使用的合适的有机金属前体的实例包括但不限于第3族金属环戊二烯基或烷基环戊二烯基。本文的示例性第3至6族金属包括但不限于Y、La、Ce、Pr、Nd、Sm、Eu、Gd、Tb、Dy、Er、Yb、Lu、Ti、Hf、Zr、V、Nb、Ta、Cr、Mo和W。
在某些实施方式中,本文所述的含硅膜具有6或更小,5或更小,4或更小和3或更小的介电常数。在这些或其他实施方式中,膜可以具有约5或更低,或约4或更低,或约3.5或更低的介电常数。然而,设想可以形成取决于膜的期望最终用途具有其它介电常数(例如更高或更低)的膜。使用本文所述的具有式A至E前体的硅前体和方法形成的含硅膜的实例具有配方SixOyCzNvHw,其中Si在约10%至约40%范围内;O在约0%至约65%范围内;C在约0%至约75%或约0%至约50%范围内;N在约0%至约75%或约0%至50%范围内;和H在约0%至约50%(原子重量%)范围内,其中x+y+z+v+w=100原子重量%,如例如通过XPS或其它方法测定的。使用本文所述的式A至E的硅前体和方法形成的含硅膜的另一个实例是碳氧氮化硅,其中通过XPS测量的碳含量是1原子%至80原子%。使用本文所述的具有式A至E的硅前体和方法形成的含硅膜的又一个实例是非晶硅,其中通过XPS测量的氮和碳含量两者的和<10原子%,优选<5原子%,最优选<1原子%。
如前所述,本文所述的方法可用于在衬底的至少一部分上沉积含硅膜。合适的衬底的实例包括但不限于硅、SiO2、Si3N4、OSG、FSG、碳化硅、氢化氧碳化硅、氢化氧氮化硅、碳氧氮化硅、氢化碳氧氮化硅、抗反射涂层、光刻胶、锗、含锗、含硼、Ga/As、柔性衬底、有机聚合物、多孔有机和无机材料、金属如铜和铝,及扩散屏障层,例如但不限于TiN、Ti(C)N、TaN、Ta(C)N、Ta、W或WN。膜与各种后续处理步骤(例如化学机械平面化(CMP)和各向异性蚀刻工艺)相容。
沉积膜具有应用,其包括但不限于计算机芯片、光学器件、磁性信息存储器、支撑材料或衬底上的涂层、微机电系统(MEMS)、纳米机电系统、薄膜晶体管(TFT)、发光二极管(LED)、有机发光二极管(OLED)、IGZO和液晶显示器(LCD)。所得固体氧化硅或碳掺杂氧化硅的潜在用途包括但不限于浅沟槽绝缘、层间介电层、钝化层、蚀刻停止层、双重间隔物的部分和用于图案化的牺牲层。
本文所述的方法提供高质量的氧化硅、氧氮化硅、碳掺杂氧氮化硅或碳掺杂氧化硅膜。术语“高质量”是指表现出以下特征中的一个或多个的膜:约2.1g/cc或更高,2.2g/cc或更高,2.25g/cc或更高的密度;如在HF与水为1:100的稀HF酸溶液(0.5重量%的dHF)中测量的
Figure BDA0003437149440000361
或更低,
Figure BDA0003437149440000362
或更低,
Figure BDA0003437149440000363
或更低,
Figure BDA0003437149440000364
或更低,
Figure BDA0003437149440000365
或更低,
Figure BDA0003437149440000366
或更低,
Figure BDA0003437149440000367
或更低,
Figure BDA0003437149440000368
或更低的湿蚀刻速率;至多6MV/cm下约1 e-8 A/cm2或更小的漏电;和如通过SIMS测量的约5 e20 at/cc或更低的氢杂质;及其组合。关于蚀刻速率,热生长的氧化硅膜在0.5重量%的HF中具有
Figure BDA0003437149440000369
的蚀刻速率。
在某些实施方式中,本文所述的具有式A至E的一种或多种硅前体可用于形成含硅和氧的膜,其是固体且是无孔或基本上无孔的。
因此,本发明至少提供了以下各项:
1.一种组合物,其包含选自式A、B、C和D的至少一种有机氨基官能化低聚硅氧烷化合物:
Figure BDA0003437149440000371
其中,
R1选自C1至C10直链烷基、C3至C10支链烷基、C3至C10环状烷基、C3至C10杂环基、C3至C10烯基、C3至C10炔基和C4至C10芳基;
R2选自氢、C1至C10直链烷基、C3至C10支链烷基、C3至C10环状烷基、C3至C10杂环基、C3至C10烯基、C3至C10炔基和C4至C10芳基,其中R1和R2连接形成环状环结构或不连接形成环状环结构;
R3-10各自独立地选自氢、C1至C10直链烷基、C3至C10支链烷基、C3至C10环状烷基、C2至C10烯基、C2至C10炔基和C4至C10芳基;和
X选自C1至C10直链烷基、C3至C10支链烷基、C3至C10环状烷基、C2至C10烯基、C2至C10炔基、C4至C10芳基、C1至C10酰基、胺基(-NR1R2)、H、Cl、Br、I、F、C2至C12羧酸酯基、C1至C10直链或支链烷氧基、三甲基甲硅烷氧基、二甲基甲硅烷氧基、甲基甲硅烷氧基和甲硅烷氧基,
其中R1和X连接形成环状环或不连接形成环状环,其中如果在式C中R1-8全部是甲基,则X不可以是三甲基甲硅烷氧基,并且其中如果在式D中R1-10全部是甲基,则X不可以是甲基或二甲基氨基。
2.根据项1所述的组合物,其包含选自式A和式B的至少一种化合物。
3.根据项1所述的组合物,其包含选自式C和式D的至少一种化合物。
4.根据项1至3中任一项所述的组合物,所述组合物还包含选自溶剂和吹扫气体的至少一种。
5.根据项2所述的组合物,其中R1-9各自独立地选自氢和C1至C4烷基。
6.根据项1至5中任一项所述的组合物,其中所述组合物基本上不含选自卤化物、金属离子、金属及其组合的一种或多种杂质。
7.根据项2所述的组合物,其中所述有机氨基官能化低聚硅氧烷化合物选自:2-二甲基氨基-2,4,4,6,6-五甲基环三硅氧烷、2-二乙基氨基-2,4,4,6,6-五甲基环三硅氧烷、2-乙基甲基氨基-2,4,4,6,6-五甲基环三硅氧烷、2-异丙基氨基-2,4,4,6,6-五甲基环三硅氧烷、2-二甲基氨基-2,4,4,6,6,8,8-七甲基环四硅氧烷、2-二乙基氨基-2,4,4,6,6,8,8-七甲基环四硅氧烷、2-乙基甲基氨基-2,4,4,6,6,8,8-七甲基环四硅氧烷、2-异丙基氨基-2,4,4,6,6,8,8-七甲基环四硅氧烷、2-二甲基氨基-2,4,6-三甲基环三硅氧烷、2-二乙基氨基-2,4,6-三甲基环三硅氧烷、2-乙基甲基氨基-2,4,6-三甲基环三硅氧烷、2-异丙基氨基-2,4,6-三甲基环三硅氧烷、2-二甲基氨基-2,4,6,8-四甲基环四硅氧烷、2-二乙基氨基-2,4,6,8-四甲基环四硅氧烷、2-乙基甲基氨基-2,4,6,8-四甲基环四硅氧烷和2-异丙基氨基-2,4,6,8-四甲基环四硅氧烷。
8.根据项3所述的组合物,其中所述有机氨基官能化低聚硅氧烷化合物选自:1-二甲基氨基-1,1,3,3,5,5-六甲基-5-甲氧基三硅氧烷、1-二甲基氨基-1,1,3,3,5,5,7,7-八甲基-7-甲氧基四硅氧烷、1-二甲基氨基-1,1,3,3,5,5-六甲基-5-乙酰氧基三硅氧烷、1-二甲基氨基-1,1,3,3,5,5,7,7-八甲基-7-乙酰氧基四硅氧烷、1-二甲基氨基-1,1,3,3,5,5,7,7,9,9,9-十一甲基五硅氧烷、1-二甲基氨基-1,1,3,3,5,5,7,7-八甲基四硅氧烷,1-二甲基氨基-1,1,3,3,5,5,7,7,9,9-十甲基五硅氧烷、1-二甲基氨基-1,3,3,5,5,7,7,7-八甲基四硅氧烷、1-二甲基氨基-1,3,3,5,5,7,7,9,9,9-十甲基五硅氧烷、1-二甲基氨基-3,3,5,5,7,7,7-七甲基四硅氧烷、1-二甲基氨基-3,3,5,5,7,7,9,9,9-九甲基五硅氧烷、1-二甲基氨基-1,3,5,7,7,7-六甲基四硅氧烷和1-二甲基氨基-1,3,5,7,9,9,9-七甲基五硅氧烷。
9.一种用于制备选自式A和B的有机氨基官能化低聚硅氧烷化合物的方法:
Figure BDA0003437149440000391
所述方法包括以下步骤:
如反应式(1)或(3)所示,在催化剂的存在下使式(i)的环三硅氧烷化合物或式(iii)的环四硅氧烷化合物与有机胺反应:
Figure BDA0003437149440000392
其中,
R1选自C1至C10直链烷基、C3至C10支链烷基、C3至C10环状烷基、C3至C10杂环基、C3至C10烯基、C3至C10炔基和C4至C10芳基;
R2选自氢、C1至C10直链烷基、C3至C10支链烷基、C3至C10环状烷基、C3至C10杂环基、C3至C10烯基、C3至C10炔基和C4至C10芳基,其中R1和R2连接形成环状环结构或不连接形成环状环结构;和
R3-9各自独立地选自氢、C1至C10直链烷基、C3至C10支链烷基、C3至C10环状烷基、C2至C10烯基、C2至C10炔基和C4至C10芳基。
10.根据项9所述的方法,其中R1-9各自独立地选自氢和C1至C4烷基。
11.一种用于制备选自式A和B的有机氨基官能化低聚硅氧烷化合物的方法:
Figure BDA0003437149440000401
所述方法包括以下步骤:
如反应式(2)或(4)所示,在催化剂的存在下使式(ii)的氯化环三硅氧烷化合物或式(iv)的氯化环四硅氧烷化合物与有机胺或有机胺的金属盐反应:
Figure BDA0003437149440000402
Figure BDA0003437149440000411
其中,
R1选自C1至C10直链烷基、C3至C10支链烷基、C3至C10环状烷基、C3至C10杂环基、C3至C10烯基、C3至C10炔基和C4至C10芳基;
R2选自氢、C1至C10直链烷基、C3至C10支链烷基、C3至C10环状烷基、C3至C10杂环基、C3至C10烯基、C3至C10炔基和C4至C10芳基,其中R1和R2连接形成环状环结构或不连接形成环状环结构;和
R3-9各自独立地选自氢、C1至C10直链烷基、C3至C10支链烷基、C3至C10环状烷基、C2至C10烯基、C2至C10炔基和C4至C10芳基。
12.根据项11所述的方法,其中R1-9各自独立地选自氢和C1至C4烷基。
13.一种用于制备选自式C和D的有机氨基官能化低聚硅氧烷化合物的方法:
Figure BDA0003437149440000412
所述方法包括以下步骤:
使式(v)或式(vi)的化合物与选自乙酰氯、甲基碘、三甲基氯硅烷、二甲基氯硅烷、甲基氯硅烷、一氯硅烷和有机锂化合物的化合物反应以形成中间体化合物,
Figure BDA0003437149440000421
之后使所述中间体化合物与选自有机氨基氯硅烷、有机胺或氯硅烷的化合物反应,其中
R1选自C1至C10直链烷基、C3至C10支链烷基、C3至C10环状烷基、C3至C10杂环基、C3至C10烯基、C3至C10炔基和C4至C10芳基;
R2选自氢、C1至C10直链烷基、C3至C10支链烷基、C3至C10环状烷基、C3至C10杂环基、C3至C10烯基、C3至C10炔基和C4至C10芳基;
R3-10各自独立地选自氢、C1至C10直链烷基、C3至C10支链烷基、C3至C10环状烷基、C2至C10烯基、C2至C10炔基和C4至C10芳基;和
X选自C1至C10直链烷基、C3至C10支链烷基、C3至C10环状烷基、C2至C10烯基、C2至C10炔基、C4至C10芳基、C1至C10酰基、胺基(-NR1R2)、H、Cl、Br、I、F、C2至C12羧酸酯基、C1至C10直链或支链烷氧基、三甲基甲硅烷氧基、二甲基甲硅烷氧基、甲基甲硅烷氧基和甲硅烷氧基。
14.一种用于将包含硅和氧的膜沉积到衬底上的方法,所述方法包括以下步骤:
a)在反应器中提供衬底;
b)向所述反应器中引入选自式A、B、C、D和E的至少一种硅前体化合物,
Figure BDA0003437149440000431
其中,
R1选自C1至C10直链烷基、C3至C10支链烷基、C3至C10环状烷基、C3至C10杂环基、C3至C10烯基、C3至C10炔基和C4至C10芳基;
R2选自氢、C1至C10直链烷基、C3至C10支链烷基、C3至C10环状烷基、C3至C10杂环基、C3至C10烯基、C3至C10炔基和C4至C10芳基,其中R1和R2连接形成环状环结构或不连接形成环状环结构;
R3-10各自独立地选自氢、C1至C10直链烷基、C3至C10支链烷基、C3至C10环状烷基、C2至C10烯基、C2至C10炔基和C4至C10芳基;
X选自C1至C10直链烷基、C3至C10支链烷基、C3至C10环状烷基、C2至C10烯基、C2至C10炔基、C4至C10芳基、C1至C10酰基、胺基(-NR1R2)、H、Cl、Br、I、F、C2至C12羧酸酯基、C1至C10直链或支链烷氧基、三甲基甲硅烷氧基、二甲基甲硅烷氧基、甲基甲硅烷氧基或甲硅烷氧基,其中R1和X连接形成环状环或不连接形成环状环;
c)用吹扫气体吹扫所述反应器;
d)向所述反应器中引入含氧源和含氮源中的至少一种;和
e)用所述吹扫气体吹扫所述反应器,
其中重复步骤b至e直至沉积期望厚度的膜;并且其中所述方法在约25℃至600℃范围内的一个或多个温度下进行。
15.根据项14所述的方法,其中R1-10各自独立地选自氢和C1-C4烷基。
16.根据项14所述的方法,其中所述至少一种硅前体化合物选自2-二甲基氨基-2,4,4,6,6-五甲基环三硅氧烷、2-二乙基氨基-2,4,4,6,6-五甲基环三硅氧烷、2-乙基甲基氨基-2,4,4,6,6-五甲基环三硅氧烷、2-异丙基氨基-2,4,4,6,6-五甲基环三硅氧烷、2-二甲基氨基-2,4,4,6,6,8,8-七甲基环四硅氧烷、2-二乙基氨基-2,4,4,6,6,8,8-七甲基环四硅氧烷、2-乙基甲基氨基-2,4,4,6,6,8,8-七甲基环四硅氧烷、2-异丙基氨基-2,4,4,6,6,8,8-七甲基环四硅氧烷、2-二甲基氨基-2,4,6-三甲基环三硅氧烷、2-二乙基氨基-2,4,6-三甲基环三硅氧烷、2-乙基甲基氨基-2,4,6-三甲基环三硅氧烷、2-异丙基氨基-2,4,6-三甲基环三硅氧烷、2-二甲基氨基-2,4,6,8-四甲基环四硅氧烷、2-二乙基氨基-2,4,6,8-四甲基环四硅氧烷、2-乙基甲基氨基-2,4,6,8-四甲基环四硅氧烷和2-异丙基氨基-2,4,6,8-四甲基环四硅氧烷。
17.根据项14所述的方法,其中所述至少一种硅前体化合物选自1-二甲基氨基-1,1,3,3,5,5-六甲基-5-甲氧基三硅氧烷、1-二甲基氨基-1,1,3,3,5,5,7,7-八甲基-7-甲氧基四硅氧烷、1-二甲基氨基-1,1,3,3,5,5-六甲基-5-乙酰氧基三硅氧烷、1-二甲基氨基-1,1,3,3,5,5,7,7-八甲基-7-乙酰氧基四硅氧烷、1-二甲基氨基-1,1,3,3,5,5,7,7,7-九甲基四硅氧烷、1-二甲基氨基-1,1,3,3,5,5,7,7,9,9,9-十一甲基五硅氧烷、1-二甲基氨基-1,1,3,3,5,5,7,7-八甲基四硅氧烷、1-二甲基氨基-1,1,3,3,5,5,7,7,9,9-十甲基五硅氧烷、1-二甲基氨基-1,3,3,5,5,7,7,7-八甲基四硅氧烷、1-二甲基氨基-1,3,3,5,5,7,7,9,9,9-十甲基五硅氧烷、1-二甲基氨基-3,3,5,5,7,7,7-七甲基四硅氧烷、1-二甲基氨基-3,3,5,5,7,7,9,9,9-九甲基五硅氧烷、1-二甲基氨基-1,3,5,7,7,7-六甲基四硅氧烷和1-二甲基氨基-1,3,5,7,9,9,9-七甲基五硅氧烷。
18.根据项14至17中任一项所述的方法,其中所述硅前体化合物还包含选自溶剂和惰性气体的至少一种。
19.一种含硅和氧的膜,其包含以下特征中的至少一种:至少约2.1g/cc的密度;如在HF与水为1:100的稀HF酸溶液(0.5重量%的dHF)中测量的小于约
Figure BDA0003437149440000452
的湿蚀刻速率;至多6MV/cm下小于约1e-8A/cm2的漏电;和如通过二次离子质谱法(SIMS)测量的小于约5e20 at/cc的氢杂质。
20.一种容纳根据项1至8中任一项所述的组合物的不锈钢容器。
21.根据项20所述的不锈钢容器,其还包含选自氦气、氩气、氮气及其组合的惰性顶空气体。
以下实施例是提供用于说明本发明的某些方面,而不应限制所附权利要求的范围。
工作实施例
在实验室规模的ALD处理设备上进行氧化硅膜的热原子层沉积。通过蒸气抽吸将硅前体递送到室中。在进入沉积区之前,将所有气体(例如吹扫和反应物气体或者前体和氧源)预加热到100℃。用具有高速致动的ALD隔膜阀控制气体和前体的流速。用于沉积中的衬底是12英寸长的硅条。将热电偶连接到样品支架上以确认衬底温度。使用臭氧作为氧源气体进行沉积。正常沉积过程和参数如表2所示。
表2:在实验室规模的ALD处理设备上用臭氧作为氧源的氧化硅膜热原子层沉积的 工艺。
Figure BDA0003437149440000451
Figure BDA0003437149440000461
在配备有27.1MHz直接等离子体能力且电极之间固定间隔为3.5mm的商业侧流反应器(由ASM制造的300mm PEALD设备)或者配备有13.56MHz直接等离子体能力的喷淋头反应器(由ASM制造的300mm PEALD设备)上进行等离子体增强ALD(PEALD)。层流室设计利用具有独立压力设置的外室和内室。内室是沉积反应器,在其中所有反应物气体(例如前体、氩气)在歧管中混合并被递送到工艺反应器。使用氩气保持外室中的反应器压力。喷淋头室只有一个室,且其通常在2托下运行。前体是在不锈钢鼓泡器中在室温下保持的液体,并且用Ar载气(通常设定为200sccm流)或蒸汽抽吸递送到室中。在本研究中报告的所有沉积都是在8-12Ohm-cm的天然含氧化物的Si衬底上完成。通过将来自膜的反射数据拟合至预设物理模型(例如,洛伦兹振子模型),使用FilmTek 2000SE椭偏仪测量膜的厚度和折射率。通过将测量的所得氧化硅膜的厚度除以总ALD/PEALD循环数来计算每循环生长速率。通过使用1:99稀释的氢氟酸(HF)溶液进行湿蚀刻速率(WER)测量。使用热氧化物晶片作为每组实验的标准品以确认蚀刻溶液的活性。在开始收集主体膜的WER之前,将样品全部蚀刻15秒以除去任何表面层。通过该程序,对于1:99的dHF水溶液,典型的热氧化物晶片湿蚀刻速率是
Figure BDA0003437149440000462
通过X射线反射率(XRR)方法测量所有密度测量值。使用二次离子质谱(D-SIMS)或X射线光电子能谱(XPS)进行组成分析。
实施例1a.2-二甲基氨基-2,4,6,8-四甲基环四硅氧烷的合成。
将在Et2O(5mL)中含有2.4mmol的2-氯-2,4,6,8-四甲基环四硅氧烷的溶液(其由五氯化磷与过量的2,4,6,8-四甲基环四硅氧烷的反应制备)滴加到在己烷中的1当量的二甲胺和三乙胺中。将所得白色浆液搅拌1小时。过滤反应混合物以除去固体,并在减压下除去低沸物(low-boilers)。通过GC-MS分析粗液体产物并确定为2-二甲基氨基-2,4,6,8-四甲基环四硅氧烷。GC-MS显示以下峰:283(M+),268(M-15),239,225,209,193,179,165,149,133,119,105,89,75,59,44。
实施例1b.2-二甲基氨基-2,4,6,8-四甲基环四硅氧烷的合成。
在室温下,向THF(200mL)/Ru3(CO)12(1.12g,0.00172mol)和2,4,6,8-四甲基环四硅氧烷(192g,0.792mol)的搅拌溶液中以4部分加入在THF中的二甲胺溶液(176mL,2.0M溶液),每部分的时间间隔为1小时。反应溶液在室温下持续搅拌过夜。在减压下除去溶剂,并通过分馏纯化粗产物以提供56g的2-二甲基氨基-2,4,6,8-四甲基环四硅氧烷,纯度通过GC分析为98%。
实施例1c.2-二甲基氨基-2,4,6,8-四甲基环四硅氧烷的热稳定性。
将2-二甲基氨基-2,4,6,8-四甲基环四硅氧烷在80℃下加热7天。2-二甲基氨基-2,4,6,8-四甲基环四硅氧烷的含量测定从96.41%下降到平均值96.02%,证明2-二甲基氨基-2,4,6,8-四甲基环四硅氧烷具有优异的热稳定性并且适合作为用于气相沉积工艺的前体。
实施例2. 2-二乙基氨基-2,4,6,8-四甲基环四硅氧烷的合成。
将2,4,6,8-四甲基环四硅氧烷(308g,1.28mol)、二乙胺(34.3g,0.469mol)和Ru3(CO)12催化剂固体(1.36g,0.00213mol)在排空的500mL圆底烧瓶中合并,且在氮气氛保护下在室温下搅拌三天。从不溶性催化剂固体中倾出橙红色液相。重复该反应,并将两个批次合并。通过真空蒸馏(10托/36-38℃)除去过量的2,4,6,8-四甲基环四硅氧烷,并通过烧瓶至烧瓶的转移将剩余的橙红色粗产物从残余催化剂中真空转移。通过真空蒸馏(1托/65℃)纯化收集的无色液体以提供128g的2-二乙基氨基-2,4,6,8-四甲基环四硅氧烷,纯度通过GC分析为97%。GC-MS显示以下峰:m/z=311(M+),297,281,266,253,239,225,209,193,179,165,148,133,119,103,86,73。
实施例3. 2-乙基甲基氨基-2,4,6,8-四甲基环四硅氧烷的合成(预示的)。
将2,4,6,8-四甲基环四硅氧烷(3当量)、乙基甲基胺(1当量)和Ru3(CO)12催化剂固体(0.01当量)合并,且在氮气氛的保护下在室温下搅拌1-3天,同时排气。橙红色液相从任何不溶性催化剂固体倾出。通过真空蒸馏除去过量的2,4,6,8-四甲基环四硅氧烷,并通过烧瓶至烧瓶转移将剩余的橙红色粗产物从残余催化剂中真空转移。然后通过真空蒸馏纯化收集的无色液体以提供期望产物2-乙基甲基氨基-2,4,6,8-四甲基环四硅氧烷。
实施例4. 2-异丙基氨基-2,4,6,8-四甲基环四硅氧烷的合成(预示的)。
将2,4,6,8-四甲基环四硅氧烷(3当量)、异丙胺(0.3当量)和Ru3(CO)12催化剂固体(0.01当量)合并,且在氮气氛的保护下在室温下搅拌1小时,同时排气。再加入0.3当量异丙胺,并再搅拌反应1小时。再加入0.3当量异丙胺,并使反应混合物搅拌过夜。橙红色液相从任何不溶性催化剂固体倾出。通过真空蒸馏除去过量的2,4,6,8-四甲基环四硅氧烷,并通过烧瓶至烧瓶转移将剩余的橙红色粗产物从残余催化剂中真空转移。然后通过真空蒸馏纯化收集的无色液体以提供期望产物2-异丙基氨基-2,4,6,8-四甲基环四硅氧烷。
实施例5. 1-二甲基氨基-1,1,3,3,5,5,7,7-八甲基四硅氧烷的合成。
将1,1,3,3,5,5,7,7-八甲基四硅氧烷(1.00g的80%纯的八甲基环四硅氧烷物质,0.00283mol)、二甲胺在THF中的2.0M溶液(1.76mL,0.00352mol)和Ru3(CO)12(0.04g,6.0×10-5mol)在排空的20mL闪烁管中合并,且在氮气氛的保护下在室温下搅拌1天。通过GC-MS分析所得深红色反应溶液并确定含有1-二甲基氨基-1,1,3,3,5,5,7,7-八甲基四硅氧烷作为主要产物。GC-MS显示以下峰:m/z=325(M+),310(M-15),294,281,265,251,236,207,193,177,163,148,133,119,102,88,73。
比较例6a:使用2,4,6,8-四甲基环四硅氧烷(TMCTS)的氧化硅膜的热原子层沉积
使用以下前体:TMCTS,尝试氧化硅膜的原子层沉积。在实验室规模ALD处理设备上进行沉积。硅前体通过蒸气抽吸递送到室中。表2中提供沉积工艺和参数。将步骤1至6重复200至250个循环。对于这些沉积,几乎没有获得真正的膜沉积。表3中提供沉积的工艺参数和结果。
表3.使用TMCTS的热ALD沉积参数和沉积结果。
Figure BDA0003437149440000491
实施例6b:使用2-二甲基氨基-2,4,6,8-四甲基环四硅氧烷的氧化硅膜的热原子层沉积。
使用以下前体:2-二甲基氨基-2,4,6,8-四甲基环四硅氧烷,进行氧化硅膜的原子层沉积。在实验室规模的ALD处理设备上进行沉积。通过蒸气抽吸将硅前体递送到室中。表2中提供了沉积工艺和参数。重复步骤1至6直至达到期望厚度。图1显示2-二甲基氨基-2,4,6,8-四甲基环四硅氧烷的GPC相对于前体脉冲数的饱和曲线。可以看出GPC随前体脉冲增加,然后饱和,表明前体的ALD行为。表4中提供了沉积工艺温度、GPC和膜性质。
表4. 2-二甲基氨基-2,4,6,8-四甲基环四硅氧烷与臭氧工艺的热ALD沉积温度、 GPC和膜性质。
Figure BDA0003437149440000501
比较例7a.在具有27.1MHz等离子体的层流反应器中使用TMCTS(2,4,6,8-四甲基环四硅氧烷)的PEALD氧化硅。
在表5中给出的条件下,用作为硅前体的TMCTS和O2等离子体进行沉积。通过蒸汽抽吸方法将TMCTS递送至室中,不使用载气。将步骤b至e重复多次以获得用于计量的期望厚度的氧化硅。表6显示了膜沉积参数和沉积GPC和晶片均匀性。沉积晶片显示差的均匀性,并且GPC不显示随增加的前体脉冲的饱和,表明TMCTS的CVD沉积,因此不适合作为ALD前体。
表5.使用TMCTS的商业侧流PEALD反应器中PEALD氧化硅沉积的工艺。
Figure BDA0003437149440000502
Figure BDA0003437149440000511
表6.采用TMCTS的PEALD氧化硅膜沉积参数和沉积GPC、晶片均匀性。
Figure BDA0003437149440000512
表6中的均匀性数据表明TMCTS经历CVD并且不适合用作于ALD工艺的前体。
实施例7b.在具有27.1MHz等离子体的层流反应器中使用2-二甲基氨基-2,4,6,8-四甲基环四硅氧烷的PEALD氧化硅。
在以上表5所述的条件下,用作为硅前体的2-二甲基氨基-2,4,6,8-四甲基环四硅氧烷和O2等离子体进行沉积。用200sccm的载气Ar流将前体递送至室中。将步骤b到e重复多次以获得用于计量的期望厚度的氧化硅。
图2显示相对于前体脉冲时间的GPC,而图3显示对于前体2-二甲基氨基-2,4,6,8-四甲基环四硅氧烷,相对于O2等离子体时间的GPC。从图2可以看出,GPC几乎用4秒的前体脉冲饱和。从图3中可以看出,与5秒的氧等离子体时间相比,GPC在10秒和20秒的氧等离子体时间下略微降低。略微降低的GPC表明膜在较长的氧等离子体时间期间致密化,这通过用更多秒数的氧等离子体时间沉积的膜的更低WER和更高膜密度确认。结果与ALD沉积行为一致。表7显示膜沉积参数和沉积GPC。
表8显示在不同沉积条件下的膜性质。
表7.采用2-二甲基氨基-2,4,6,8-四甲基环四硅氧烷的PEALD氧化硅膜沉积参数 和沉积GPC。
Figure BDA0003437149440000521
表8.采用2-二甲基氨基-2,4,6,8-四甲基四硅氧烷的PEALD氧化硅膜沉积条件和 膜性质。
Figure BDA0003437149440000531
使用TEM研究由2-二甲基氨基-2,4,6,8-四甲基环四硅氧烷沉积的PEALD氧化硅膜的保形性。沟槽大小为约50nm宽和约600nm深。图4显示沟槽顶部、沟槽中间侧面和沟槽底部的TEM图像。可以看出,膜在沟槽中非常保形(即,对于沟槽中部或底部接近100%的优异阶梯覆盖性),确认前体的ALD行为。与TMCTS不同,用有机氨基基团(如二甲基氨基)替代TMCTS中的一个Si-H基团可以将TMCTS转化成具有良好的阶梯覆盖性以及高于
Figure BDA0003437149440000532
/循环的生长速率的优异ALD前体。
实施例7c.在具有27.1MHz等离子体的层流反应器中使用2-二乙基氨基-2,4,6,8-四甲基环四硅氧烷的PEALD氧化硅。
在如上表5所述的条件下,用作为硅前体的2-二乙基氨基-2,4,6,8-四甲基环四硅氧烷和O2等离子体进行沉积。用200sccm的载气Ar流将前体递送至室中。将步骤b到e重复多次以获得用于计量的期望厚度的氧化硅。
表9显示膜沉积参数和沉积GPC。条件1-6显示在100℃下相对于前体脉冲时间的沉积GPC,从其可以看出GPC随增加的前体脉冲而变得饱和。条件11-16显示在300℃下相对于前体脉冲时间的沉积GPC,并且GPC也随增加的前体脉冲而变得饱和。结果与ALD沉积行为一致。条件8-10显示在100℃下相对于氧等离子体时间的沉积GPC,由此可以看出,与5秒的氧等离子体时间相比,在10秒和20秒的氧等离子体时间下GPC略微降低。略微降低的GPC表明膜在较长的氧等离子体时间期间的致密化。对于在300℃下的沉积也存在相同的现象(参见沉积条件18-19)。
表9.采用2-二乙基氨基-2,4,6,8-四甲基环四硅氧烷的PEALD氧化硅膜沉积参数 和沉积GPC。
Figure BDA0003437149440000541
Figure BDA0003437149440000551
比较例8a.在具有13.56MHz等离子体的喷淋头反应器中使用TMCTS(2,4,6,8-四甲基环四硅氧烷)的PEALD氧化硅。
在表5中给出的条件下,在具有13.56MHz等离子体的喷淋头反应器中用作为硅前体的TMCTS和O2等离子体进行沉积。通过蒸汽抽吸方法将TMCTS递送至室中,不使用载气。将步骤b到e重复多次以获得用于计量的期望厚度的氧化硅。图5显示相对于前体脉冲时间的GPC。可以看出,GPC不显示随增加的前体脉冲的饱和。沉积膜显示晶片均匀性为4-11%。图6显示用16秒的前体脉冲沉积的TMCTS氧化硅膜的保形性。可以看出,膜在沟槽中不保形,即在沟槽底部上的氧化硅的厚度远高于在沟槽的顶部或中部,证明发生CVD,特别是在沟槽底部上。
实施例8b.在具有13.56MHz等离子体的喷淋头室中使用2-二甲基氨基-2,4,6,8-四甲基环四硅氧烷的PEALD氧化硅。
在表5中给出的条件下,用作为硅前体的2-二甲基氨基-2,4,6,8-四甲基环四硅氧烷和O2等离子体进行沉积,除了沉积是在300℃下、在具有13.56MHz等离子(100W)的喷淋头室中进行。前体用载气流递送到室中。将步骤b到e重复多次以获得用于计量的期望厚度的氧化硅。表10显示膜沉积参数和沉积GPC和晶片均匀性。图7显示在喷淋头室中沉积的氧化硅保形性。膜在沟槽中非常保形,表明ALD沉积。与TMCTS不同,用有机氨基基团(如二甲基氨基)替代TMCTS中的一个Si-H基团可以将TMCTS转化成具有良好的阶梯覆盖性以及高于
Figure BDA0003437149440000561
/循环的生长速率的优异ALD前体。
表10.在喷淋头室中采用2-二甲基氨基-2,4,6,8-四甲基环四硅氧烷的PEALD氧化 硅膜沉积参数和沉积GPC。
Figure BDA0003437149440000562
实施例8c.在具有13.56MHz等离子体的喷淋头室中使用1-二甲基氨基-1,1,3,3,5,5,7,7-八甲基四硅氧烷的PEALD氧化硅(预示的)。
在100℃至300℃范围内的温度下,在具有13.56MHz等离子体的喷淋头室中,在与表5中给出的那些类似的条件下,使用作为硅前体的1-二甲基氨基-1,1,3,3,5,5,7,7-八甲基四硅氧烷和O2等离子体进行沉积。前体用载气流递送到室中。将步骤b到e重复多次以获得用于计量的期望厚度的氧化硅。与1,1,3,3,5,5,7,7-八甲基四硅氧烷不同,用有机氨基基团(如二甲基氨基)替代一个Si-H基团可以将否则是非反应性的线性低聚硅氧烷转化成具有良好的阶梯覆盖性以及高于
Figure BDA0003437149440000563
/循环的生长速率的优异ALD前体。
实施例9:在50℃下在具有27.1MHz等离子体的层流反应器中使用2-二甲基氨基-2,4,6,8-四甲基环四硅氧烷的PEALD氧化硅。
在以上表5所述的条件下,用作为硅前体的2-二甲基氨基-2,4,6,8-四甲基环四硅氧烷和O2等离子体进行沉积。衬底温度为50℃。用200sccm的载气Ar流将前体递送至室中。将步骤b到e重复多次以获得用于计量的期望厚度的氧化硅。以4秒的前体脉冲、3托的反应器压力、200W的等离子体功率和5秒的等离子体时间,获得
Figure BDA0003437149440000564
/循环的GPC。相对于热生长氧化硅的蚀刻速率,在0.5重量%HF中的WER是约10。
虽然已经参考某些优选实施方式描述本公开,但是本领域技术人员将理解,在不脱离本发明的范围的情况下,可以进行各种改变并且可以用等同方式替换其要素。另外,在不脱离本发明的实质范围的情况下,可以进行许多修改以使特定的情况或材料适应于本发明的教导。因此,意图是本发明不限于特别的实施方式,而是本发明将包括落入所附权利要求范围内的所有实施方式。

Claims (12)

1.一种用于通过可流动化学气相沉积(FCVD)沉积含硅和氧的膜的方法,所述方法包括:
将包含表面特征的衬底置于反应器中,其中将所述衬底保持在约-20℃至约400℃范围内的一个或多个温度下,并将所述反应器的压力保持在100托或更低;
向所述反应器中引入选自式A至E的至少一种化合物:
Figure FDA0003437149430000011
其中,
R1选自C1至C10直链烷基、C3至C10支链烷基、C3至C10环状烷基、C3至C10杂环基、C3至C10烯基、C3至C10炔基和C4至C10芳基;
R2选自氢、C1至C10直链烷基、C3至C10支链烷基、C3至C10环状烷基、C3至C10杂环基、C3至C10烯基、C3至C10炔基和C4至C10芳基,其中R1和R2连接形成环状环结构或不连接形成环状环结构;
R3-10各自独立地选自氢、C1至C10直链烷基、C3至C10支链烷基、C3至C10环状烷基、C3至C10烯基、C3至C10炔基和C4至C10芳基;
X选自C1至C10直链烷基、C3至C10支链烷基、C3至C10环状烷基、C2至C10烯基、C2至C10炔基、C4至C10芳基、C1至C10酰基、R1-2定义如上的-NR1R2、H、Cl、Br、I、F、C2至C12羧酸酯基、C1至C10直链或支链烷氧基、三甲基甲硅烷氧基、二甲基甲硅烷氧基、甲基甲硅烷氧基或甲硅烷氧基,
其中R1和X连接形成环状环或不连接形成环状环;
向所述反应器中提供氧源、氮源或者氧源和氮源以与所述至少一种化合物反应而形成膜并覆盖所述表面特征的至少一部分;
在约100℃至1000℃的一个或多个温度下使所述膜退火以包覆所述表面特征的至少一部分;和
在约20℃至约1000℃范围内的一个或多个温度下用氧源处理经退火的膜以在所述表面特征的至少一部分上形成含硅和氧的膜。
2.根据权利要求1所述的方法,其中R2-10各自独立地选自氢和C1至C4烷基。
3.根据权利要求1所述的方法,其中所述至少一种化合物选自:2-二甲基氨基-2,4,4,6,6-五甲基环三硅氧烷、2-二乙基氨基-2,4,4,6,6-五甲基环三硅氧烷、2-乙基甲基氨基-2,4,4,6,6-五甲基环三硅氧烷、2-异丙基氨基-2,4,4,6,6-五甲基环三硅氧烷、2-二甲基氨基-2,4,4,6,6,8,8-七甲基环四硅氧烷、2-二乙基氨基-2,4,4,6,6,8,8-七甲基环四硅氧烷、2-乙基甲基氨基-2,4,4,6,6,8,8-七甲基环四硅氧烷、2-异丙基氨基-2,4,4,6,6,8,8-七甲基环四硅氧烷、2-二甲基氨基-2,4,6-三甲基环三硅氧烷、2-二乙基氨基-2,4,6-三甲基环三硅氧烷、2-乙基甲基氨基-2,4,6-三甲基环三硅氧烷、2-异丙基氨基-2,4,6-三甲基环三硅氧烷、2-二甲基氨基-2,4,6,8-四甲基环四硅氧烷、2-二乙基氨基-2,4,6,8-四甲基环四硅氧烷、2-乙基甲基氨基-2,4,6,8-四甲基环四硅氧烷和2-异丙基氨基-2,4,6,8-四甲基环四硅氧烷。
4.根据权利要求1所述的方法,其中所述至少一种化合物选自:1-二甲基氨基-1,1,3,3,5,5-六甲基-5-甲氧基三硅氧烷、1-二甲基氨基-1,1,3,3,5,5,7,7-八甲基-7-甲氧基三硅氧烷、1-二甲基氨基-1,1,3,3,5,5-六甲基-5-乙酰氧基三硅氧烷、1-二甲基氨基-1,1,3,3,5,5,7,7-八甲基-7-乙酰氧基三硅氧烷、1-二甲基氨基-1,1,3,3,5,5,7,7,7-九甲基四硅氧烷、1-二甲基氨基-1,1,3,3,5,5,7,7,9,9,9-十一甲基五硅氧烷、1-二甲基氨基-1,1,3,3,5,5,7,7-八甲基四硅氧烷,1-二甲基氨基-1,1,3,3,5,5,7,7,9,9-十甲基五硅氧烷、1-二甲基氨基-1,3,3,5,5,7,7,7-八甲基四硅氧烷、1-二甲基氨基-1,3,3,5,5,7,7,9,9,9-十甲基五硅氧烷、1-二甲基氨基-3,3,5,5,7,7,7-七甲基四硅氧烷、1-二甲基氨基-3,3,5,5,7,7,9,9,9-九甲基五硅氧烷、1-二甲基氨基-1,3,5,7,7,7-六甲基四硅氧烷和1-二甲基氨基-1,3,5,7,9,9,9-七甲基五硅氧烷。
5.根据权利要求1所述的方法,其中将所述衬底保持在约-20℃至约40℃范围内的一个或多个温度下。
6.根据权利要求1所述的方法,其中将所述衬底保持在约-10℃至约25℃范围内的一个或多个温度下。
7.根据权利要求1所述的方法,其中将所述衬底保持在约-20℃至约100℃范围内的一个或多个温度下。
8.根据权利要求1所述的方法,其中将所述衬底保持在约-20℃至约150℃范围内的一个或多个温度下。
9.根据权利要求1所述的方法,其中向所述反应器中仅提供氧源,其中所述氧源选自水蒸汽、水等离子体、臭氧、氧气、氧等离子体、氧/氦等离子体、氧/氩等离子体、氮氧化物等离子体、二氧化碳等离子体、过氧化氢、有机过氧化物及其混合物。
10.根据权利要求1所述的方法,其中向所述反应器中仅提供氮源,其中所述氮源选自氨、肼、氮、氮/氢、氮/氩等离子体、氮/氦等离子体、氨等离子体、氮等离子体、氮/氢等离子体、叔丁胺、二甲胺、二乙胺、异丙胺、二乙胺等离子体、二甲胺等离子体、乙二胺等离子体、乙醇胺等离子体及其混合物。
11.根据权利要求10所述的方法,其中所述氮源是氨等离子体、氮/氩、氮/氦等离子体或氮/氢等离子体。
12.根据权利要求1所述的方法,还包括使所述含硅和氧的膜进行沉积后处理,所述沉积后处理选自热退火;等离子体处理;紫外(UV)光处理;激光处理;电子束处理及其组合。
CN202111618166.6A 2017-02-08 2018-02-08 用于沉积含硅膜的有机氨基官能化线性和环状低聚硅氧烷 Pending CN114318299A (zh)

Applications Claiming Priority (7)

Application Number Priority Date Filing Date Title
US201762456297P 2017-02-08 2017-02-08
US62/456,297 2017-02-08
US201762574952P 2017-10-20 2017-10-20
US62/574,952 2017-10-20
US15/883,815 2018-01-30
US15/883,815 US10822458B2 (en) 2017-02-08 2018-01-30 Organoamino-functionalized linear and cyclic oligosiloxanes for deposition of silicon-containing films
CN201810128979.9A CN108395450B (zh) 2017-02-08 2018-02-08 用于沉积含硅膜的有机氨基官能化线性和环状低聚硅氧烷

Related Parent Applications (1)

Application Number Title Priority Date Filing Date
CN201810128979.9A Division CN108395450B (zh) 2017-02-08 2018-02-08 用于沉积含硅膜的有机氨基官能化线性和环状低聚硅氧烷

Publications (1)

Publication Number Publication Date
CN114318299A true CN114318299A (zh) 2022-04-12

Family

ID=63038675

Family Applications (3)

Application Number Title Priority Date Filing Date
CN201810128979.9A Active CN108395450B (zh) 2017-02-08 2018-02-08 用于沉积含硅膜的有机氨基官能化线性和环状低聚硅氧烷
CN202111618166.6A Pending CN114318299A (zh) 2017-02-08 2018-02-08 用于沉积含硅膜的有机氨基官能化线性和环状低聚硅氧烷
CN202111612772.7A Pending CN114318291A (zh) 2017-02-08 2018-02-08 用于沉积含硅膜的有机氨基官能化线性和环状低聚硅氧烷

Family Applications Before (1)

Application Number Title Priority Date Filing Date
CN201810128979.9A Active CN108395450B (zh) 2017-02-08 2018-02-08 用于沉积含硅膜的有机氨基官能化线性和环状低聚硅氧烷

Family Applications After (1)

Application Number Title Priority Date Filing Date
CN202111612772.7A Pending CN114318291A (zh) 2017-02-08 2018-02-08 用于沉积含硅膜的有机氨基官能化线性和环状低聚硅氧烷

Country Status (8)

Country Link
US (2) US10822458B2 (zh)
EP (1) EP3388440A1 (zh)
JP (3) JP6703019B2 (zh)
KR (1) KR102153577B1 (zh)
CN (3) CN108395450B (zh)
IL (1) IL257372B (zh)
SG (1) SG10201801158QA (zh)
TW (2) TWI691504B (zh)

Families Citing this family (226)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20130023129A1 (en) 2011-07-20 2013-01-24 Asm America, Inc. Pressure transmitter for a semiconductor processing environment
US10714315B2 (en) 2012-10-12 2020-07-14 Asm Ip Holdings B.V. Semiconductor reaction chamber showerhead
US20160376700A1 (en) 2013-02-01 2016-12-29 Asm Ip Holding B.V. System for treatment of deposition reactor
US11015245B2 (en) 2014-03-19 2021-05-25 Asm Ip Holding B.V. Gas-phase reactor and system having exhaust plenum and components thereof
US10941490B2 (en) 2014-10-07 2021-03-09 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
US10276355B2 (en) 2015-03-12 2019-04-30 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
US10458018B2 (en) 2015-06-26 2019-10-29 Asm Ip Holding B.V. Structures including metal carbide material, devices including the structures, and methods of forming same
US10211308B2 (en) 2015-10-21 2019-02-19 Asm Ip Holding B.V. NbMC layers
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
US10529554B2 (en) 2016-02-19 2020-01-07 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US10367080B2 (en) 2016-05-02 2019-07-30 Asm Ip Holding B.V. Method of forming a germanium oxynitride film
US11453943B2 (en) 2016-05-25 2022-09-27 Asm Ip Holding B.V. Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor
US9859151B1 (en) 2016-07-08 2018-01-02 Asm Ip Holding B.V. Selective film deposition method to form air gaps
US10612137B2 (en) 2016-07-08 2020-04-07 Asm Ip Holdings B.V. Organic reactants for atomic layer deposition
US9887082B1 (en) 2016-07-28 2018-02-06 Asm Ip Holding B.V. Method and apparatus for filling a gap
US9812320B1 (en) 2016-07-28 2017-11-07 Asm Ip Holding B.V. Method and apparatus for filling a gap
KR102532607B1 (ko) 2016-07-28 2023-05-15 에이에스엠 아이피 홀딩 비.브이. 기판 가공 장치 및 그 동작 방법
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
US10714350B2 (en) 2016-11-01 2020-07-14 ASM IP Holdings, B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
KR102546317B1 (ko) 2016-11-15 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기체 공급 유닛 및 이를 포함하는 기판 처리 장치
KR20180068582A (ko) 2016-12-14 2018-06-22 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11581186B2 (en) 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
US11447861B2 (en) 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
KR20180070971A (ko) 2016-12-19 2018-06-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10269558B2 (en) 2016-12-22 2019-04-23 Asm Ip Holding B.V. Method of forming a structure on a substrate
US11390950B2 (en) 2017-01-10 2022-07-19 Asm Ip Holding B.V. Reactor system and method to reduce residue buildup during a film deposition process
US10468261B2 (en) 2017-02-15 2019-11-05 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
US10529563B2 (en) 2017-03-29 2020-01-07 Asm Ip Holdings B.V. Method for forming doped metal oxide films on a substrate by cyclical deposition and related semiconductor device structures
US10770286B2 (en) 2017-05-08 2020-09-08 Asm Ip Holdings B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
KR20190009245A (ko) 2017-07-18 2019-01-28 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 구조물 형성 방법 및 관련된 반도체 소자 구조물
US11374112B2 (en) 2017-07-19 2022-06-28 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US10541333B2 (en) 2017-07-19 2020-01-21 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US11018002B2 (en) 2017-07-19 2021-05-25 Asm Ip Holding B.V. Method for selectively depositing a Group IV semiconductor and related semiconductor device structures
US10590535B2 (en) 2017-07-26 2020-03-17 Asm Ip Holdings B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US10770336B2 (en) 2017-08-08 2020-09-08 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US10692741B2 (en) 2017-08-08 2020-06-23 Asm Ip Holdings B.V. Radiation shield
US11139191B2 (en) 2017-08-09 2021-10-05 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
US11056344B2 (en) 2017-08-30 2021-07-06 Asm Ip Holding B.V. Layer forming method
KR102491945B1 (ko) 2017-08-30 2023-01-26 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
US11049714B2 (en) * 2017-09-19 2021-06-29 Versum Materials Us, Llc Silyl substituted organoamines as precursors for high growth rate silicon-containing films
US10658205B2 (en) 2017-09-28 2020-05-19 Asm Ip Holdings B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
US10403504B2 (en) 2017-10-05 2019-09-03 Asm Ip Holding B.V. Method for selectively depositing a metallic film on a substrate
US11022879B2 (en) 2017-11-24 2021-06-01 Asm Ip Holding B.V. Method of forming an enhanced unexposed photoresist layer
JP7206265B2 (ja) 2017-11-27 2023-01-17 エーエスエム アイピー ホールディング ビー.ブイ. クリーン・ミニエンバイロメントを備える装置
CN111316417B (zh) 2017-11-27 2023-12-22 阿斯莫Ip控股公司 与批式炉偕同使用的用于储存晶圆匣的储存装置
US10872771B2 (en) 2018-01-16 2020-12-22 Asm Ip Holding B. V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
TWI799494B (zh) 2018-01-19 2023-04-21 荷蘭商Asm 智慧財產控股公司 沈積方法
CN111630203A (zh) 2018-01-19 2020-09-04 Asm Ip私人控股有限公司 通过等离子体辅助沉积来沉积间隙填充层的方法
US11098069B2 (en) 2018-01-30 2021-08-24 Versum Materials Us, Llc Organoamino-functionalized cyclic oligosiloxanes for deposition of silicon-containing films
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
US10896820B2 (en) 2018-02-14 2021-01-19 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
US11685991B2 (en) 2018-02-14 2023-06-27 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
KR102636427B1 (ko) 2018-02-20 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 장치
US10975470B2 (en) 2018-02-23 2021-04-13 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
US11629406B2 (en) 2018-03-09 2023-04-18 Asm Ip Holding B.V. Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate
US11114283B2 (en) 2018-03-16 2021-09-07 Asm Ip Holding B.V. Reactor, system including the reactor, and methods of manufacturing and using same
KR102646467B1 (ko) 2018-03-27 2024-03-11 에이에스엠 아이피 홀딩 비.브이. 기판 상에 전극을 형성하는 방법 및 전극을 포함하는 반도체 소자 구조
US11230766B2 (en) 2018-03-29 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
US11088002B2 (en) 2018-03-29 2021-08-10 Asm Ip Holding B.V. Substrate rack and a substrate processing system and method
SG10201903201XA (en) 2018-04-11 2019-11-28 Versum Materials Us Llc Organoamino-functionalized cyclic oligosiloxanes for deposition of silicon-containing films
KR20190128558A (ko) 2018-05-08 2019-11-18 에이에스엠 아이피 홀딩 비.브이. 기판 상에 산화물 막을 주기적 증착 공정에 의해 증착하기 위한 방법 및 관련 소자 구조
KR102596988B1 (ko) 2018-05-28 2023-10-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 그에 의해 제조된 장치
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
US11270899B2 (en) 2018-06-04 2022-03-08 Asm Ip Holding B.V. Wafer handling chamber with moisture reduction
US11286562B2 (en) 2018-06-08 2022-03-29 Asm Ip Holding B.V. Gas-phase chemical reactor and method of using same
KR102568797B1 (ko) 2018-06-21 2023-08-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 시스템
US10797133B2 (en) 2018-06-21 2020-10-06 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
KR20210024462A (ko) 2018-06-27 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 금속 함유 재료를 형성하기 위한 주기적 증착 방법 및 금속 함유 재료를 포함하는 필름 및 구조체
WO2020003000A1 (en) 2018-06-27 2020-01-02 Asm Ip Holding B.V. Cyclic deposition methods for forming metal-containing material and films and structures including the metal-containing material
US10612136B2 (en) 2018-06-29 2020-04-07 ASM IP Holding, B.V. Temperature-controlled flange and reactor system including same
US10755922B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10388513B1 (en) 2018-07-03 2019-08-20 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US11053591B2 (en) 2018-08-06 2021-07-06 Asm Ip Holding B.V. Multi-port gas injection system and reactor system including same
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US11024523B2 (en) 2018-09-11 2021-06-01 Asm Ip Holding B.V. Substrate processing apparatus and method
KR20200030162A (ko) 2018-09-11 2020-03-20 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
US11049751B2 (en) 2018-09-14 2021-06-29 Asm Ip Holding B.V. Cassette supply system to store and handle cassettes and processing apparatus equipped therewith
CN110970344A (zh) 2018-10-01 2020-04-07 Asm Ip控股有限公司 衬底保持设备、包含所述设备的系统及其使用方法
US11232963B2 (en) 2018-10-03 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102592699B1 (ko) 2018-10-08 2023-10-23 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 박막 증착 장치와 기판 처리 장치
KR102605121B1 (ko) 2018-10-19 2023-11-23 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
KR102546322B1 (ko) 2018-10-19 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
USD948463S1 (en) 2018-10-24 2022-04-12 Asm Ip Holding B.V. Susceptor for semiconductor substrate supporting apparatus
US11087997B2 (en) 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
KR20200051105A (ko) 2018-11-02 2020-05-13 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 기판 처리 장치
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US11031242B2 (en) 2018-11-07 2021-06-08 Asm Ip Holding B.V. Methods for depositing a boron doped silicon germanium film
US10818758B2 (en) 2018-11-16 2020-10-27 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US10847366B2 (en) 2018-11-16 2020-11-24 Asm Ip Holding B.V. Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process
US11217444B2 (en) 2018-11-30 2022-01-04 Asm Ip Holding B.V. Method for forming an ultraviolet radiation responsive metal oxide-containing film
KR102636428B1 (ko) 2018-12-04 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치를 세정하는 방법
TW202035361A (zh) * 2018-12-12 2020-10-01 美商3M新設資產公司 氟化胺氧化物界面活性劑
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
JP2020096183A (ja) 2018-12-14 2020-06-18 エーエスエム・アイピー・ホールディング・ベー・フェー 窒化ガリウムの選択的堆積を用いてデバイス構造体を形成する方法及びそのためのシステム
TWI819180B (zh) 2019-01-17 2023-10-21 荷蘭商Asm 智慧財產控股公司 藉由循環沈積製程於基板上形成含過渡金屬膜之方法
KR20200091543A (ko) 2019-01-22 2020-07-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
CN111524788B (zh) 2019-02-01 2023-11-24 Asm Ip私人控股有限公司 氧化硅的拓扑选择性膜形成的方法
CN113383108A (zh) * 2019-02-05 2021-09-10 弗萨姆材料美国有限责任公司 碳掺杂氧化硅的沉积
KR20200102357A (ko) 2019-02-20 2020-08-31 에이에스엠 아이피 홀딩 비.브이. 3-d nand 응용의 플러그 충진체 증착용 장치 및 방법
JP2020136678A (ja) 2019-02-20 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材表面内に形成された凹部を充填するための方法および装置
TW202104632A (zh) 2019-02-20 2021-02-01 荷蘭商Asm Ip私人控股有限公司 用來填充形成於基材表面內之凹部的循環沉積方法及設備
KR102626263B1 (ko) 2019-02-20 2024-01-16 에이에스엠 아이피 홀딩 비.브이. 처리 단계를 포함하는 주기적 증착 방법 및 이를 위한 장치
JP2020133004A (ja) 2019-02-22 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材を処理するための基材処理装置および方法
KR20200108243A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOC 층을 포함한 구조체 및 이의 형성 방법
KR20200108242A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. 실리콘 질화물 층을 선택적으로 증착하는 방법, 및 선택적으로 증착된 실리콘 질화물 층을 포함하는 구조체
KR20200108248A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOCN 층을 포함한 구조체 및 이의 형성 방법
KR20200116033A (ko) 2019-03-28 2020-10-08 에이에스엠 아이피 홀딩 비.브이. 도어 개방기 및 이를 구비한 기판 처리 장치
KR20200116855A (ko) 2019-04-01 2020-10-13 에이에스엠 아이피 홀딩 비.브이. 반도체 소자를 제조하는 방법
US20200317702A1 (en) * 2019-04-05 2020-10-08 Versum Materials Us, Llc Organoamino Functionalized Cyclic Oligosiloxanes For Deposition Of Silicon-Containing Films
US20210017198A1 (en) 2019-04-05 2021-01-21 Versum Materials Us, Llc Organoamino-Functionalized Cyclic Oligosiloxanes For Deposition Of Silicon-Containing Films
US11447864B2 (en) 2019-04-19 2022-09-20 Asm Ip Holding B.V. Layer forming method and apparatus
KR20200125453A (ko) 2019-04-24 2020-11-04 에이에스엠 아이피 홀딩 비.브이. 기상 반응기 시스템 및 이를 사용하는 방법
KR20200130118A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 비정질 탄소 중합체 막을 개질하는 방법
KR20200130121A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 딥 튜브가 있는 화학물질 공급원 용기
KR20200130652A (ko) 2019-05-10 2020-11-19 에이에스엠 아이피 홀딩 비.브이. 표면 상에 재료를 증착하는 방법 및 본 방법에 따라 형성된 구조
JP2020188254A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
JP2020188255A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
USD935572S1 (en) 2019-05-24 2021-11-09 Asm Ip Holding B.V. Gas channel plate
USD922229S1 (en) 2019-06-05 2021-06-15 Asm Ip Holding B.V. Device for controlling a temperature of a gas supply unit
KR20200141003A (ko) 2019-06-06 2020-12-17 에이에스엠 아이피 홀딩 비.브이. 가스 감지기를 포함하는 기상 반응기 시스템
KR20200143254A (ko) 2019-06-11 2020-12-23 에이에스엠 아이피 홀딩 비.브이. 개질 가스를 사용하여 전자 구조를 형성하는 방법, 상기 방법을 수행하기 위한 시스템, 및 상기 방법을 사용하여 형성되는 구조
USD944946S1 (en) 2019-06-14 2022-03-01 Asm Ip Holding B.V. Shower plate
USD931978S1 (en) 2019-06-27 2021-09-28 Asm Ip Holding B.V. Showerhead vacuum transport
KR20210005515A (ko) 2019-07-03 2021-01-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치용 온도 제어 조립체 및 이를 사용하는 방법
JP2021015791A (ja) 2019-07-09 2021-02-12 エーエスエム アイピー ホールディング ビー.ブイ. 同軸導波管を用いたプラズマ装置、基板処理方法
CN112216646A (zh) 2019-07-10 2021-01-12 Asm Ip私人控股有限公司 基板支撑组件及包括其的基板处理装置
KR20210010307A (ko) 2019-07-16 2021-01-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210010816A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 라디칼 보조 점화 플라즈마 시스템 및 방법
KR20210010820A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 실리콘 게르마늄 구조를 형성하는 방법
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
CN112242296A (zh) 2019-07-19 2021-01-19 Asm Ip私人控股有限公司 形成拓扑受控的无定形碳聚合物膜的方法
CN112309843A (zh) 2019-07-29 2021-02-02 Asm Ip私人控股有限公司 实现高掺杂剂掺入的选择性沉积方法
CN112309899A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
CN112309900A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
CN112323048B (zh) 2019-08-05 2024-02-09 Asm Ip私人控股有限公司 用于化学源容器的液位传感器
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
JP2021031769A (ja) 2019-08-21 2021-03-01 エーエスエム アイピー ホールディング ビー.ブイ. 成膜原料混合ガス生成装置及び成膜装置
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
USD930782S1 (en) 2019-08-22 2021-09-14 Asm Ip Holding B.V. Gas distributor
USD940837S1 (en) 2019-08-22 2022-01-11 Asm Ip Holding B.V. Electrode
USD949319S1 (en) 2019-08-22 2022-04-19 Asm Ip Holding B.V. Exhaust duct
KR20210024423A (ko) 2019-08-22 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 홀을 구비한 구조체를 형성하기 위한 방법
KR20210024420A (ko) 2019-08-23 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 비스(디에틸아미노)실란을 사용하여 peald에 의해 개선된 품질을 갖는 실리콘 산화물 막을 증착하기 위한 방법
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
KR20210029090A (ko) 2019-09-04 2021-03-15 에이에스엠 아이피 홀딩 비.브이. 희생 캡핑 층을 이용한 선택적 증착 방법
KR20210029663A (ko) 2019-09-05 2021-03-16 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
CN112593212B (zh) 2019-10-02 2023-12-22 Asm Ip私人控股有限公司 通过循环等离子体增强沉积工艺形成拓扑选择性氧化硅膜的方法
TW202129060A (zh) 2019-10-08 2021-08-01 荷蘭商Asm Ip控股公司 基板處理裝置、及基板處理方法
TW202115273A (zh) 2019-10-10 2021-04-16 荷蘭商Asm Ip私人控股有限公司 形成光阻底層之方法及包括光阻底層之結構
KR20210045930A (ko) 2019-10-16 2021-04-27 에이에스엠 아이피 홀딩 비.브이. 실리콘 산화물의 토폴로지-선택적 막의 형성 방법
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
KR20210047808A (ko) 2019-10-21 2021-04-30 에이에스엠 아이피 홀딩 비.브이. 막을 선택적으로 에칭하기 위한 장치 및 방법
KR20210050453A (ko) 2019-10-25 2021-05-07 에이에스엠 아이피 홀딩 비.브이. 기판 표면 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
KR20210054983A (ko) 2019-11-05 2021-05-14 에이에스엠 아이피 홀딩 비.브이. 도핑된 반도체 층을 갖는 구조체 및 이를 형성하기 위한 방법 및 시스템
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
KR20210062561A (ko) 2019-11-20 2021-05-31 에이에스엠 아이피 홀딩 비.브이. 기판의 표면 상에 탄소 함유 물질을 증착하는 방법, 상기 방법을 사용하여 형성된 구조물, 및 상기 구조물을 형성하기 위한 시스템
CN112951697A (zh) 2019-11-26 2021-06-11 Asm Ip私人控股有限公司 基板处理设备
KR20210065848A (ko) 2019-11-26 2021-06-04 에이에스엠 아이피 홀딩 비.브이. 제1 유전체 표면과 제2 금속성 표면을 포함한 기판 상에 타겟 막을 선택적으로 형성하기 위한 방법
CN112885692A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
CN112885693A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
JP2021090042A (ja) 2019-12-02 2021-06-10 エーエスエム アイピー ホールディング ビー.ブイ. 基板処理装置、基板処理方法
KR20210070898A (ko) 2019-12-04 2021-06-15 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210078405A (ko) 2019-12-17 2021-06-28 에이에스엠 아이피 홀딩 비.브이. 바나듐 나이트라이드 층을 형성하는 방법 및 바나듐 나이트라이드 층을 포함하는 구조
KR20210080214A (ko) 2019-12-19 2021-06-30 에이에스엠 아이피 홀딩 비.브이. 기판 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
JP2021109175A (ja) 2020-01-06 2021-08-02 エーエスエム・アイピー・ホールディング・ベー・フェー ガス供給アセンブリ、その構成要素、およびこれを含む反応器システム
US11993847B2 (en) 2020-01-08 2024-05-28 Asm Ip Holding B.V. Injector
KR20210095050A (ko) 2020-01-20 2021-07-30 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법 및 박막 표면 개질 방법
TW202130846A (zh) 2020-02-03 2021-08-16 荷蘭商Asm Ip私人控股有限公司 形成包括釩或銦層的結構之方法
TW202146882A (zh) 2020-02-04 2021-12-16 荷蘭商Asm Ip私人控股有限公司 驗證一物品之方法、用於驗證一物品之設備、及用於驗證一反應室之系統
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
US11781243B2 (en) 2020-02-17 2023-10-10 Asm Ip Holding B.V. Method for depositing low temperature phosphorous-doped silicon
TW202203344A (zh) 2020-02-28 2022-01-16 荷蘭商Asm Ip控股公司 專用於零件清潔的系統
JP7386732B2 (ja) * 2020-03-06 2023-11-27 東京エレクトロン株式会社 成膜方法
US11876356B2 (en) 2020-03-11 2024-01-16 Asm Ip Holding B.V. Lockout tagout assembly and system and method of using same
KR20210116240A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 조절성 접합부를 갖는 기판 핸들링 장치
KR20210117157A (ko) 2020-03-12 2021-09-28 에이에스엠 아이피 홀딩 비.브이. 타겟 토폴로지 프로파일을 갖는 층 구조를 제조하기 위한 방법
EP4110968A4 (en) 2020-04-02 2023-09-13 Versum Materials US, LLC ORGANOAMINO-FUNCTIONALIZED CYCLIC OLIGOSILOXANES FOR THE DEPOSITION OF SILICON-CONTAINING FILM
KR20210124042A (ko) 2020-04-02 2021-10-14 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법
TW202146689A (zh) 2020-04-03 2021-12-16 荷蘭商Asm Ip控股公司 阻障層形成方法及半導體裝置的製造方法
TW202145344A (zh) 2020-04-08 2021-12-01 荷蘭商Asm Ip私人控股有限公司 用於選擇性蝕刻氧化矽膜之設備及方法
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
US11996289B2 (en) 2020-04-16 2024-05-28 Asm Ip Holding B.V. Methods of forming structures including silicon germanium and silicon layers, devices formed using the methods, and systems for performing the methods
KR20210132600A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐, 질소 및 추가 원소를 포함한 층을 증착하기 위한 방법 및 시스템
TW202146831A (zh) 2020-04-24 2021-12-16 荷蘭商Asm Ip私人控股有限公司 垂直批式熔爐總成、及用於冷卻垂直批式熔爐之方法
TW202140831A (zh) 2020-04-24 2021-11-01 荷蘭商Asm Ip私人控股有限公司 形成含氮化釩層及包含該層的結構之方法
KR20210134226A (ko) 2020-04-29 2021-11-09 에이에스엠 아이피 홀딩 비.브이. 고체 소스 전구체 용기
KR20210134869A (ko) 2020-05-01 2021-11-11 에이에스엠 아이피 홀딩 비.브이. Foup 핸들러를 이용한 foup의 빠른 교환
KR20210141379A (ko) 2020-05-13 2021-11-23 에이에스엠 아이피 홀딩 비.브이. 반응기 시스템용 레이저 정렬 고정구
KR20210143653A (ko) 2020-05-19 2021-11-29 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210145078A (ko) 2020-05-21 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 다수의 탄소 층을 포함한 구조체 및 이를 형성하고 사용하는 방법
TW202200837A (zh) 2020-05-22 2022-01-01 荷蘭商Asm Ip私人控股有限公司 用於在基材上形成薄膜之反應系統
TW202201602A (zh) 2020-05-29 2022-01-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202218133A (zh) 2020-06-24 2022-05-01 荷蘭商Asm Ip私人控股有限公司 形成含矽層之方法
TW202217953A (zh) 2020-06-30 2022-05-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
KR20220010438A (ko) 2020-07-17 2022-01-25 에이에스엠 아이피 홀딩 비.브이. 포토리소그래피에 사용하기 위한 구조체 및 방법
TW202204662A (zh) 2020-07-20 2022-02-01 荷蘭商Asm Ip私人控股有限公司 用於沉積鉬層之方法及系統
TW202212623A (zh) 2020-08-26 2022-04-01 荷蘭商Asm Ip私人控股有限公司 形成金屬氧化矽層及金屬氮氧化矽層的方法、半導體結構、及系統
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
TW202229613A (zh) 2020-10-14 2022-08-01 荷蘭商Asm Ip私人控股有限公司 於階梯式結構上沉積材料的方法
KR20220053482A (ko) 2020-10-22 2022-04-29 에이에스엠 아이피 홀딩 비.브이. 바나듐 금속을 증착하는 방법, 구조체, 소자 및 증착 어셈블리
TW202223136A (zh) 2020-10-28 2022-06-16 荷蘭商Asm Ip私人控股有限公司 用於在基板上形成層之方法、及半導體處理系統
TW202235675A (zh) 2020-11-30 2022-09-16 荷蘭商Asm Ip私人控股有限公司 注入器、及基板處理設備
US11946137B2 (en) 2020-12-16 2024-04-02 Asm Ip Holding B.V. Runout and wobble measurement fixtures
TW202231903A (zh) 2020-12-22 2022-08-16 荷蘭商Asm Ip私人控股有限公司 過渡金屬沉積方法、過渡金屬層、用於沉積過渡金屬於基板上的沉積總成
CN113150285B (zh) * 2021-04-20 2022-08-09 哈尔滨工业大学 利用脱氢偶联反应合成氮杂环改性有机硅树脂的制备方法
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
USD1023959S1 (en) 2021-05-11 2024-04-23 Asm Ip Holding B.V. Electrode for substrate processing apparatus
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
CN113540375B (zh) * 2021-06-04 2022-06-03 华中科技大学 干涉滤光膜及其制备方法和发光装置
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate
CN115558483A (zh) * 2022-11-07 2023-01-03 中国石油天然气集团有限公司 一种气井压裂用微乳液疏气剂及其制备方法

Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH05279856A (ja) * 1992-03-31 1993-10-26 Nec Corp 気相成長方法
US5330836A (en) * 1992-09-03 1994-07-19 Temple University-Of The Common Commonwealth System Of Higher Education Functionalized silica particle and use thereof for cross-linking silicones
CN104672265A (zh) * 2013-09-20 2015-06-03 气体产品与化学公司 有机氨基硅烷前体和包含该前体的沉积膜的方法
CN109963963A (zh) * 2016-09-19 2019-07-02 弗萨姆材料美国有限责任公司 用于沉积氧化硅膜的组合物和方法

Family Cites Families (25)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US3700712A (en) 1971-09-07 1972-10-24 Union Carbide Corp Process for preparing silicon peroxide compounds
JP2614338B2 (ja) 1990-01-11 1997-05-28 株式会社東芝 液体ソース容器
US5196559A (en) * 1992-01-23 1993-03-23 Dow Corning Corporation Equilibration of cyclic siloxanes with novel catalysts
US5465766A (en) 1993-04-28 1995-11-14 Advanced Delivery & Chemical Systems, Inc. Chemical refill system for high purity chemicals
US6077356A (en) 1996-12-17 2000-06-20 Advanced Technology Materials, Inc. Reagent supply vessel for chemical vapor deposition
US6953047B2 (en) 2002-01-14 2005-10-11 Air Products And Chemicals, Inc. Cabinet for chemical delivery with solvent purging
US7084076B2 (en) 2003-02-27 2006-08-01 Samsung Electronics, Co., Ltd. Method for forming silicon dioxide film using siloxane
US8530361B2 (en) 2006-05-23 2013-09-10 Air Products And Chemicals, Inc. Process for producing silicon and oxide films from organoaminosilane precursors
US9337054B2 (en) * 2007-06-28 2016-05-10 Entegris, Inc. Precursors for silicon dioxide gap fill
JP2010052986A (ja) * 2008-08-28 2010-03-11 Chromanik Technologies Inc 表面処理されたシリカ及びその製造方法
US20110151677A1 (en) * 2009-12-21 2011-06-23 Applied Materials, Inc. Wet oxidation process performed on a dielectric material formed from a flowable cvd process
US8912353B2 (en) 2010-06-02 2014-12-16 Air Products And Chemicals, Inc. Organoaminosilane precursors and methods for depositing films comprising same
US8771807B2 (en) 2011-05-24 2014-07-08 Air Products And Chemicals, Inc. Organoaminosilane precursors and methods for making and using same
KR101469265B1 (ko) * 2011-12-26 2014-12-04 제일모직주식회사 반도체 소자 밀봉용 에폭시 수지 조성물 및 이를 이용한 반도체 장치
US9337018B2 (en) 2012-06-01 2016-05-10 Air Products And Chemicals, Inc. Methods for depositing films with organoaminodisilane precursors
WO2014074336A1 (en) * 2012-11-08 2014-05-15 3M Innovative Properties Company Uv-curable silicone adhesive compositions
US9245740B2 (en) 2013-06-07 2016-01-26 Dnf Co., Ltd. Amino-silyl amine compound, method for preparing the same and silicon-containing thin-film using the same
ITBS20130115A1 (it) 2013-07-31 2015-02-01 Omb Saleri S P A Elemento e sistema catalitico, procedimento di fabbricazione di tale elemento
WO2015105350A1 (en) 2014-01-08 2015-07-16 Dnf Co.,Ltd. Novel cyclodisilazane derivative, method for preparing the same and silicon-containing thin film using the same
WO2015105337A1 (en) 2014-01-08 2015-07-16 Dnf Co.,Ltd. Novel trisilyl amine derivative, method for preparing the same and silicon-containing thin film using the same
US20150275355A1 (en) 2014-03-26 2015-10-01 Air Products And Chemicals, Inc. Compositions and methods for the deposition of silicon oxide films
WO2016065221A1 (en) * 2014-10-24 2016-04-28 Air Products And Chemicals, Inc. Compositions and methods using same for deposition of silicon-containing films
US9777378B2 (en) * 2015-01-07 2017-10-03 Applied Materials, Inc. Advanced process flow for high quality FCVD films
US10421766B2 (en) * 2015-02-13 2019-09-24 Versum Materials Us, Llc Bisaminoalkoxysilane compounds and methods for using same to deposit silicon-containing films
US9777025B2 (en) 2015-03-30 2017-10-03 L'Air Liquide, Société pour l'Etude et l'Exploitation des Procédés Georges Claude Si-containing film forming precursors and methods of using the same

Patent Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH05279856A (ja) * 1992-03-31 1993-10-26 Nec Corp 気相成長方法
US5330836A (en) * 1992-09-03 1994-07-19 Temple University-Of The Common Commonwealth System Of Higher Education Functionalized silica particle and use thereof for cross-linking silicones
CN104672265A (zh) * 2013-09-20 2015-06-03 气体产品与化学公司 有机氨基硅烷前体和包含该前体的沉积膜的方法
CN109963963A (zh) * 2016-09-19 2019-07-02 弗萨姆材料美国有限责任公司 用于沉积氧化硅膜的组合物和方法

Also Published As

Publication number Publication date
CN108395450A (zh) 2018-08-14
JP2020128407A (ja) 2020-08-27
TW201943723A (zh) 2019-11-16
JP6703019B2 (ja) 2020-06-03
JP2018154615A (ja) 2018-10-04
TWI691504B (zh) 2020-04-21
JP7141426B2 (ja) 2022-09-22
US11952465B2 (en) 2024-04-09
KR102153577B1 (ko) 2020-09-08
CN108395450B (zh) 2021-12-31
CN114318291A (zh) 2022-04-12
TWI673278B (zh) 2019-10-01
US20180223047A1 (en) 2018-08-09
JP2022179494A (ja) 2022-12-02
IL257372A (en) 2018-08-30
US20210017339A1 (en) 2021-01-21
TW201833125A (zh) 2018-09-16
IL257372B (en) 2022-01-01
SG10201801158QA (en) 2018-09-27
KR20180092295A (ko) 2018-08-17
EP3388440A1 (en) 2018-10-17
US10822458B2 (en) 2020-11-03

Similar Documents

Publication Publication Date Title
CN108395450B (zh) 用于沉积含硅膜的有机氨基官能化线性和环状低聚硅氧烷
US11098069B2 (en) Organoamino-functionalized cyclic oligosiloxanes for deposition of silicon-containing films
KR102270182B1 (ko) 규소 포함 필름의 증착을 위한, 유기 아미노로 작용화된 환식 올리고실록산
CN109804101B (zh) 用于高生长速率含硅膜的碳桥联氨基硅烷化合物
CN110891956A (zh) 作为用于高生长速率含硅膜的前体的官能化环硅氮烷
US20210017198A1 (en) Organoamino-Functionalized Cyclic Oligosiloxanes For Deposition Of Silicon-Containing Films
US20220044929A1 (en) Functionalized cyclosilazanes as precursors for high growth rate silicon-containing films
US20230167549A1 (en) Organoamino-polisiloxanes for deposition of silicon-containing films
TWI771760B (zh) 用於沉積含矽膜的有機胺官能化環寡矽氧烷及將含矽及氧膜沉積到一基材上之方法
CN115443347A (zh) 用于沉积含硅膜的有机氨基官能化环状低聚硅氧烷
US20220081453A1 (en) Organoamino-functionalized cyclic oligosiloxanes for deposition of silicon-containing films

Legal Events

Date Code Title Description
PB01 Publication
PB01 Publication
SE01 Entry into force of request for substantive examination
SE01 Entry into force of request for substantive examination