TWI691504B - 用於沉積含矽膜的有機胺官能基化的線性及環狀寡矽氧烷 - Google Patents

用於沉積含矽膜的有機胺官能基化的線性及環狀寡矽氧烷 Download PDF

Info

Publication number
TWI691504B
TWI691504B TW108132030A TW108132030A TWI691504B TW I691504 B TWI691504 B TW I691504B TW 108132030 A TW108132030 A TW 108132030A TW 108132030 A TW108132030 A TW 108132030A TW I691504 B TWI691504 B TW I691504B
Authority
TW
Taiwan
Prior art keywords
plasma
silicon
dimethylamino
oxygen
nitrogen
Prior art date
Application number
TW108132030A
Other languages
English (en)
Other versions
TW201943723A (zh
Inventor
滿超 蕭
馬修R 麥當勞
新建 雷
王美良
Original Assignee
美商慧盛材料美國責任有限公司
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 美商慧盛材料美國責任有限公司 filed Critical 美商慧盛材料美國責任有限公司
Publication of TW201943723A publication Critical patent/TW201943723A/zh
Application granted granted Critical
Publication of TWI691504B publication Critical patent/TWI691504B/zh

Links

Images

Classifications

    • CCHEMISTRY; METALLURGY
    • C08ORGANIC MACROMOLECULAR COMPOUNDS; THEIR PREPARATION OR CHEMICAL WORKING-UP; COMPOSITIONS BASED THEREON
    • C08GMACROMOLECULAR COMPOUNDS OBTAINED OTHERWISE THAN BY REACTIONS ONLY INVOLVING UNSATURATED CARBON-TO-CARBON BONDS
    • C08G77/00Macromolecular compounds obtained by reactions forming a linkage containing silicon with or without sulfur, nitrogen, oxygen or carbon in the main chain of the macromolecule
    • C08G77/04Polysiloxanes
    • C08G77/22Polysiloxanes containing silicon bound to organic groups containing atoms other than carbon, hydrogen and oxygen
    • C08G77/26Polysiloxanes containing silicon bound to organic groups containing atoms other than carbon, hydrogen and oxygen nitrogen-containing groups
    • CCHEMISTRY; METALLURGY
    • C07ORGANIC CHEMISTRY
    • C07FACYCLIC, CARBOCYCLIC OR HETEROCYCLIC COMPOUNDS CONTAINING ELEMENTS OTHER THAN CARBON, HYDROGEN, HALOGEN, OXYGEN, NITROGEN, SULFUR, SELENIUM OR TELLURIUM
    • C07F7/00Compounds containing elements of Groups 4 or 14 of the Periodic System
    • C07F7/02Silicon compounds
    • C07F7/025Silicon compounds without C-silicon linkages
    • CCHEMISTRY; METALLURGY
    • C07ORGANIC CHEMISTRY
    • C07FACYCLIC, CARBOCYCLIC OR HETEROCYCLIC COMPOUNDS CONTAINING ELEMENTS OTHER THAN CARBON, HYDROGEN, HALOGEN, OXYGEN, NITROGEN, SULFUR, SELENIUM OR TELLURIUM
    • C07F7/00Compounds containing elements of Groups 4 or 14 of the Periodic System
    • C07F7/02Silicon compounds
    • C07F7/08Compounds having one or more C—Si linkages
    • C07F7/10Compounds having one or more C—Si linkages containing nitrogen having a Si-N linkage
    • CCHEMISTRY; METALLURGY
    • C07ORGANIC CHEMISTRY
    • C07FACYCLIC, CARBOCYCLIC OR HETEROCYCLIC COMPOUNDS CONTAINING ELEMENTS OTHER THAN CARBON, HYDROGEN, HALOGEN, OXYGEN, NITROGEN, SULFUR, SELENIUM OR TELLURIUM
    • C07F7/00Compounds containing elements of Groups 4 or 14 of the Periodic System
    • C07F7/02Silicon compounds
    • C07F7/08Compounds having one or more C—Si linkages
    • C07F7/18Compounds having one or more C—Si linkages as well as one or more C—O—Si linkages
    • C07F7/1804Compounds having Si-O-C linkages
    • C07F7/1872Preparation; Treatments not provided for in C07F7/20
    • C07F7/1888Preparation; Treatments not provided for in C07F7/20 by reactions involving the formation of other Si-linkages, e.g. Si-N
    • CCHEMISTRY; METALLURGY
    • C07ORGANIC CHEMISTRY
    • C07FACYCLIC, CARBOCYCLIC OR HETEROCYCLIC COMPOUNDS CONTAINING ELEMENTS OTHER THAN CARBON, HYDROGEN, HALOGEN, OXYGEN, NITROGEN, SULFUR, SELENIUM OR TELLURIUM
    • C07F7/00Compounds containing elements of Groups 4 or 14 of the Periodic System
    • C07F7/02Silicon compounds
    • C07F7/21Cyclic compounds having at least one ring containing silicon, but no carbon in the ring
    • CCHEMISTRY; METALLURGY
    • C08ORGANIC MACROMOLECULAR COMPOUNDS; THEIR PREPARATION OR CHEMICAL WORKING-UP; COMPOSITIONS BASED THEREON
    • C08GMACROMOLECULAR COMPOUNDS OBTAINED OTHERWISE THAN BY REACTIONS ONLY INVOLVING UNSATURATED CARBON-TO-CARBON BONDS
    • C08G77/00Macromolecular compounds obtained by reactions forming a linkage containing silicon with or without sulfur, nitrogen, oxygen or carbon in the main chain of the macromolecule
    • C08G77/04Polysiloxanes
    • C08G77/045Polysiloxanes containing less than 25 silicon atoms
    • CCHEMISTRY; METALLURGY
    • C08ORGANIC MACROMOLECULAR COMPOUNDS; THEIR PREPARATION OR CHEMICAL WORKING-UP; COMPOSITIONS BASED THEREON
    • C08GMACROMOLECULAR COMPOUNDS OBTAINED OTHERWISE THAN BY REACTIONS ONLY INVOLVING UNSATURATED CARBON-TO-CARBON BONDS
    • C08G77/00Macromolecular compounds obtained by reactions forming a linkage containing silicon with or without sulfur, nitrogen, oxygen or carbon in the main chain of the macromolecule
    • C08G77/04Polysiloxanes
    • C08G77/06Preparatory processes
    • CCHEMISTRY; METALLURGY
    • C08ORGANIC MACROMOLECULAR COMPOUNDS; THEIR PREPARATION OR CHEMICAL WORKING-UP; COMPOSITIONS BASED THEREON
    • C08LCOMPOSITIONS OF MACROMOLECULAR COMPOUNDS
    • C08L83/00Compositions of macromolecular compounds obtained by reactions forming in the main chain of the macromolecule a linkage containing silicon with or without sulfur, nitrogen, oxygen or carbon only; Compositions of derivatives of such polymers
    • C08L83/04Polysiloxanes
    • C08L83/08Polysiloxanes containing silicon bound to organic groups containing atoms other than carbon, hydrogen and oxygen
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/40Oxides
    • C23C16/401Oxides containing silicon
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/40Oxides
    • C23C16/401Oxides containing silicon
    • C23C16/402Silicon dioxide
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45527Atomic layer deposition [ALD] characterized by the ALD cycle, e.g. different flows or temperatures during half-reactions, unusual pulsing sequence, use of precursor mixtures or auxiliary reactants or activations
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45527Atomic layer deposition [ALD] characterized by the ALD cycle, e.g. different flows or temperatures during half-reactions, unusual pulsing sequence, use of precursor mixtures or auxiliary reactants or activations
    • C23C16/45536Use of plasma, radiation or electromagnetic fields
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45553Atomic layer deposition [ALD] characterized by the use of precursors specially adapted for ALD
    • CCHEMISTRY; METALLURGY
    • C08ORGANIC MACROMOLECULAR COMPOUNDS; THEIR PREPARATION OR CHEMICAL WORKING-UP; COMPOSITIONS BASED THEREON
    • C08GMACROMOLECULAR COMPOUNDS OBTAINED OTHERWISE THAN BY REACTIONS ONLY INVOLVING UNSATURATED CARBON-TO-CARBON BONDS
    • C08G2390/00Containers
    • C08G2390/40Inner coatings for containers

Abstract

本發明揭示出一種具有至少二個矽及二個氧原子和有機胺基團之胺官能基化的線性及環狀寡矽氧烷及用以製造該寡矽氧烷之方法。本發明亦揭示出一種使用該有機胺官能基化的線性及環狀寡矽氧烷來沉積含矽及氧膜之方法。

Description

用於沉積含矽膜的有機胺官能基化的線性及環狀寡矽氧烷
相關申請案之相互參照 本申請案在35 U.S.C. §119(e)下主張2017年2月8日提出的美國臨時專利申請案序號62/456,297,及2017年10月20日提出的臨時專利申請案序號62/574,952之優先權的利益,其整體以參考之方式併入本文。
發明領域 本發明係關於一種可使用來沉積含矽及氧膜(例如,氧化矽、除了含矽及氧膜以外的氧碳氮化矽、氧碳化矽、摻雜碳的氧化矽)之有機矽化合物、使用該化合物來沉積含氧化矽膜的方法和從該化合物及方法獲得之膜。
於本文中描述出一種新穎的有機胺官能基化的線性及環狀寡矽氧烷前驅物化合物、及包含其之組成物、及經由熱原子層沉積(ALD)或電漿輔助原子層沉積(PEALD)方法或其組合來沉積含矽膜諸如但不限於氧化矽、氧氮化矽、氧碳氮化矽或摻雜碳的氧化矽之方法。更特別是,於本文中描述出一種用以在約600℃或較低,包括例如約25℃至約300℃之一或多種沉積溫度下,形成含矽之化學計量或非化學計量膜或材料的組成物及方法。
發明背景 原子層沉積法(ALD)及電漿輔助原子層沉積法(PEALD)係使用來在低溫(>500℃)下沉積例如氧化矽保形膜之方法。在ALD及PEALD方法二者中,分別脈衝該前驅物與反應性氣體(諸如,氧或臭氧)某一循環次數,以便在每個循環時形成單層氧化矽。但是,使用這些方法在低溫下沉積的氧化矽可包括會於某些半導體應用中有害之雜質諸如但不限於碳(C)或氫(H)程度。為了補救此,一種可能的解決方案為將沉積溫度提高至500℃或較高。但是,在這些較高溫度下,由半導體工業所使用的習知前驅物趨向於自反應、熱分解及以化學氣相沉積(CVD)模式而非ALD模式沉積。與ALD沉積比較,特別是對在許多半導體應用中需要的高縱深比率結構來說,CVD模式沉積具有減低的保形性。此外,以CVD模式沉積具有比以ALD模式沉積低的膜或材料厚度控制性。
在技藝中已知可經由原子層沉積(ALD)及電漿輔助原子層沉積(PEALD)方法,使用有機胺矽烷及氯矽烷前驅物,於相當低溫(>300℃)及相當高的每循環生長(GPC>1.5埃/循環)下沉積含矽膜。
已知的前驅物及方法之實施例係揭示在下列公告、專利及專利申請案中。
美國專利案號7,084,076 B2描述出使用鹼催化型ALD方法,使用經鹵素或NCO取代的二矽氧烷前驅物來沉積氧化矽膜。
美國公開案號2015087139 AA描述出經由熱ALD或PEALD方法,使用胺官能基化的碳矽烷來沉積含矽膜。
美國專利案號9,337,018 B2描述出經由熱ALD或PEALD方法,使用有機胺二矽烷來沉積含矽膜。
美國專利案號8,940,648 B2、9,005,719 B2及8,912,353 B2描述出經由熱ALD或PEALD方法,使用有機胺矽烷來沉積含矽膜。
美國公開案號2015275355 AA描述出經由熱ALD或PEALD方法,使用單及雙(有機胺)烷基矽烷來沉積含矽膜。
美國公開案號2015376211A描述出經由熱ALD或PEALD方法,使用經單(有機胺)、鹵基及假鹵基取代的三甲矽基胺來沉積含矽膜。
公開案號WO 15105337及美國專利案號9,245,740 B2描述出經由熱ALD或PEALD方法,使用烷基化的三甲矽基胺來沉積含矽膜。
公開案號WO 15105350描述出經由熱ALD或PEALD方法,使用具有至少一個Si-H鍵之4-員環的環二矽氮烷來沉積含矽膜。
美國專利案號7,084,076 B2描述出使用鹼催化型ALD方法,使用經鹵素或NCO取代的二矽氧烷前驅物來沉積氧化矽膜。
先前確認的專利及專利申請案之揭示藉此以參考方式併入本文。
在此技藝中,對以高每循環生長(GPC)來沉積含氧化矽膜之前驅物及方法有需求,以便最大化在半導體製造設備中的生產量。雖然某些前驅物能以>2.0埃/循環GPC進行沉積,除了別的缺點以外,這些前驅物具有諸如低膜品質(元素污染、低密度、差的電性質、高溼式蝕刻速率)、高製程溫度、需要觸媒、昂貴、製造出低保形性膜之缺點。
發明概要 本研發藉由提供一種含矽及氧的前驅物解決與習知前驅物及方法相關的問題,特別是該前驅物係具有至少二個矽及二個氧原子和有機胺基團之有機胺官能基化的線性及環狀寡矽氧烷,其中提供該有機胺基團以將該寡矽氧烷單元錨定至基材表面係作為該沉積含矽及氧膜之方法的部分。與在上述背景章節中所描述的那些比較,於本發明中所揭示出之多矽前驅物具有新穎的結構,因此,可在關於前驅物合成的成本或方便性;前驅物的物理性質,包括熱穩定性、反應性或揮發性;沉積含矽膜的方法、或所沉積的含矽膜之性質的一或多種態樣中提供優點。
於本文中揭示出一種包含至少一種選自於由式A、B、C、D及E所組成之群的有機胺官能基化的寡矽氧烷化合物之組成物:
Figure 02_image001
Figure 02_image003
Figure 02_image005
Figure 02_image007
Figure 02_image009
; 其中R1 係選自於線性C1 至C10 烷基、分枝的C3 至C10 烷基、C3 至C10 環烷基、C3 至C10 雜環基團、C3 至C10 烯基、C3 至C10 炔基及C4 至C10 芳基;R2 係選自於由下列所組成之群:氫、C1 至C10 線性烷基、分枝的C3 至C10 烷基、C3 至C10 環烷基、C3 至C10 雜環基團、C3 至C10 烯基、C3 至C10 炔基及C4 至C10 芳基,其中R1 與R2 係連結而形成一環狀環結構或未連結而形成一環狀環結構;R3-10 各者各自獨立地選自於氫、線性C1 至C10 烷基、分枝的C3 至C10 烷基、C3 至C10 環烷基、C2 至C10 烯基、C2 至C10 炔基及C4 至C10 芳基;及X係選自於由下列所組成之群:線性C1 至C10 烷基、分枝的C3 至C10 烷基、C3 至C10 環烷基、C2 至C10 烯基、C2 至C10 炔基、C4 至C10 芳基、C1 至C10 醯基、胺基團(-NR1 R2 )、H、Cl、Br、I、F、C2 至C12 羧酸酯基團、C1 至C10 線性或分枝的烷氧基、三甲基矽烷氧基、二甲基矽烷氧基、甲基矽烷氧基及矽烷氧基,其中R1 與X係連結而形成一環狀環或未連結而形成一環狀環,其中若在式C中的R1-8 全部係甲基時,X不可為三甲基矽烷氧基;及其中若在式D中的R1-10 全部係甲基時,X不可為甲基或二甲基胺基。
於本文中描述出一種方法,其係在相當低溫下,例如,在600℃或較低的一或多種溫度下,使用電漿輔助ALD(PEALD)、電漿輔助循環化學氣相沉積(PECCVD)、流動式化學氣相沉積(flowable chemical vapor deposition)(FCVD)、電漿輔助流動式化學氣相沉積(PEFCVD)、電漿輔助類ALD方法或ALD方法與含氧的反應物來源、含氮的反應物來源或其組合,沉積含矽及氧之化學計量或非化學計量材料或膜,諸如但不限於氧化矽、摻雜碳的氧化矽、氧氮化矽膜或摻雜碳的氧氮化矽膜。
在一種態樣中,於本文中揭示出一種用以在基材上沉積含矽及氧膜的方法,該方法包括下列步驟:(a)在一反應器中提供一基材;(b)將至少一種選自於由式A、B、C、D及E所組成之群的矽前驅物化合物引進該反應器中:
Figure 02_image001
Figure 02_image003
Figure 02_image005
Figure 02_image007
Figure 02_image009
其中R1 係選自於線性C1 至C10 烷基、分枝的C3 至C10 烷基、C3 至C10 環烷基、C3 至C10 雜環基團、C3 至C10 烯基、C3 至C10 炔基及C4 至C10 芳基;R2 係選自於由下列所組成之群:氫、C1 至C10 線性烷基、分枝的C3 至C10 烷基、C3 至C10 環烷基、C3 至C10 雜環基團、C3 至C10 烯基、C3 至C10 炔基及C4 至C10 芳基,其中R1 與R2 係連結而形成一環狀環結構或未連結而形成一環狀環結構;R3-10 各者各自獨立地選自於氫、線性C1 至C10 烷基、分枝的C3 至C10 烷基、C3 至C10 環烷基、C2 至C10 烯基、C2 至C10 炔基及C4 至C10 芳基;X係選自於由下列所組成之群:線性C1 至C10 烷基、分枝的C3 至C10 烷基、C3 至C10 環烷基、C2 至C10 烯基、C2 至C10 炔基、C4 至C10 芳基、C1 至C10 醯基、胺基團(-NR1 R2 )、H、Cl、Br、I、F、C2 至C12 羧酸酯基團、C1 至C10 線性或分枝的烷氧基、三甲基矽烷氧基、二甲基矽烷氧基、甲基矽烷氧基或矽烷氧基,其中R1 與X係連結而形成一環狀環或未連結而形成一環狀環;(c)以沖洗氣體沖洗該反應器;(d)將含氧來源及含氮來源之至少一種引進該反應器中;及(e)以沖洗氣體沖洗該反應器,其中重覆步驟b至e直到沉積想要的膜厚度;及其中該方法係在範圍約25℃至600℃之一或多種溫度下進行。
於本文中亦揭示出一種製造上述化合物之方法。
本發明之具體實例可單獨或彼此組合著使用。
較佳實施例之詳細說明 除非其它方面於本文中有指示出或上下文有明顯矛盾,否則在描述出本發明的上下文中(特別在下列申請專利範圍之上下文中)所使用的用語”一”及”一種”及”該”及類似指示對象欲推斷為涵蓋單數及複數二者。除非其它方面有提到,否則用語”包含(comprising)”、”具有(having)”、”包括(including)”及”包括(containing)”欲推斷為開放式用語(即,意謂著”包括但不限於”)。除非其它方面於本文中有指示出,否則於本文中所列舉的值範圍全然意欲提供作為各別指出落在該範圍內的每個分別值之速記方法,及每個分別值如若其各別於本文中所敘述般併入本專利說明書中。除非其它方面於本文中有指示出或其它方面上下文有明顯矛盾,否則於本文中描述的全部方法可以任何合適的順序進行。除非其它方面有主張,否則於本文中提供的任何及全部實施例或範例性文字(例如,”諸如”)之使用全然意欲較好地闡明本發明且不在本發明之範圍上引起限制。在本專利說明書中並無文字應該推斷為指示出任何未主張的元素作為實行本發明之必需。
於本文中描述的組成物及方法係與在約600℃或較低,或約25℃至約600℃,及在某些具體實例中,25℃至約300℃之一或多種溫度下,形成包含矽及氧之化學計量或非化學計量膜或材料,諸如不限於,氧化矽、摻雜碳的氧化矽膜、氧氮化矽、或摻雜碳的氧氮化矽膜或其組合相關。於本文中所描述的膜係以諸如原子層沉積(ALD)或類ALD方法之沉積方法進行沉積,諸如但不限於,電漿輔助ALD(PEALD)、或電漿輔助循環化學氣相沉積方法(PECCVD)、流動式化學氣相沉積(FCVD)、或電漿輔助流動式化學氣相沉積(PEFCVD)。於本文中描述的低溫沉積(例如,範圍約周溫至600℃之一或多種沉積溫度)方法提供具有至少下列一或多個優點的膜或材料:密度約2.1克/立方公分或較大、低化學雜質;在熱原子層沉積、電漿輔助原子層沉積(ALD)方法或電漿輔助類ALD方法中高保形性;調整在所產生的膜中之碳含量的能力;及/或當在0.5重量%的稀HF中進行測量時,該膜具有蝕刻速率係每秒5埃(埃/秒)或較少。對摻雜碳的氧化矽膜來說,想要大於1%的碳,以便除了其它特徵諸如但不限於密度約1.8克/立方公分或較大或約2.0克/立方公分或較大外,將其在0.5重量%的稀HF中之蝕刻速率值調整至低於2埃/秒。
於本文中揭示出的方法可使用技藝已知之設備實行。例如,該方法可使用半導體製造技藝習知的反應器。
不意欲由任何理論或解釋界限,咸信於本文中揭示出的前驅物組成物之效率可以矽原子及特別是矽原子鍵結數的函數變化。於本文中揭示出的前驅物典型具有在3至5個間之矽原子及在5至8個間之矽-氧鍵。
於本文中揭示出的前驅物具有與在此技藝中已知者不同的結構,因此,能夠表現的比習知含矽前驅物好且提供相當高的GPC、產生較高品質的膜、具有適宜的溼式蝕刻速率或具有較少的元素污染。
於本文中揭示出一種用於使用氣相沉積方法來沉積選自於氧化矽、摻雜碳的氧化矽或羧基氮化矽膜之膜的組成物,該組成物包含具有式A、式B、式C、式D或式E任一種的化合物:
Figure 02_image001
Figure 02_image003
Figure 02_image005
Figure 02_image007
Figure 02_image009
; 其中R1 係選自於線性C1 至C10 烷基、分枝的C3 至C10 烷基、C3 至C10 環烷基、C3 至C10 雜環基團、C3 至C10 烯基、C3 至C10 炔基及C4 至C10 芳基;R2 係選自於由下列所組成之群:氫、C1 至C10 線性烷基、分枝的C3 至C10 烷基、C3 至C10 環烷基、C3 至C10 雜環基團、C3 至C10 烯基、C3 至C10 炔基及C4 至C10 芳基,其中R1 與R2 係連結而形成一環狀環結構或未連結而形成一環狀環結構;R3-10 各者各自獨立地選自於氫、線性C1 至C10 烷基、分枝的C3 至C10 烷基、C3 至C10 環烷基、C2 至C10 烯基、C2 至C10 炔基及C4 至C10 芳基;及X係選自於由下列所組成之群:線性C1 至C10 烷基、分枝的C3 至C10 烷基、C3 至C10 環烷基、C2 至C10 烯基、C2 至C10 炔基、C4 至C10 芳基、C1 至C10 醯基、胺基團(-NR1 R2 )、H、Cl、Br、I、F、C2 至C12 羧酸酯基團、C1 至C10 線性或分枝的烷氧基、三甲基矽烷氧基、二甲基矽烷氧基、甲基矽烷氧基及矽烷氧基,其中R1 與X係連結而形成一環狀環或未連結而形成一環狀環,其中若在式C中的R1-8 全部係甲基時,X不可為三甲基矽烷氧基;及其中若在式D中的R1-10 全部係甲基時,X不可為甲基或二甲基胺基。
在較佳具體實例中,接受上述但書,R1-10 之至少一個係C1 至C4 烷基。對式A及B來說,較佳的具體實例包括R1-9 各者係C1 至C4 烷基之化合物。
在上述式中及遍及本說明,用語”寡矽氧烷”指示為包含至少二個重覆的-Si-O-矽氧烷單元,較佳為至少三個重覆的-Si-O-矽氧烷單元之化合物,及其可係環狀或線性結構,較佳為環狀結構。
在上述式中及遍及本說明,用語”烷基”指示為具有1至10個碳原子之線性或分枝的官能基。範例性線性烷基包括但不限於甲基、乙基、丙基、丁基、戊基及己基。範例性分枝的烷基包括但不限於異丙基、異丁基、二級丁基、三級丁基、異戊基、三級戊基、異己基及新己基。在某些具體實例中,該烷基可具有一或多個向那裏接附的官能基,諸如但不限於向那裏接附的烷氧基、二烷基胺基或其組合。在其它具體實例中,該烷基不具有一或多個官能基向那裏接附。該烷基可係飽和,或任擇地,不飽和。
在上述式中及遍及本說明,用語”環烷基”指示為具有3至10個碳原子的環狀官能基。範例性環烷基包括但不限於環丁基、環戊基、環己基及環辛基。
在上述式中及遍及本說明,用語”烯基”指示為具有一或多個碳-碳雙鍵及具有2至10或2至6個碳原子的基團。
在本文描述的式中及遍及本說明,用語”二烷基胺基”、”烷基胺基”或”有機胺”指示為具有二個烷基鍵結至一個氮原子或一個烷基鍵結至一個氮原子且具有1至10、或2至6、或2至4個碳原子之基團。實施例包括但不限於HNMe、HNBut 、NMe2 、NMeEt、NEt2 及NPri 2
在上述式中及遍及本說明,用語”芳基”指示為具有4至10個碳原子、5至10個碳原子、或6至10個碳原子的芳香族環狀官能基。範例性芳基包括但不限於苯基、苄基、氯苄基、甲苯基、鄰-茬基、1,2,3-三唑基、吡咯基及呋喃基。
遍及本說明,用語”烷基烴”指為線性或分枝的C1 至C20 烴、環狀C6 至C20 烴。範例性烴包括但不限於庚烷、辛烷、壬烷、癸烷、十二烷、環辛烷、環壬烷及環癸烷。
遍及本說明,用語”烷氧基”指為C1 至C10 的-OR1 基團,其中R1 係如上述定義。範例性烷氧基包括但不限於甲氧基、乙氧基、異丙氧基、正丙氧基、正丁氧基、二級丁氧基、三級丁氧基及苯氧化物。
遍及本說明,用語”羧酸酯”指為C2 至C12 的-OC(=O)R1 基團,其中R1 係如上述定義。範例性羧酸酯基團包括但不限於醋酸酯(-OC(=O)Me)、羧酸乙酯(-OC(=O)Et)、羧酸異丙酯(-OC(=O)i Pr)及苯甲酸酯(-OC(=O)Ph)。
遍及本說明,用語”芳香烴”指為C6 至C20 芳香烴。範例性芳香烴包括但不限於甲苯及均三甲苯。
在上述式中及遍及本說明,用語”雜環”意謂著約3至約10個環原子,較佳為約5至約10個環原子之非芳香族飽和單環或多環環系統,其中在該環系統中之一或多個原子係除了碳外的元素,例如氮、氧或硫。較佳的雜環包括約5至約6個環原子。在雜環前之字首吖、側氧或硫基各別意謂著至少存在氮、氧或硫原子作為環原子。該雜環基團係選擇性經取代。
具有式A-E之範例性有機胺官能基化的線性及環狀寡矽氧烷係列在表1中。 表1. 具有式A-E之範例性有機胺官能基化的線性及環狀寡矽氧烷,其中R1-10 各自獨立地選自於氫及C1 至C4 烷基:
Figure 108132030-A0304-0001
具有式A或B的化合物可例如藉由環三矽氧烷或環四矽氧烷與有機胺(例如,方程式(1)係用於環三矽氧烷;亦可使用環四矽氧烷,如在方程式(3)中般)之催化性去氫耦合;或氯化的環三矽氧烷與有機胺或有機胺之金屬鹽(例如,方程式2;亦可使用氯化的環四矽氧烷,如在方程式(4)中般)之反應而合成。
Figure 02_image081
Figure 02_image083
Figure 02_image085
Figure 02_image087
較佳的是,在該反應混合物中之環三矽氧烷或環四矽氧烷對有機胺的莫耳比率係約1至1.0、1至1.5、1至2、1至3、1至4、或1至10。
在本發明的方法中,於方程式(1)及(3)中所使用之觸媒係一種促進矽-氮鍵形成的觸媒。可與本文描述的方法使用之範例性觸媒包括但不限於下列:鹼土金屬觸媒;無鹵化物主族、過渡金屬、鑭及錒系元素觸媒;及含鹵化物主族、過渡金屬、鑭及錒系元素觸媒。
範例性鹼土金屬觸媒包括但不限於下列:Mg[N(SiMe3 )2 ]2 、ToM MgMe[ToM =三(4,4-二甲基-2-口咢唑啉基)苯基硼酸鹽]、ToM Mg-H、ToM Mg-NR2 (R=H、烷基、芳基)Ca[N(SiMe3 )2 ]2 、[(dipp-nacnac)CaX(THF)]2 (dipp-nacnac=CH[(CMe)(2,6-i Pr2 -C6 H3 N)]2 ;X=H、烷基、碳甲矽基、有機胺)、Ca(CH2 Ph)2 、Ca(C3 H5 )2 、Ca(α-Me3 Si-2-(Me2 N)-苄基)2 (THF)2 、Ca(9-(Me3 Si)-茀基)(α-Me3 Si-2-(Me2 N)-苄基)(THF)、[(Me3 TACD)3 Ca33 -H)2 ]+ (Me3 TACD=Me3 [12]aneN4 )、Ca(η2 -Ph2 CNPh)(hmpa)3 (hmpa=六甲基磷醯胺)、Sr[N(SiMe3 )2 ]2 、及其它M2+ 鹼土金屬-醯胺、-亞胺、-烷基、-氫化物及-碳甲矽基錯合物(M=Ca、Mg、Sr、Ba)。
範例性無鹵化物主族、過渡金屬、鑭及錒系元素觸媒包括但不限於下列:1,3-二異丙基-4,5-二甲基咪唑-2-亞基、2,2’-雙吡啶基、啡啉、B(C6 F5 )3 、BR3 (R=線性、分枝或環狀C1 至C10 烷基、C5 至C10 芳基或C1 至C10 烷氧基)、AlR3 (R=線性、分枝或環狀C1 至C10 烷基、C5 至C10 芳基或C1 至C10 烷氧基)、(C5 H5 )2 TiR2 (R=烷基、H、烷氧基、有機胺、碳甲矽基)、(C5 H5 )2 Ti(OAr)2 [Ar=(2,6-(i Pr)2 C6 H3 )]、(C5 H5 )2 Ti(SiHRR’)PMe3 (其中R、R’各者各自獨立地選自於H、Me、Ph)、TiMe2 (dmpe)2 (dmpe=1,2-雙(二甲基膦基)乙烷)、雙(苯)鉻(0)、Cr(CO)6 、Mn2 (CO)12 、Fe(CO)5 、Fe3 (CO)12 、(C5 H5 )Fe(CO)2 Me、Co2 (CO)8 、醋酸Ni(II)、乙醯丙酮酸鎳(II)、Ni(環辛二烯)2 、[(dippe)Ni(µ-H)]2 (dippe=1,2-雙(二異丙基膦基)乙烷)、(R-茚基)Ni(PR’3 )Me(R=1-i Pr、1-SiMe3 、1,3-(SiMe3 )2 ;R’=Me、Ph)、[{Ni(η-CH2 :CHSiMe2 )2 O}2 {µ-(η-CH2 :CHSiMe2 )2 O}]、醋酸Cu(I)、CuH、[三(4,4-二甲基-2- 口咢唑啉基)苯基硼酸根]ZnH、(C5 H5 )2 ZrR2 (R=烷基、H、烷氧基、有機胺、碳甲矽基)、Ru3 (CO)12 、[(Et3 P)Ru(2,6-二均三甲苯基硫基酚根)][B[3,5-(CF3 )2 C6 H3 ]4 ]、[(C5 Me5 )Ru(R3 P)x (NCMe)3-x ]+ (其中R係選自於線性、分枝或環狀C1 至C10 烷基及C5 至C10 芳基;x=0,1,2,3)、Rh6 (CO)16 、氫化三(三苯膦)銠(I)羰基、Rh2 H2 (CO)2 (dppm)2 (dppm=雙(二苯基膦基)甲烷、Rh2 (µ-SiRH)2 (CO)2 (dppm)2 (R=Ph、Et、C6 H13 )、Pd/C、三(二亞苄基丙酮)二鈀(0)、四(三苯膦)鈀(0)、醋酸Pd(II)、(C5 H5 )2 SmH、(C5 Me5 )2 SmH、(THF)2 Yb[N(SiMe3 )2 ]2 、(NHC)Yb(N(SiMe3 )2 )2 [NHC=1,3-雙(2,4,6-三甲基苯基)咪唑-2-亞基)]、Yb(η2 -Ph2 CNPh)(hmpa)3 (hmpa=六甲基磷醯胺)、W(CO)6 、Re2 (CO)10 、Os3 (CO)12 、Ir4 (CO)12 、(乙醯丙酮根)二羰基銥(I)、Ir(Me)2 (C5 Me5 )L(L=PMe3 、PPh3 )、[Ir(環辛二烯)OMe]2 、PtO2 (Adams氏觸媒)、碳上鉑(Pt/C)、碳上釕(Ru/C)、氧化鋁上釕、碳上鈀、碳上鎳、碳上鋨、鉑(0)-1,3-二乙烯基-1,1,3,3-四甲基二矽氧烷(Karstedt氏觸媒)、雙(三三級丁基膦)鉑(0)、Pt(環辛二烯)2 、[(Me3 Si)2 N]3 U][BPh4 ]、[(Et2 N)3 U][BPh4 ]、及其它無鹵化物Mn+ 錯合物(M=Sc、Ti、V、Cr、Mn、Fe、Co、Ni、Cu、Zn、Y、Zr、Nb、Mo、Ru、Rh、Pd、La、Ce、Pr、Nd、Pm、Sm、Eu、Gd、Tb、Dy、Ho、Er、Tm、Yb、Lu、Hf、Ta、W、Re、Os、Ir、Pt、U;n=0,1,2,3,4,5,6)。亦可將上述列出的觸媒和諸如釕、鉑、鈀、銠、鋨之純貴金屬固定至支撐物。該支撐物係具有高表面積的固體。典型的支撐材料包括但不限於:氧化鋁、MgO、沸石、碳、整體堇青石(Monolith cordierite)、矽藻土、矽凝膠、二氧化矽/氧化鋁、ZrO及TiO2 。較佳的支撐物有碳(例如,碳上鉑、碳上鈀、碳上銠、碳上釕)、氧化鋁、二氧化矽及MgO。該觸媒之金屬負載範圍係在約0.01重量百分比至約50重量百分比間。較佳範圍係約0.5重量百分比至約20重量百分比。更佳範圍係約0.5重量百分比至約10重量百分比。需要活化的觸媒可藉由一些已知方法活化。在真空下加熱該觸媒係較佳方法。該觸媒可在加入至反應容器前或在加入反應物前於該反應容器中活化。該觸媒可包括一促進劑。促進劑係一本身非為觸媒的物質,但是當其以小量與該活性觸媒混合時,會增加該觸媒之效率(活性及/或選擇性)。該促進劑通常係金屬,諸如Mn、Ce、Mo、Li、Re、Ga、Cu、Ru、Pd、Rh、Ir、Fe、Ni、Pt、Cr、Cu及Au及/或其氧化物。它們可分別加入至該反應器容器,或它們可係該觸媒本身的一部分。例如,Ru/Mn/C(由錳促進的碳上釕)或Pt/CeO2 /Ir/SiO2 (由二氧化鈰及銥促進之二氧化矽上鉑)。某些促進劑本身可作用為觸媒,但是其與主要觸媒組合著使用可改良該主要觸媒的活性。一觸媒可作用為另一觸媒的促進劑。在此上下文中,該觸媒可稱為雙金屬(或多金屬)觸媒。例如,Ru/Rh/C可稱為碳上釕及銠雙金屬觸媒或由銠促進的碳上釕。活性觸媒係一種在特定化學反應中作用為觸媒的材料。
範例性含鹵化物主族、過渡金屬、鑭及錒系元素觸媒包括但不限於下列:BX3 (X=F、Cl、Br、I)、BF3 •OEt2 、AlX3 (X=F、Cl、Br、I)、(C5 H5 )2 TiX2 (X=F、Cl)、[Mn(CO)4 Br]2 、NiCl2 、(C5 H5 )2 ZrX2 (X=F、Cl)、PdCl2 、PdI2 、CuCl、CuI、CuF2 、CuCl2 、CuBr2 、Cu(PPh3 )3 Cl、ZnCl2 、[(C6 H6 )RuX2 ]2 (X=Cl、Br、I)、(Ph3 P)3 RHCl(Wilkinson氏觸媒)、[RhCl(環辛二烯)]2 、二-µ-氯-四羰基二銠(I)、氯化雙(三苯膦)銠(I)羰基、NdI2 、SmI2 、DyI2 、(POCOP)IrHCl(POCOP=2,6-(R2 PO)2 C6 H3 ;R=i Pr、n Bu、Me)、H2 PtCl6 •nH2 O(Speier氏觸媒)、PtCl2 、Pt(PPh3 )2 Cl2 、及其它含鹵化物Mn+ 錯合物(M=Sc、Ti、V、Cr、Mn、Fe、Co、Ni、Cu、Zn、Y、Zr、Nb、Mo、Ru、Rh、Pd、La、Ce、Pr、Nd、Pm、Sm、Eu、Gd、Tb、Dy、Ho、Er、Tm、Yb、Lu、Hf、Ta、W、Re、Os、Ir、Pt、U;n=0,1,2,3,4,5,6)。
在該反應混合物中,觸媒對環三矽氧烷或環四矽氧烷的莫耳比率範圍係0.1至1、0.05至1、0.01至1、0.005至1、0.001至1、0.0005至1、0.0001至1、0.00005至1、或0.00001至1。在一個特別的具體實例中,每當量的環三矽氧烷或環四矽氧烷使用0.05至0.07當量的觸媒。在另一個特別具體實例中,每當量的環三矽氧烷或環四矽氧烷使用0.00008當量的觸媒。
在某些具體實例中,該包含環三矽氧烷或環四矽氧烷、有機胺及觸媒的反應混合物進一步包含一無水溶劑。範例性溶劑可包括但不限於線性、分枝、環狀或多醚(例如,四氫呋喃(THF)、二乙基醚、二甘醇二甲醚及/或四甘醇二甲醚);線性、分枝或環狀烷烴、烯烴、芳香烴及鹵烴(例如,戊烷、己烷類、甲苯及二氯甲烷)。若加入時,該一或多種溶劑之選擇可受其與包括在該反應混合物中的試劑之相容性、觸媒的溶解度、及/或所選擇的中間產物及/或末端產物之分離方法影響。在其它具體實例中,該反應混合物不包含溶劑。
在本文描述的方法中,於環三矽氧烷或環四矽氧烷與有機胺間之反應係在約0℃至約200℃,較佳為0℃至約100℃之一或多種溫度範圍下進行。該反應的範例性溫度包括具有下列任何一或多個終點的範圍:0、10、20、30、40、50、60、70、80、90或100℃。合適於此反應的溫度範圍可由該試劑及選擇性溶劑的物理性質支配。特別的反應器溫度範圍之實施例包括但不限於0℃至80℃或0℃至30℃。
在本文描述的方法之某些具體實例中,該反應的壓力範圍可係約1至約115 psia或約15至約45 psia。在該環三矽氧烷或環四矽氧烷於週圍條件下係液體之某些具體實例中,該反應係在大氣壓下進行。在該環三矽氧烷或環四矽氧烷於週圍條件下係氣體之某些具體實例中,該反應係在大於15 psia下進行。
在某些具體實例中,可將一或多種試劑以液體或蒸氣引進至該反應混合物。在該一或多種試劑係以蒸氣加入的具體實例中,可使用諸如氮或惰性氣體之非反應性氣體作為載劑氣體將該蒸氣輸送至該反應混合物。在該一或多種試劑係以液體加入的具體實例中,該試劑可純淨加入,或任擇地以溶劑稀釋。將該試劑進料至該反應混合物,直到已經達成想要的轉換成包括該有機胺矽烷產物之粗產物混合物或粗產物液體。在某些具體實例中,該反應可以連續方式藉由補充反應物及從反應器移出反應產物與粗產物液體而進行。
包含式A-E之化合物、觸媒、及潛在殘餘的有機胺、溶劑或不想要的產物之粗產物混合物可需要分離方法。合適的分離方法之實施例包括但不限於蒸餾、蒸發、膜分離、過濾、氣相轉移、萃取、使用逆轉式管柱部分蒸餾及其組合。
具有式C、D或E的化合物可例如藉由下列方式合成:以例如乙醯基氯、碘甲烷、三甲基氯矽烷或其它型式的氯矽烷試劑來開環環三矽氧烷或環四矽氧烷,以便產生經鹵化物取代的線性三矽氧烷或四矽氧烷,接著與有機胺反應(例如,方程式5-7)。任擇地,具有式C、D或E的化合物可藉由與R1 R2 N-X物種,例如,Me2 NSiMe3 直接反應而合成(例如,方程式8)。具有式C、D或E的化合物亦可藉由以諸如甲基鋰或鋰醯胺之有機鋰試劑來開環環三矽氧烷或環四矽氧烷,接著與有機胺氯矽烷或氯矽烷反應(例如,方程式9-10)而合成。由方程式5-10例示的這些開環反應可需要觸媒存在。應注意的是,在經鹵化的寡矽氧烷化合物或中間物係與2當量的有機胺HNR1 R2 反應之反應中(方程式5-7),可任擇地於三級胺諸如Et3 N存在下僅使用一當量的有機胺HNR1 R2 ,因此產生三級胺鹽酸作為該鹽副產物。
Figure 02_image089
Figure 02_image091
Figure 02_image093
Figure 02_image095
Figure 02_image097
Figure 02_image099
在方程式5-10中例示出的合成方法亦可以具有式(vi)之環四矽氧烷起始材料進行:
Figure 02_image101
方程式1-10係範例性製備型化學及不意欲以任何方式限制關於具有式A、B、C、D或E的化合物之製備。
具有根據本發明之式A、B、C、D或E的矽前驅物化合物及包含具有根據本發明之式A、B、C、D或E的矽前驅物化合物之組成物較佳為實質上無鹵化物離子。如於本文中使用,用語”實質上無”當其係關於鹵化物離子(或鹵化物),諸如例如,氯化物(即,含氯化物物種,諸如HCl或具有至少一個Si-Cl鍵的矽化合物)及氟化物、溴化物及碘化物時,其意謂著藉由ICP-MS測量少於5 ppm(以重量計),較佳為藉由ICP-MS測量少於3 ppm,及更佳為藉由ICP-MS測量少於1 ppm,及最佳為藉由ICP-MS測量0 ppm。已知氯化物作用為具有式A的矽前驅物化合物之分解觸媒。在最後產物中,明顯的氯化物程度可造成該矽前驅物化合物降解。該矽前驅物化合物之逐漸降解可直接衝擊該膜沉積方法,使得半導體製造商難以滿足膜規格。此外,閑置壽命或穩定性係受該矽前驅物化合物的較高降解速率負面衝擊,因此使得保證1-2年閑置壽命有困難。因此,該矽前驅物化合物之加速分解存在有與這些易燃及/或可自燃的氣體副產物之形成相關的安全性及性能關心。該具有式A、B、C、D或E的矽前驅物化合物較佳為實質上無金屬離子,諸如Li+ 、Na+ 、K+ 、Mg2+ 、Ca2+ 、Al3+ 、Fe2+ 、Fe2+ 、Fe3+ 、Ni2+ 、Cr3+ 。如於本文中所使用,用語”實質上無”當其係關於Li、Na、K、Mg、Ca、Al、Fe、Ni、Cr時,其意謂著少於5 ppm(以重量計),較佳為少於3 ppm,及更佳為少於1 ppm及最佳為0.1 ppm,如藉由ICP-MS測量。在某些具體實例中,具有式A的矽前驅物化合物係無金屬離子,諸如Li+ 、Na+ 、K+ 、Mg2+ 、Ca2+ 、Al3+ 、Fe2+ 、Fe2+ 、Fe3+ 、Ni2+ 、Cr3+ 。如於本文中使用,用語”無”金屬雜質當其係關於Li、Na、K、Mg、Ca、Al、Fe、Ni、Cr、貴金屬諸如來自使用於合成的釕或鉑觸媒之揮發性Ru或Pt錯合物時,其意謂著少於1 ppm,較佳為0.1 ppm(以重量計),如藉由ICP-MS或其它用來測量金屬之分析方法測量。
在另一個具體實例中,有提供一種用以在基材上沉積含矽及氧膜之方法,該方法其步驟包括: a)在一反應器中提供一基材; b)將至少一種矽前驅物化合物引進該反應器中,其中該至少一種矽前驅物係選自於由式A-E所組成之群:
Figure 02_image001
Figure 02_image003
Figure 02_image005
Figure 02_image007
Figure 02_image009
, 其中R1 各自獨立地選自於線性C1 至C10 烷基、分枝的C3 至C10 烷基、C3 至C10 環烷基、C3 至C10 雜環基團、C3 至C10 烯基、C3 至C10 炔基及C4 至C10 芳基;R2 係選自於由下列所組成之群:氫、C1 至C10 線性烷基、分枝的C3 至C10 烷基、C3 至C10 環烷基、C3 至C10 雜環基團、C3 至C10 烯基、C3 至C10 炔基及C4 至C10 芳基,其中R1 與R2 係連結而形成一環狀環結構或未連結而形成一環狀環結構;R3-10 各者各自獨立地選自於氫、線性C1 至C10 烷基、分枝的C3 至C10 烷基、C3 至C10 環烷基、C2 至C10 烯基、C2 至C10 炔基及C4 至C10 芳基;X係選自於由下列所組成之群:線性C1 至C10 烷基、分枝的C3 至C10 烷基、C3 至C10 環烷基、C2 至C10 烯基、C2 至C10 炔基、C4 至C10 芳基、C1 至C10 醯基、胺基團(-NR1 R2 )、H、Cl、Br、I、F、C2 至C12 羧酸酯基團、C1 至C10 線性或分枝的烷氧基、三甲基矽烷氧基、二甲基矽烷氧基、甲基矽烷氧基或矽烷氧基,其中R1 與X係連結而形成一環狀環或未連結而形成一環狀環; c)以沖洗氣體沖洗該反應器; d)將含氧來源引進該反應器中;及 e)以沖洗氣體沖洗該反應器; 其中重覆步驟b至e直到沉積想要的膜厚度,及其中該方法係在範圍約25℃至600℃之一或多種溫度下進行。
於本文中揭示出的方法形成一包含至少一種下列特徵之氧化矽膜:密度至少約2.1克/立方公分;溼式蝕刻速率少於約2.5埃/秒,如在1:100之HF對水的稀HF酸(0.5重量% dHF)溶液中測量;漏電少於約1 e-8安培/平方公分至最高6百萬伏特/公分;及氫雜質少於約5 e20原子/立方公分,如藉由二次離子質譜儀(SIMS)測量。
在本文描述的方法及組成物之某些具體實例中,例如,經由化學氣相沉積(CVD)方法,使用反應艙,在該基材之至少一部分上沉積一含矽介電材料層。合適的基材包括但不限於半導體材料,諸如砷化鎵(”GaAs”)、矽;及含矽組成物,諸如結晶矽、多晶矽、非晶矽、磊晶矽、二氧化矽(”SiO2 ”)、矽玻璃、氮化矽、熔融的二氧化矽、玻璃、石英、硼矽酸鹽玻璃及其組合。其它合適的材料包括鉻、鉬及通常在半導體、積體電路、平板顯示器及可撓顯示器應用中使用之其它金屬。該基材可具有額外層,諸如例如,矽、SiO2 、有機矽酸鹽玻璃(OSG)、氟化的矽酸鹽玻璃(FSG)、碳氮化硼、碳化矽、氫化的碳化矽、氮化矽、氫化的氮化矽、碳氮化矽、氫化的碳氮化矽、硼氮化物、有機-無機複合材料、光阻、有機聚合物、多孔有機及無機材料及複合物;金屬氧化物,諸如氧化鋁及氧化鍺。又進一步層亦可係鍺矽酸鹽、鋁矽酸鹽、銅及鋁;及擴散障蔽層材料,諸如但不限於,TiN、Ti(C)N、TaN、Ta(C)N、Ta、W或WN。
於本文中揭示出的沉積方法可包括一或多種沖洗氣體。該使用來沖洗出未消耗的反應物及/或反應副產物之沖洗氣體係一不與該前驅物反應的惰性氣體。範例性沖洗氣體包括但不限於氬(Ar)、氮(N2 )、氦(He)、氖、氫(H2 )及其混合物。在某些具體實例中,以約10至約2000 sccm之流速範圍將諸如Ar之沖洗氣體供應進反應器中約0.1至1000秒,因此沖洗該可餘留在反應器中之未反應的材料及任何副產物。
使用諸如氬的沖洗氣體從製程艙中沖洗出未被吸附的過量錯合物。在充分沖洗後,可將氧來源引進反應艙中以與該已吸附的表面反應,接著另一次氣體沖洗以從該艙中移除反應副產物。可重覆該製程循環以達成想要的膜厚度。在某些情況中,可以泵出置換以惰性氣體沖洗,或可使用二者來移除未反應的矽前驅物。
遍及本說明,用語”ALD或類ALD”指為一包括但不限於下列製程的方法:a)將包括矽前驅物及反應性氣體的每種反應物相繼引進一反應器中,諸如單一晶圓ALD反應器、半批次式ALD反應器或批次爐ALD反應器;b)藉由將該基材移動或轉動至該反應器的不同部分,讓該基材曝露至包括矽前驅物及反應性氣體的每種反應物,及其中該每個部分係由惰性氣體簾幕分開,即,空間式ALD反應器或捲繞式ALD反應器。
本發明之方法係經由ALD方法,使用包含電漿的臭氧或含氧來源進行,其中該電漿可進一步包含諸如下列一或多種惰性氣體:含或不含惰性氣體的氧電漿、含或不含惰性氣體的水蒸氣電漿、含或不含惰性氣體的氧化氮(例如,N2 O、NO、NO2 )電漿、含或不含惰性氣體之氧化碳(例如,CO2 、CO)電漿及其組合。
該含氧電漿來源可就地,或任擇地,遠程產生。在一個特別的具體實例中,該含氧來源包含氧及在方法步驟b至d期間與諸如但不限於至少一種矽前驅物及選擇性惰性氣體之其它試劑一起流動或引進。
在某些具體實例中,於本文中描述及在本揭示的方法中使用之組成物進一步包含溶劑。範例性溶劑可包括但不限於醚、三級胺、烷基烴、芳香烴、三級胺基醚及其組合。在某些具體實例中,於矽前驅物之沸點與溶劑之沸點間的差異係40℃或較低。在某些具體實例中,該組成物可經由直接液體注入來輸送進反應器艙中用於含矽膜。
對在包含溶劑的組成物中使用至少一種具有式A至E之矽前驅物的那些具體實例來說,所選擇的溶劑或其混合物不與該矽前驅物反應。在該組成物中之溶劑量範圍以重量計百分比係0.5重量%至99.5重量%,或10重量%至75重量%。在此或其它具體實例中,該溶劑具有沸點(b.p.)類似於式A至E的矽前驅物之b.p.,或在溶劑的b.p.與式A至E的矽前驅物之b.p.間的差異係40℃或較低,30℃或較低,或20℃或較少,或10℃。任擇地,沸點間的差異範圍具有下列任何一或多個終點:0、10、20、30或40℃。合適的b.p.差異範圍之實施例包括但不限於0至40℃、20°至30℃、或10°至30℃。在該組成物中,合適的溶劑之實施例包括但不限於醚(諸如,1,4-二氧六圜、二丁基醚)、三級胺(諸如,吡啶、1-甲基哌啶、1-乙基哌啶、N,N’-二甲基哌口井、N,N,N’,N’-四甲基乙二胺)、腈(諸如,苯甲腈)、烷基烴(諸如,辛烷、壬烷、十二烷、乙基環己烷)、芳香烴(諸如,甲苯、均三甲苯)、三級胺基醚(諸如,雙(2-二甲基胺基乙基)醚)或其混合物。
在某些具體實例中,於含氧來源存在下,使用於本文中描述的方法沉積來形成氧化矽或摻雜碳的氧化矽膜,其中該含氧來源包含臭氧、水(H2 O)(例如,去離子水、濾水器水及/或蒸餾水)、氧(O2 )、氧電漿、NO、N2 O、NO2 、一氧化碳(CO)、二氧化碳(CO2 )及其組合。該含氧來源係通過例如就地或遠程電漿產生器,以提供包含氧的含氧電漿來源,諸如氧電漿、包含氧及氬電漿、包含氧及氦電漿、臭氧電漿、水電漿、一氧化二氮電漿或二氧化碳電漿。在某些具體實例中,該含氧電漿來源包含氧來源氣體,其係以約1至約2000標準立方公分(sccm)或約1至約1000 sccm之流速範圍引進該反應器中。該含氧電漿來源可引進一段約0.1至約100秒的時間範圍。在一個特別的具體實例中,該含氧電漿來源包含具有溫度10℃或較高的水。在該膜係藉由PEALD或電漿輔助循環CVD方法沉積的具體實例中,該前驅物脈衝可依ALD反應器的體積而具有脈衝週期大於0.01秒(例如,約0.01至約0.1秒,約0.1至約0.5秒,約0.5至約10秒,約0.5至約20秒,約1至約100秒),及該含氧電漿來源可具有脈衝週期少於0.01秒(例如,約0.001至約0.01秒)。
在上述一或多個具體實例中,該含氧電漿來源係選自於由下列所組成之群:含或不含惰性氣體的氧電漿、含或不含惰性氣體的水蒸氣電漿、含或不含惰性氣體的氧化氮(N2 O、NO、NO2 )電漿、含或不含惰性氣體的氧化碳(CO2 、CO)電漿及其組合。在某些具體實例中,該含氧電漿來源進一步包含一惰性氣體。在這些具體實例中,該惰性氣體係選自於由氬、氦、氮、氫或其組合所組成之群。在任擇的具體實例中,該含氧電漿來源不包含惰性氣體。
可藉由進行改變供應該前驅物、氧來源及/或其它前驅物、來源氣體及/或試劑的各別步驟之供應時間來改變所產生的介電膜之化學計量組成物。
對式A至E的矽前驅物之至少一種、含氧來源或其組合施加能量,以便引發反應及在該基材上形成該介電膜或塗層。此能量可藉由但不限於熱、電漿、脈衝電漿、螺旋電漿、高密度電漿、誘導耦合電漿、X射線、e束、光子、遠程電漿方法及其組合而提供。在某些具體實例中,可使用二級RF頻率來源來修改在基材表面處之電漿特徵。在該沉積包括電漿的具體實例中,該電漿產生方法可包含電漿直接在反應器中產生之直接電漿產生方法;或任擇地,電漿在反應器外產生及供應進反應器中之遠程電漿產生方法。
可以多種方式將至少一種矽前驅物輸送至反應艙,諸如電漿輔助循環CVD或PEALD反應器或批次爐型式反應器。在一個具體實例中,可使用液體傳遞系統。在任擇的具體實例中,可使用結合的液體傳遞及閃蒸製程單元,諸如例如,由MSP Corporation of Shoreview,MN製造之渦輪蒸發器,以便能夠體積地輸送低揮發性材料,此導致可重覆運送及沉積而沒有前驅物熱分解。在液體傳遞調配物中,於本文中描述的前驅物可以純淨液體形式輸送,或任擇地,可以包含其之溶劑調配物或組成物使用。因此,在某些具體實例中,如可在所提供的末端使用應用中想要及優良,該前驅物調配物可包括合適特徵的溶劑組分以於基材上形成一膜。
如先前提到,該至少一種矽前驅物的純度程度足夠高而足以接受可信賴的半導體製造。在某些具體實例中,於本文中描述的至少一種矽前驅物包含少於2重量%,或少於1重量%,或少於0.5重量%之下列雜質的一或多種:自由態胺、自由態鹵化物或鹵素離子及較高分子量物種。可透過下列一或多種方法獲得較高純度程度之於本文中描述的矽前驅物:純化、吸附及/或蒸餾。
在本文描述的方法之一個具體實例中,可使用諸如類PEALD或PEALD之電漿輔助循環沉積方法,其中該沉積係使用至少一種矽前驅物及氧電漿來源進行。該類PEALD方法係定義為一種電漿輔助循環CVD方法,但是仍然提供高保形的含矽及氧膜。
在本發明的一個具體實例中,於本文中描述出一種用以在至少一個基材表面上沉積含矽及氧膜之方法,其中該方法包含下列步驟: a.在一反應器中提供一基材; b.將至少一種具有如上述定義的式A至E之矽前驅物引進該反應器中; c.以沖洗氣體沖洗該反應器; d.將包含電漿的含氧來源引進該反應器中;及 e.以沖洗氣體沖洗該反應器。 在此方法中,重覆步驟b至e直到在基材上沉積想要的膜厚度。
在此或其它具體實例中,要了解於本文中描述的方法之步驟可以多種順序進行、可相繼地進行、可同時地進行(例如,在另一個步驟的至少一部分期間)及其任何組合。例如,可藉由進行變化供應該前驅物及氧來源氣體的各別步驟之供應時間週期來改變所產生的介電膜之化學計量組成物。同樣地,可將在前驅物或氧化劑步驟後之沖洗時間最小化至>0.1秒,以便改良生產量。
在一個特別的具體實例中,於本文中描述的方法係在一基材上沉積一高品質之含矽及氧膜。該方法包含下列步驟: a.在一反應器中提供一基材; b.將至少一種具有於本文中描述的式A至E之矽前驅物引進該反應器中; c.以沖洗氣體沖洗該反應器以移除至少一部分未被吸附的前驅物; d.將含氧電漿來源引進該反應器中;及 e.以沖洗氣體沖洗該反應器以移除至少一部分未反應的氧來源; 其中重覆步驟b至e直到沉積想要的含矽膜厚度。
在另一個特別的具體實例中,於本文中描述的方法係於溫度大於600℃下在基材上沉積一高品質的含矽及氧膜。該方法包含下列步驟: a.在一反應器中提供一基材; b.將至少一種具有於本文中描述的式A至E之有機胺基-多矽氧烷前驅物引進該反應器中; c.以沖洗氣體沖洗該反應器以移除至少一部分未被吸附的前驅物; d.將一含氧電漿來源引進該反應器中;及 e.以沖洗氣體沖洗該反應器以移除至少一部分未反應的氧來源; 其中重覆步驟b至e直到沉積想要的含矽膜厚度。
咸信具有式A至E,特別是R3 -R10 非為氫的式C、D及E之有機胺官能基化的線性及環狀寡矽氧烷前驅物對此方法係較佳,因為它們不包含任何Si-H基團,或Si-H基團數目係受限制,因為Si-H基團可在溫度高於600℃下分解及可潛在造成不想要的化學氣相沉積。但是,在諸如使用短前驅物脈衝或低反應器壓力之某些條件下,此方法亦可能使用具有R3-10 之任何係氫的式A至E之有機胺官能基化的線性及環狀寡矽氧烷前驅物進行,其在溫度大於600℃下沒有明顯不想要的化學氣相沉積。
於本文中揭示出另一種使用具有由如上述定義的式A至E表示之化學結構的矽前驅物化合物加上氧來源來形成一摻雜碳的氧化矽膜之方法。
如下描述出另一種範例性方法: a.在一反應器中提供一基材; b.讓從至少一種具有由如上述定義的式A至E表示之結構的矽前驅物化合物產生之蒸氣與或不與氧來源共流進行接觸,以讓該前驅物化學吸附在經加熱的基材上; c.沖洗出任何未被吸附的前驅物; d.在該經加熱的基材上引進氧來源以與該已吸附的前驅物反應;及 e.沖洗出任何未反應的氧來源; 其中重覆步驟b至e直到達成想要的厚度。
在另一個特別的具體實例中,於本文中描述的方法係在基材上沉積一高品質的氧氮化矽膜。該方法包含下列步驟: a.在一反應器中提供一基材; b.將至少一種具有於本文中描述的式A至E之矽前驅物引進該反應器中; c.以沖洗氣體沖洗該反應器以移除至少一部分未被吸附的前驅物; d.將含氮電漿來源引進該反應器中;及 e.以沖洗氣體沖洗該反應器以移除至少一部分未反應的氮來源; 其中重覆步驟b至e直到沉積想要的含氧氮化矽膜厚度。
如下描述出另一種範例性方法: a.在一反應器中提供一基材; b.讓從至少一種具有由如上述定義的式A至E表示之結構的矽前驅物化合物產生之蒸氣與或不與氮來源共流進行接觸,以讓該前驅物化學吸附在經加熱的基材上; c.沖洗出任何未被吸附的前驅物; d.在經加熱的基材上引進氮來源以與該已吸附的前驅物反應;及 e.沖洗出任何未反應的氮來源; 其中重覆步驟b至e直到達成想要的厚度。
可使用多種商業ALD反應器來沉積固體氧化矽、氧氮化矽、摻雜碳的氧氮化矽或摻雜碳的氧化矽,諸如單一晶圓、半批次式、批次爐或捲繞式反應器。
於本文中描述的方法之製程溫度係使用下列一或多種溫度作為終點:0℃、25℃、50℃、75℃、100℃、125℃、150℃、175℃、200℃、225℃、250℃、275℃、300℃、325℃、350℃、375℃、400℃、425℃、450℃、500℃、525℃、550℃、600℃、650℃、700℃、750℃、760℃及800℃。範例性溫度範圍包括但不限於下列:約0℃至約300℃、或約25℃至約300℃、或約50℃至約290℃、或約25℃至約250℃、或約25℃至約200℃。
在另一種態樣中,有提供一種經由流動式化學氣相沉積(FCVD)來沉積含矽及氧膜之方法,該方法包含: 將一包含表面構形的基材放進一反應器中,其中將該基材維持在範圍約-20℃至約400℃之一或多種溫度下,及將該反應器的壓力維持在100托耳或較低下; 引進至少一種選自於由式A至E所組成之群的化合物; 將一氧來源提供進該反應器中以與該至少一種化合物反應而形成一膜且覆蓋該表面構形之至少一部分; 在約100℃至1000℃之一或多種溫度下退火該膜以塗佈至少一部分的表面構形;及 在範圍約20℃至約1000℃之一或多種溫度下以氧來源處理該基材,以於至少一部分的表面構形上形成該含矽膜。
在另一種態樣中,有提供一種經由流動式化學氣相沉積(FCVD)來沉積含矽及氧膜的方法,該方法包含: 將一包含表面構形的基材放進一反應器中,其中將該基材維持在範圍約-20℃至約400℃之一或多種溫度下,及將該反應器的壓力維持在100托耳或較低下; 引進至少一種選自於由式A至E所組成之群的化合物; 將一氮來源提供進該反應器中以與該至少一種化合物反應而形成一膜且覆蓋至少一部分的表面構形; 在約100℃至1000℃之一或多種溫度下退火該膜以塗佈至少一部分的表面構形;及 在範圍約20℃至約1000℃之一或多種溫度下以氧來源處理該基材,以於至少一部分的表面構形上形成該含矽膜。
在某些具體實例中,該氧來源係選自於由下列所組成之群:水蒸氣、水電漿、臭氧、氧、氧電漿、氧/氦電漿、氧/氬電漿、氧化氮類電漿、二氧化碳電漿、過氧化氫、有機過氧化物及其混合物。在其它具體實例中,該氮來源係選自於由下列所組成之群:例如,氨、肼、單烷基肼、二烷基肼、氮、氮/氫、氮/氬電漿、氮/氦電漿、氨電漿、氮電漿、氮/氫電漿;有機胺,諸如三級丁胺、二甲胺、二乙胺、異丙胺、二乙胺電漿、二甲胺電漿、三甲基電漿、三甲胺電漿、乙二胺電漿;及烷氧基胺,諸如乙醇胺電漿;及其混合物。在更其它具體實例中,該含氮來源包含氨電漿、含氮及氬電漿、含氮及氦電漿、或含氫及氮來源氣體電漿。在此或其它具體實例中,重覆該方法步驟直到該表面構形已填充該含矽膜。在流動式化學氣相沉積方法中使用水蒸氣作為氧來源之具體實例中,該基材溫度範圍係約-20℃至約40℃或約-10℃至約25℃。
在本文描述的方法之又進一步具體實例中,讓從ALD、類ALD、PEALD、類PEALD或FCVD沉積之膜或如所沉積的膜接受一處理步驟(後沉積)。可在該沉積步驟之至少一部分期間、在沉積步驟後及其組合進行該處理步驟。範例性處理步驟包括但不限於:經由高溫熱退火處理、電漿處理、紫外(UV)光處理、雷射、電子束處理及其組合來影響該膜之一或多種性質。
在另一個具體實例中,用以沉積含矽膜的器皿或容器包含一或多種於本文中描述的矽前驅物化合物。在一個特別的具體實例中,該器皿包含至少一種可加壓式器皿,較佳為具有諸如在美國專利案號US 7334595、US 6077356、US 5069244及US 5465766中所揭示出的設計之不銹鋼,此等揭示藉此以參考方式併入本文。該容器可包含安裝有適合的閥及配件之玻璃(硼矽酸鹽或石英玻璃)或型式316、316L、304或304L不銹鋼合金(UNS標號S31600、S31603、S30400、S30403),以允許將一或多種前驅物傳遞至該反應器用於CVD或ALD方法。在此或其它具體實例中,將該矽前驅物提供於包含不銹鋼之可加壓式器皿中,及該前驅物之純度係98重量%或較大、或99.5%或較大,此係合適於多數半導體應用。以選自於氦、氬、氮及其組合之惰性氣體填充該器皿或容器的頂端空間。
在某些具體實例中,依製程需求將連接該前驅物罐與反應艙的氣體線加熱至一或多種溫度,及將該至少一種矽前驅物之容器保持在一或多種用於吹泡的溫度下。在其它具體實例中,將一包含該至少一種矽前驅物的溶液注入一保持在一或多種溫度下用於直接液體注入的蒸發器中。
可使用氬及/或其它氣體流作為載劑氣體來幫助在前驅物脈衝期間將該至少一種矽前驅物之蒸氣輸送至反應艙。在某些具體實例中,該反應艙製程壓力係約50毫托耳至10托耳。在其它具體實例中,該反應艙製程壓力可係最高760托耳(例如,約50毫托耳至約100托耳)。
在典型的PEALD或諸如PECCVD方法之類PEALD方法中,於初始曝露至該矽前驅物的反應艙中,在加熱器平台上加熱諸如氧化矽基材的基材以允許該錯合物化學吸附到基材表面上。
當與在相同條件下使用先前揭示的矽前驅物所沉積之膜比較時,使用具有於本文中描述的式A至E之矽前驅物所沉積的膜具有改良的性質,諸如但不限於其溼式蝕刻速率係低於該膜在該處理步驟前之溼式蝕刻速率,或其密度係高於在該處理步驟前之密度。在一個特別的具體實例中,於該沉積方法期間,間歇地處理如所沉積的膜。可例如在每個ALD循環後、在每某些ALD循環數目後進行這些間歇或中期沉積處理,諸如但不限於一個(1)ALD循環、二個(2)ALD循環、五個(5)ALD循環或在每十(10)或更多個ALD循環後。
式A至E之前驅物具有生長速率2.0埃/循環或較大。
在該膜係進行高溫退火步驟處理之具體實例中,該退火溫度係高於沉積溫度至少100℃或較高。在此或其它具體實例中,該退火溫度範圍係約400℃至約1000℃。在此或其它具體實例中,該退火處理可在真空(>760托耳)、惰性環境中或在含氧環境(諸如,H2 O、N2 O、NO2 或O2 )中進行。
在該膜係以UV處理進行處理之具體實例中,將該膜曝露至寬帶UV,或任擇地,具有波長範圍約150奈米(奈米)至約400奈米的UV來源。在一個特別的具體實例中,於達到想要的膜厚度後,在與沉積艙不同的艙中將如所沉積的膜曝露至UV。
在該膜係進行電漿處理之具體實例中,沉積諸如SiO2 或摻雜碳的SiO2 之鈍化層以防止於隨後的電漿處理時氯及氮污染物滲進該膜中。該鈍化層可使用原子層沉積或循環化學氣相沉積法進行沉積。
在該膜係進行電漿處理之具體實例中,該電漿來源係選自於由下列所組成之群:氫電漿、包含氫及氦電漿、包含氫及氬電漿。氫電漿會降低膜介電常數及提高對接下來的電漿灰化方法之抗損傷性,同時仍然保持整體碳含量幾乎無變化。
不意欲由特別的理論界限,咸信該具有由如上述定義的式A至E表示之化學結構的矽前驅物化合物可經由該有機胺基團與羥基反應而錨定在基材表面上,以提供每前驅物分子的多個Si-O-Si片斷,因此,與僅具有一個矽原子的習知矽前驅物諸如雙(三級丁基胺基)矽烷或雙(二乙基胺基)矽烷比較,其提高氧化矽或摻雜碳的氧化矽之生長速率。
在某些具體實例中,亦可使用具有如上述定義之式A至E的矽前驅物作為含金屬膜的摻雜物,諸如但不限於金屬氧化物膜或金屬氧氮化物膜。在這些具體實例中,該含金屬膜係使用ALD或CVD方法,諸如於本文中描述的那些方法,使用金屬醇鹽、金屬醯胺或揮發性有機金屬前驅物進行沉積。可與本文揭示的方法使用之合適的金屬醇鹽前驅物之實施例包括但不限於第3至6族金屬醇鹽、具有經烷氧基及烷基取代二者的環戊二烯基配位基之第3至6族金屬錯合物、具有經烷氧基及烷基取代二者的吡咯基配位基之第3至6族金屬錯合物、具有烷氧基及二酮酯配位基二者之第3至6族金屬錯合物、具有烷氧基及酮酯配位基二者之第3至6族金屬錯合物。
可與本文揭示的方法使用之合適的金屬醯胺前驅物之實施例包括但不限於四(二甲基胺基)鋯(TDMAZ)、四(二乙基胺基)鋯(TDEAZ)、四(乙基甲基胺基)鋯(TEMAZ)、四(二甲基胺基)鉿(TDMAH)、四(二乙基胺基)鉿(TDEAH)、及四(乙基甲基胺基)鉿(TEMAH)、四(二甲基胺基)鈦(TDMAT)、四(二乙基胺基)鈦(TDEAT)、四(乙基甲基胺基)鈦(TEMAT)、三級丁基亞胺基三(二乙基胺基)鉭(TBTDET)、三級丁基亞胺基三(二甲基胺基)鉭(TBTDMT)、三級丁基亞胺基三(乙基甲基胺基)鉭(TBTEMT)、乙基亞胺基三(二乙基胺基)鉭(EITDET)、乙基亞胺基三(二甲基胺基)鉭(EITDMT)、乙基亞胺基三(乙基甲基胺基)鉭(EITEMT)、三級戊基亞胺基三(二甲基胺基)鉭(TAIMAT)、三級戊基亞胺基三(二乙基胺基)鉭、五(二甲基胺基)鉭、三級戊基亞胺基三(乙基甲基胺基)鉭、雙(三級丁基亞胺基)雙(二甲基胺基)鎢(BTBMW)、雙(三級丁基亞胺基)雙(二乙基胺基)鎢、雙(三級丁基亞胺基)雙(乙基甲基胺基)鎢及其組合。可與本文揭示的方法使用之合適的有機金屬前驅物之實施例包括但不限於第3族金屬環戊二烯基類或烷基環戊二烯基類。範例性第3至6族金屬於本文中包括但不限於Y、La、Ce、Pr、Nd、Sm、Eu、Gd、Tb、Dy、Er、Yb、Lu、Ti、Hf、Zr、V、Nb、Ta、Cr、Mo及W。
在某些具體實例中,於本文中描述的含矽膜具有介電常數6或低於,5或低於,4或低於及3或低於。在這些或其它具體實例中,該膜可具有介電常數約5或低於,或約4或低於,或約3.5或低於。但是,已設想可依該膜想要的末端用途而形成具有其它介電常數(例如,較高或較低)的膜。使用具有式A至E前驅物的矽前驅物及於本文中描述的方法所形成之含矽膜的實施例具有調配物Six Oy Cz Nv Hw ,其中Si的範圍係約10%至約40%;O的範圍係約0%至約65%;C的範圍係約0%至約75%,或約0%至約50%;N的範圍係約0%至約75%,或約0%至50%;及H的範圍係約0%至約50%,原子百分比重量%,其中x+y+z+v+w=100原子重量百分比,如例如藉由XPS或其它方法決定。使用式A至E之矽前驅物及於本文中描述的方法所形成之含矽膜的另一個實施例為碳-氧氮化矽,其中該碳含量藉由XPS測量係1原子%至80原子%。在更另一個實施例中,使用具有式A至E之矽前驅物及於本文中描述的方法所形成之含矽膜係非晶矽,其中氮及碳含量二者的總和藉由XPS測量係>10原子%,較佳為>5原子%,最佳為>1原子%。
如先前提到,可使用於本文中所描述之方法在基材的至少一部分上沉積一含矽膜。合適的基材之實施例包括但不限於矽、SiO2 、Si3 N4 、OSG、FSG、碳化矽、氫化的氧碳化矽、氫化的氧氮化矽、碳-氧氮化矽、氫化的碳-氧氮化矽、抗反射塗層、光阻、鍺、含鍺、含硼、Ga/As、可撓基材、有機聚合物、多孔有機及無機材料;金屬,諸如銅及鋁;及擴散障蔽層,諸如但不限於TiN、Ti(C)N、TaN、Ta(C)N、Ta、W或WN。該膜係與隨後的多種加工步驟相容,諸如例如,化學機械平坦化(CMP)及各向異性蝕刻方法。
所沉積的膜具有應用,其包括但不限於電腦晶片、光學元件、磁性訊息儲存、在支撐材料或基材上的塗層、微機電系統(MEMS)、奈米機電系統、薄膜電晶體(TFT)、發光二極體(LED)、有機發光二極體(OLED)、IGZO及液晶顯示器(LCD)。所產生的固體氧化矽或摻雜碳的氧化矽之潛在的用途包括但不限於淺溝槽絕緣體、內層介電質、鈍化層、蝕刻停止層、雙間隔器的部分及用於圖形化的犧牲層。
於本文中描述之方法提供高品質的氧化矽、氧氮化矽、摻雜碳的氧氮化矽或摻雜碳的氧化矽膜。用語”高品質”意謂著一具有下列一或多種特徵的膜:密度約2.1克/立方公分或較大、2.2克/立方公分或較大、2.25克/立方公分或較大;溼式蝕刻速率2.5埃/秒或較少、2.0埃/秒或較少、1.5埃/秒或較少、1.0埃/秒或較少、0.5埃/秒或較少、0.1埃/秒或較少、0.05埃/秒或較少、0.01埃/秒或較少,如在1:100的HF對水之稀HF酸(0.5重量% dHF)溶液中測量;漏電約1 e-8安培/平方公分或較少至最高6 百萬伏特/公分;氫雜質約5 e20原子/立方公分或較少,如藉由SIMS測量;及其組合。關於蝕刻速率,熱生長的氧化矽膜在0.5重量%HF中具有蝕刻速率係0.5埃/秒。
在某些具體實例中,可使用一或多種具有於本文中描述的式A至E之矽前驅物來形成係固體且無孔或實質上無孔的含矽及氧膜。
提供下列實施例以闡明本發明的某些態樣且其應該不限制所附加的申請專利範圍之範圍。 操作實施例
在實驗室規模的ALD加工工具上進行氧化矽膜之熱原子層沉積。藉由蒸氣汲取將矽前驅物輸送至該艙。全部氣體(例如,沖洗及反應物氣體或前驅物及氧來源)皆在進入沉積區域前預熱至100℃。藉由具有高速致動的ALD膜片閥來控制氣體及前驅物流速。在該沉積中所使用的基材係12英吋長的矽條。將熱電偶接附在樣品支架上以確認基材溫度。使用臭氧作為氧來源氣體進行沉積。正常的沉積方法及參數係顯示在表2中。
表2:在實驗室規模的ALD加工工具上,使用臭氧作為氧來源之熱原子層沉積氧化矽膜方法。
Figure 108132030-A0304-0002
在配備有27.1 MHz直接電漿能力與電極間3.5毫米固定間隔之商業橫向流動反應器(由ASM製造的300毫米PEALD工具)或配備有13.56 MHz直接電漿能力之蓮蓬頭反應器(由ASM製造的300毫米PEALD工具)中進行電漿輔助ALD(PEALD)。層流艙設計使用具有各自獨立的壓力設定之外及內艙。內艙係沉積反應器,其中全部反應物氣體(例如,前驅物、氬)係在歧管中混合及輸送至製程反應器。在外艙中使用氬氣來維持反應器壓力。蓮蓬頭艙僅具有一個艙及其正常係在2托耳下操作。該前驅物在室溫下於不銹鋼起泡器中維持為液體,及以Ar載劑氣體(典型設定在200 sccm氣流)或蒸氣汲取輸送至該艙。在此研究中,所報導的全部沉積皆在8-12歐姆-公分之含天然氧化物的Si基材上進行。該等膜之厚度及折射率係使用FilmTek 2000SE偏振光橢圓計,使用預定的物理模型(例如,Lorentz振盪子模型)擬合來自該膜的反射資料進行度量。藉由將所產生的氧化矽膜所測量之厚度除以總ALD/PEALD循環數目來計算每循環的生長速率。使用1:99的稀氫氟酸(HF)溶液進行溼式蝕刻速率(WER)測量。每組實驗皆使用熱氧化物晶圓作為標準來確認該蝕刻溶液的活性。在開始收集整體膜的WER前,全部樣品皆蝕刻15秒以移除任何表面層。典型的熱氧化物晶圓藉由此程序對1:99 dHF水溶液之溼式蝕刻速率係0.5埃/秒。全部密度測量係藉由X射線反射性(XRR)方法進行度量。組成物係使用二次離子質譜儀(D-SIMS)或X射線光電子光譜(XPS)進行分析。 實施例1a. 2-二甲基胺基-2,4,6,8-四甲基環四矽氧烷之合成。
將從五氯化磷與過量2,4,6,8-四甲基環四矽氧烷之反應製備,在Et2 O中包括2.4毫莫耳2-氯-2,4,6,8-四甲基環四矽氧烷的溶液(5毫升)逐滴加入至1當量在己烷類中的二甲胺及三乙胺。攪拌所產生的白色料漿1小時。過濾該反應混合物以移除固體,及在減壓下移除低沸點物。藉由GC-MS分析粗液體產物及決定其係2-二甲基胺基-2,4,6,8-四甲基環四矽氧烷。GC-MS顯示出下列波峰:283(M+),268(M-15),239,225,209,193,179,165,149,133,119,105,89,75,59,44。 實施例1b. 2-二甲基胺基-2,4,6,8-四甲基環四矽氧烷之合成。
在室溫下,分4個部分將在THF中的二甲基胺溶液(176毫升,2.0M溶液)加入Ru3 (CO)12 (1.12克,0.00172莫耳)與2,4,6,8-四甲基環四矽氧烷(192克,0.792莫耳)之THF(200毫升)攪拌溶液,其中每個部分的時間間隔係1小時。在室溫下連續攪拌該反應溶液過夜。在減壓下移除溶劑,及藉由部分蒸餾來純化粗產物以獲得56克藉由GC分析具有純度98%的2-二甲基胺基-2,4,6,8-四甲基環四矽氧烷。 實施例1c. 2-二甲基胺基-2,4,6,8-四甲基環四矽氧烷之熱穩定性。
在80℃下加熱2-二甲基胺基-2,4,6,8-四甲基環四矽氧烷7天。2-二甲基胺基-2,4,6,8-四甲基環四矽氧烷之分析從96.41%降至平均值96.02%,此闡明2-二甲基胺基-2,4,6,8-四甲基環四矽氧烷具有優良的熱穩定性及合適作為氣相沉積方法的前驅物。 實施例2. 2-二乙基胺基-2,4,6,8-四甲基環四矽氧烷之合成。
在排空的500毫升圓底燒瓶中,結合2,4,6,8-四甲基環四矽氧烷(308克,1.28莫耳)、二乙胺(34.3克,0.469莫耳)及Ru3 (CO)12 觸媒固體(1.36克,0.00213莫耳),及在室溫下於保護氮大氣氛中攪拌三天。傾析紅橙色液相與不溶的觸媒固體。重覆此反應及結合此二批次的物質。藉由真空蒸餾(10托耳/36-38℃)移除過量2,4,6,8-四甲基環四矽氧烷,及經由燒瓶轉移至燒瓶從殘餘觸媒真空轉移出殘餘的紅橙色粗產物。藉由真空蒸餾(1托耳/65℃)來純化所收集的無色液體,以獲得128克藉由GC分析具有純度97%的2-二乙基胺基-2,4,6,8-四甲基環四矽氧烷。GC-MS顯示出下列波峰:m/z=311(M+),297,281,266,253,239,225,209,193,179,165,148,133,119,103,86,73。 實施例3. 2-乙基甲基胺基-2,4,6,8-四甲基環四矽氧烷之合成(預示)。
結合及攪拌2,4,6,8-四甲基環四矽氧烷(3當量)、乙基甲基胺(1當量)及Ru3 (CO)12 觸媒固體(0.01當量),同時在室溫下於保護氮大氣氛中排氣1-3天。傾析紅橙色液相與任何不溶的觸媒固體。藉由真空蒸餾移除過量2,4,6,8-四甲基環四矽氧烷,及經由燒瓶轉移至燒瓶從殘餘觸媒真空轉移出殘餘的紅橙色粗產物。然後,藉由真空蒸餾來純化所收集的無色液體以獲得想要的產物,2-乙基甲基胺基-2,4,6,8-四甲基環四矽氧烷。 實施例4. 2-異丙基胺基-2,4,6,8-四甲基環四矽氧烷之合成(預示)。
結合及攪拌2,4,6,8-四甲基環四矽氧烷(3當量)、異丙胺(0.3當量)及Ru3 (CO)12 觸媒固體(0.01當量),同時在室溫下於保護氮大氣氛中排氣1小時。加入另外0.3當量的異丙胺及攪拌該反應另外1小時。加入另外0.3當量的異丙胺及允許攪拌該反應混合物過夜。傾析該紅橙色液相與任何不溶的觸媒固體。藉由真空蒸餾移除過量2,4,6,8-四甲基環四矽氧烷,及經由燒瓶轉移至燒瓶從殘餘觸媒真空轉移出殘餘紅橙色粗產物。然後,藉由真空蒸餾來純化所收集的無色液體以獲得想要的產物,2-異丙基胺基-2,4,6,8-四甲基環四矽氧烷。 實施例5. 1-二甲基胺基-1,1,3,3,5,5,7,7-八甲基四矽氧烷之合成。
在20毫升排空的閃爍小玻瓶中,結合1,1,3,3,5,5,7,7-八甲基四矽氧烷(1.00克在八甲基環四矽氧烷中80%純的材料,0.00283莫耳)、2.0 M在THF中的二甲基胺溶液(1.76毫升,0.00352莫耳)及Ru3 (CO)12 (0.04克,6.0x10-5 莫耳),及在室溫下於保護氮大氣氛中攪拌1天。藉由GC-MS分析所產生的暗紅色反應溶液,及經決定其包括1-二甲基胺基-1,1,3,3,5,5,7,7-八甲基四矽氧烷作為主要產物。GC-MS顯示出下列波峰:m/z=325(M+),310(M-15),294,281,265,251,236,207,193,177,163,148,133,119,102,88,73。 比較例6a:使用2,4,6,8-四甲基環四矽氧烷(TMCTS)之熱原子層沉積氧化矽膜
試圖使用下列前驅物TMCTS來原子層沉積氧化矽膜。在實驗室規模的ALD加工工具上進行沉積。藉由蒸氣汲取將矽前驅物輸送至該艙。沉積方法及參數係提供在表2中。對步驟1至6重覆200至250個循環。那些沉積幾乎無獲得真實的膜沉積。該沉積的製程參數及結果係提供在表3中。 表3. 使用TMCTS之熱ALD沉積參數及沉積結果。
Figure 108132030-A0304-0003
實施例6b:使用2-二甲基胺基-2,4,6,8-四甲基環四矽氧烷來熱原子層沉積氧化矽膜。
使用下列前驅物2-二甲基胺基-2,4,6,8-四甲基環四矽氧烷進行氧化矽膜之原子層沉積。在實驗室規模的ALD加工工具上進行沉積。藉由蒸氣汲取將矽前驅物輸送至該艙。沉積方法及參數係提供在表2中。重覆步驟1至6直到達到想要的厚度。圖1顯示出2-二甲基胺基-2,4,6,8-四甲基環四矽氧烷GPC對前驅物脈衝數目之飽和曲線。可看見GPC隨著前驅物脈衝而增加,然後飽和,此指示出該前驅物之ALD行為。沉積製程溫度、GPC及膜性質係提供在表4中。 表4. 2-二甲基胺基-2,4,6,8-四甲基環四矽氧烷與臭氧方法之熱ALD沉積溫度、GPC及膜性質。
Figure 108132030-A0304-0004
比較例7a. 在具有27.1 MHz電漿之層流反應器中,使用TMCTS(2,4,6,8-四甲基環四矽氧烷)來PEALD氧化矽。
使用TMCTS作為矽前驅物及O2 電漿,在表5提供之條件下進行沉積。藉由蒸氣汲取方法將TMCTS輸送至該艙,無使用載劑氣體。重覆步驟b至e許多次以獲得用於度量衡之想要的氧化矽厚度。膜沉積參數及沉積GPC及晶圓均勻性係顯示在表6中。該沉積晶圓顯示出差的均勻性及GPC未顯示出隨著前驅物脈衝增加而飽和,此指示出TMCTS的CVD沉積,因此不合適作為ALD前驅物。 表5. 在商業橫向流動PEALD反應器中,使用TMCTS來PEALD沉積氧化矽之方法。
Figure 108132030-A0304-0005
表6. 使用TMCTS之PEALD氧化矽膜沉積參數及沉積GPC、晶圓均勻性。
Figure 108132030-A0304-0006
在表6中的均勻性資料建議TMCTS係進行CVD及不合適作為ALD方法的前驅物。 實施例7b. 在具有27.1 MHz電漿之層流反應器中,使用2-二甲基胺基-2,4,6,8-四甲基環四矽氧烷來PEALD氧化矽。
使用2-二甲基胺基-2,4,6,8-四甲基環四矽氧烷作為矽前驅物及O2 電漿,在如上述表5中所描述之條件下進行沉積。以200 sccm之載劑氣體Ar流將前驅物輸送至該艙。重覆步驟b至e許多次以獲得用於度量衡之想要的氧化矽厚度。
圖2顯示出GPC對前驅物脈衝時間圖,及圖3顯示出2-二甲基胺基-2,4,6,8-四甲基環四矽氧烷前驅物之GPC對O2 電漿時間。從圖2可看見,4秒的前驅物脈衝GPC幾乎飽和。從圖3可看見,與5秒氧電漿時間比較,10及20秒氧電漿時間的GPC稍微降低。稍微降低的GPC指示出該膜在較長的氧電漿時間期間緻密化,此係由較長的氧電漿時間秒數所沉積之膜具有較低的WER及較高的膜密度證實。結果與ALD沉積行為一致。該膜沉積參數及沉積GPC係顯示在表7中。
在不同沉積條件下的膜性質係顯示於表8中。 表7. 使用2-二甲基胺基-2,4,6,8-四甲基環四矽氧烷之PEALD氧化矽膜沉積參數及沉積GPC
Figure 108132030-A0304-0007
表8. 使用2-二甲基胺基-2,4,6,8-四甲基四矽氧烷之PEALD氧化矽膜沉積條件及膜性質。
Figure 108132030-A0304-0008
使用TEM研究使用2-二甲基胺基-2,4,6,8-四甲基環四矽氧烷所沉積的PEALD氧化矽膜之保形性。溝槽尺寸係約50奈米寬及約600奈米深。圖4顯示出在溝槽頂端、溝槽中間邊及溝槽底部上之TEM影像。可看見該膜在溝槽中非常保形(即,對溝槽中間或底部接近100%的優良階梯覆蓋),此證實該前驅物的ALD行為。不像TMCTS,以諸如二甲基胺基之有機胺基團置換在TMCTS中的一個Si-H基團可將TMCTS轉成具有好的階梯覆蓋和高於2.5埃/循環之生長速率的優良ALD前驅物。 實施例7c. 在具有27.1 MHz電漿之層流反應器中,使用2-二乙基胺基-2,4,6,8-四甲基環四矽氧烷來PEALD氧化矽。
在如上述表5中所描述之條件下,使用2-二乙基胺基-2,4,6,8-四甲基環四矽氧烷作為矽前驅物及O2 電漿進行沉積。以200 sccm之載劑氣體Ar流將前驅物輸送至該艙。重覆步驟b至e許多次以獲得用於度量衡之想要的氧化矽厚度。
該膜沉積參數及沉積GPC係顯示在表9中。條件1-6顯示出在100℃下之GPC沉積對前驅物脈衝時間,從此可看見GPC隨著前驅物脈衝增加變飽和。條件11-16顯示出在300℃下沉積之GPC對前驅物脈衝時間,及同樣地,GPC隨著前驅物脈衝增加變飽和。結果與ALD沉積行為一致。條件8-10顯示出在100℃下沉積之GPC對氧電漿時間,從此可看見與5秒氧電漿時間比較,10及20秒氧電漿時間之GPC稍微降低。GPC稍微降低指示出該膜在較長的氧電漿時間期間緻密化。相同現象存在於在300℃下進行沉積(參見沉積條件18-19)。 表9. 使用2-二乙基胺基-2,4,6,8-四甲基環四矽氧烷之PEALD氧化矽膜沉積參數及沉積GPC。
Figure 108132030-A0304-0009
比較例8a. 在具有13.56 MHz電漿的蓮蓬頭反應器中,使用TMCTS(2,4,6,8-四甲基環四矽氧烷)之PEALD氧化矽。
在具有13.56 MHz電漿的蓮蓬頭反應器中,使用TMCTS作為矽前驅物及O2 電漿,於表5提供之條件下進行沉積。藉由蒸氣汲取方法將TMCTS輸送至該艙,無使用載劑氣體。重覆步驟b至e許多次以獲得用於度量衡之想要的氧化矽厚度。圖5顯示出GPC對前驅物脈衝時間。可看見GPC未顯示出隨著前驅物脈衝增加而飽和。所沉積的膜顯示出晶圓均勻性4-11%。圖6顯示出使用16秒前驅物脈衝沉積的TMCTS氧化矽膜之保形性。可看見該膜於溝槽中不保形,即,在溝槽底部上的氧化矽厚度更大於溝槽之頂端或中間,此闡明發生CVD,特別在溝槽底部上。 實施例8b. 在具有13.56 MHz電漿的蓮蓬頭艙中,使用2-二甲基胺基-2,4,6,8-四甲基環四矽氧烷之PEALD氧化矽。
使用2-二甲基胺基-2,4,6,8-四甲基環四矽氧烷作為矽前驅物及O2 電漿,在表5提供之條件下進行沉積,除了在具有13.56 MHz電漿(100瓦)之蓮蓬頭艙中於300℃下進行沉積外。以載劑氣體流將前驅物輸送至該艙。重覆步驟b至e許多次以獲得用於度量衡之想要的氧化矽厚度。該膜沉積參數及沉積GPC及晶圓均勻性係顯示在表10中。圖7顯示出在蓮蓬頭艙中沉積的氧化矽保形性。該膜在溝槽中非常保形,此指示出ALD沉積。不像TMCTS,以諸如二甲基胺基的有機胺基團置換在TMCTS中之一個Si-H基團可將TMCTS轉成具有好的階梯覆蓋和高於2.5埃/循環之生長速率的優良ALD前驅物。 表10. 在蓮蓬頭艙中,使用2-二甲基胺基-2,4,6,8-四甲基環四矽氧烷之PEALD氧化矽膜沉積參數及沉積GPC。
Figure 108132030-A0304-0010
實施例8c. 在具有13.56 MHz電漿的蓮蓬頭艙中,使用1-二甲基胺基-1,1,3,3,5,5,7,7-八甲基四矽氧烷之PEALD氧化矽(預示)。
在具有13.56 MHz電漿的蓮蓬頭艙中,於溫度範圍100℃至300℃下,在類似於表5提供的那些條件下,使用1-二甲基胺基-1,1,3,3,5,5,7,7-八甲基四矽氧烷作為矽前驅物及O2 電漿進行沉積。以載劑氣體流將前驅物輸送至該艙。重覆步驟b至e許多次以獲得用於度量衡之想要的氧化矽厚度。不像1,1,3,3,5,5,7,7-八甲基四矽氧烷,以諸如二甲基胺基之有機胺基團置換一個Si-H基團可將其它方面未反應的線性寡矽氧烷轉成具有好的階梯覆蓋和高於2.5埃/循環之生長速率的優良ALD前驅物。 實施例9:在具有27.1 MHz電漿的層流反應器中,於50℃下使用2-二甲基胺基-2,4,6,8-四甲基環四矽氧烷來PEALD氧化矽。
使用2-二甲基胺基-2,4,6,8-四甲基環四矽氧烷作為矽前驅物及O2 電漿,在如上述表5中所描述之條件下進行沉積。基材溫度係50℃。以200 sccm的載劑氣體Ar流將前驅物輸送至該艙。重覆步驟b至e許多次以獲得用於度量衡之想要的氧化矽厚度。使用前驅物脈衝4秒,反應器壓力3托耳,電漿功率200瓦及電漿時間5秒,獲得GPC 4.05埃/循環。在0.5重量%HF中的WER相對於熱生長的氧化矽之蝕刻速率係約10。
雖然本揭示已經參照某些較佳具體實例進行說明,將由熟習該項技術者了解可製得多種改變及其元素可經同等物取代而沒有離開本發明之範圍。此外,可製得許多修改以讓特別的狀況或材料適應本發明之教導而沒有離開其基本範圍。因此,意欲本發明不限於特別的具體實例,而是本發明將包括落在所附加的申請專利範圍之範圍內的全部具體實例。
(無)
圖1提供在300℃下,使用2-二甲基胺基-2,4,6,8-四甲基環四矽氧烷與臭氧方法之熱ALD GPC對前驅物脈衝數目圖。
圖2提供使用2-二甲基胺基-2,4,6,8-四甲基環四矽氧烷之PEALD氧化矽沉積GPC對前驅物脈衝時間圖。
圖3提供使用2-二甲基胺基-2,4,6,8-四甲基環四矽氧烷之PEALD氧化矽沉積GPC對O2 電漿曝露時間圖。
圖4提供在層流反應器中,藉由2-二甲基胺基-2,4,6,8-四甲基環四矽氧烷沉積的PEALD氧化矽膜之TEM影像,其闡明優良的階梯覆蓋及2-二甲基胺基-2,4,6,8-四甲基環四矽氧烷因為其具有錨定基團可係好的用於PEALD氧化矽之前驅物。
圖5提供在蓮蓬頭艙中,使用TMCTS之PEALD氧化矽沉積GPC對前驅物脈衝時間圖,此顯示出TMCTS不合適於原子層沉積。
圖6提供在蓮蓬頭艙中,藉由TMCTS沉積的氧化矽膜之TEM影像,此顯示出TMCTS不合適於好的階梯覆蓋,因此進一步闡明其不合適作為用於原子層沉積之前驅物。
圖7提供在蓮蓬頭艙中,藉由2-二甲基胺基-2,4,6,8-四甲基環四矽氧烷沉積的PEALD氧化矽膜之TEM影像,此闡明優良的階梯覆蓋及2-二甲基胺基-2,4,6,8-四甲基環四矽氧烷因為其具有錨定基團可係好的用於PEALD氧化矽之前驅物。

Claims (12)

  1. 一種經由流動式化學氣相沉積(FCVD)來沉積含矽及氧膜的方法,該方法包含:將一包含表面構形的基材放進一反應器中,其中將該基材維持在-20℃至400℃之範圍的一或多種溫度,及將該反應器維持在100托耳或較低的一壓力;;將選自於由式A、B、C、D及E所組成之群的至少一種化合物引進該反應器中:
    Figure 108132030-A0305-02-0067-1
    其中R1係選自於線性C1至C10烷基、分枝的C3至C10烷基、C3至C10環烷基、C3至C10雜環基團及C4至C10芳基;R2係選自於由下列所組成之群:氫、C1至C10線性烷基、分枝的C3至C10烷基、C3至C10環烷基、C3至C10雜環基團及C4至C10芳基,其中R1與R2係連結而形成一環狀環結構或未連結成一環狀環結構;R3-10各者各自獨立地選自於氫、線性C1至C10烷基、分枝的C3至C10烷基、C3至C10環烷基及C4至C10芳基;X係選自於由下列所組成之群:線 性C1至C10烷基、分枝的C3至C10烷基、C3至C10環烷基、C4至C10芳基、C1至C10醯基、-NR1R2,其中R1及R2的定義同上、H、Cl、Br、I、F、C2至C12羧酸酯基團、C1至C10線性或分枝的烷氧基、三甲基矽烷氧基、二甲基矽烷氧基、甲基矽烷氧基或矽烷氧基,其中R1與X係連結而形成一環狀環或未連結成一環狀環;將一氧來源、氮來源、或氧來源及氮來源提供進該反應器中以與該至少一種化合物反應而形成一膜且覆蓋至少一部分的該表面構形;以沖洗氣體沖洗該反應器;在100℃至1000℃之一或多種溫度下退火該膜以塗佈至少一部分的該表面構形;及在範圍20℃至1000℃之一或多種溫度下以氧來源處理該基材,以於至少一部分的該表面構形上形成一含矽及氧膜。
  2. 如請求項1之方法,其中R2-10各者各自獨立地選自於氫及C1至C4烷基。
  3. 如請求項1之方法,其中該至少一種化合物係選自於由下列所組成之群:2-二甲基胺基-2,4,4,6,6-五甲基環三矽氧烷、2-二乙基胺基-2,4,4,6,6-五甲基環三矽氧烷、2-乙基甲基胺基-2,4,4,6,6-五甲基環三矽氧烷、2-異丙基胺基-2,4,4,6,6-五甲基環三矽氧烷、2-二甲基胺基-2,4,4,6,6,8,8-七甲基環四矽氧烷、2-二乙基胺基-2,4,4,6,6,8,8-七甲基環四矽氧烷、2-乙基甲基胺基-2,4,4,6,6,8,8-七甲基環四矽氧烷、2-異丙基胺基 -2,4,4,6,6,8,8-七甲基環四矽氧烷、2-二甲基胺基-2,4,6-三甲基環三矽氧烷、2-二乙基胺基-2,4,6-三甲基環三矽氧烷、2-乙基甲基胺基-2,4,6-三甲基環三矽氧烷、2-異丙基胺基-2,4,6-三甲基環三矽氧烷、2-二甲基胺基-2,4,6,8-四甲基環四矽氧烷、2-二乙基胺基-2,4,6,8-四甲基環四矽氧烷、2-乙基甲基胺基-2,4,6,8-四甲基環四矽氧烷及2-異丙基胺基-2,4,6,8-四甲基環四矽氧烷。
  4. 如請求項1之方法,其中該至少一種化合物係選自於由下列所組成之群:1-二甲基胺基-1,1,3,3,5,5-六甲基-5-甲氧基三矽氧烷、1-二甲基胺基-1,1,3,3,5,5,7,7-八甲基-7-甲氧基三矽氧烷、1-二甲基胺基-1,1,3,3,5,5-六甲基-5-乙醯氧基三矽氧烷、1-二甲基胺基-1,1,3,3,5,5,7,7-八甲基-7-乙醯氧基三矽氧烷、1-二甲基胺基-1,1,3,3,5,5,7,7,7-九甲基四矽氧烷、1-二甲基胺基-1,1,3,3,5,5,7,7,9,9,9-十一甲基五矽氧烷、1-二甲基胺基-1,1,3,3,5,5,7,7-八甲基四矽氧烷、1-二甲基胺基-1,1,3,3,5,5,7,7,9,9-十甲基五矽氧烷、1-二甲基胺基-1,3,3,5,5,7,7,7-八甲基四矽氧烷、1-二甲基胺基-1,3,3,5,5,7,7,9,9,9-十甲基五矽氧烷、1-二甲基胺基-3,3,5,5,7,7,7-七甲基四矽氧烷、1-二甲基胺基-3,3,5,5,7,7,9,9,9-九甲基五矽氧烷、1-二甲基胺基-1,3,5,7,7,7-六甲基四矽氧烷及1-二甲基胺基-1,3,5,7,9,9,9-七甲基五矽氧烷。
  5. 如請求項1之方法,其中該基材被維持在 -20℃至40℃之範圍的一或多種溫度。
  6. 如請求項1之方法,其中該基材被維持在-10℃至25℃之範圍的一或多種溫度。
  7. 如請求項1之方法,其中該基材被維持在-20℃至100℃之範圍的一或多種溫度。
  8. 如請求項1之方法,其中該基材被維持在-20℃至150℃之範圍的一或多種溫度。
  9. 如請求項1之方法,其中只有該氧來源被提供進該反應器中,其中該氧來源係選自於由下列所組成之群:水蒸氣、水電漿、臭氧、氧、氧電漿、氧/氦電漿、氧/氬電漿、氮氧化物電漿、二氧化碳電漿、過氧化氫、有機過氧化物及其混合物。
  10. 如請求項1之方法,其中只有該氮來源被提供進該反應器中,其中該氮來源係選自於由下列所組成之群:氨、肼、氮、氮/氫、氮/氬電漿、氮/氦電漿、氨電漿、氮電漿、氮/氫電漿、三級丁胺、二甲胺、二乙胺、異丙胺、二乙胺電漿、二甲胺電漿、乙二胺電漿、乙醇胺電漿及其混合物。
  11. 如請求項10之方法,其中該氮來源為氨電漿、氮/氬電漿、氮/氦電漿、或氮/氫電漿。
  12. 如請求項1之方法,其進一步包含使該一含矽及氧膜接受一後沉積處理,該後沉積處理選自於由下列所組成之群:高溫熱退火處理、電漿處理、紫外(UV)光處理、雷射處理、電子束處理及其組合。
TW108132030A 2017-02-08 2018-02-07 用於沉積含矽膜的有機胺官能基化的線性及環狀寡矽氧烷 TWI691504B (zh)

Applications Claiming Priority (6)

Application Number Priority Date Filing Date Title
US201762456297P 2017-02-08 2017-02-08
US62/456,297 2017-02-08
US201762574952P 2017-10-20 2017-10-20
US62/574,952 2017-10-20
US15/883,815 US10822458B2 (en) 2017-02-08 2018-01-30 Organoamino-functionalized linear and cyclic oligosiloxanes for deposition of silicon-containing films
US15/883,815 2018-01-30

Publications (2)

Publication Number Publication Date
TW201943723A TW201943723A (zh) 2019-11-16
TWI691504B true TWI691504B (zh) 2020-04-21

Family

ID=63038675

Family Applications (2)

Application Number Title Priority Date Filing Date
TW108132030A TWI691504B (zh) 2017-02-08 2018-02-07 用於沉積含矽膜的有機胺官能基化的線性及環狀寡矽氧烷
TW107104225A TWI673278B (zh) 2017-02-08 2018-02-07 用於沉積含矽膜的有機胺官能基化的線性及環狀寡矽氧烷

Family Applications After (1)

Application Number Title Priority Date Filing Date
TW107104225A TWI673278B (zh) 2017-02-08 2018-02-07 用於沉積含矽膜的有機胺官能基化的線性及環狀寡矽氧烷

Country Status (8)

Country Link
US (2) US10822458B2 (zh)
EP (1) EP3388440A1 (zh)
JP (3) JP6703019B2 (zh)
KR (1) KR102153577B1 (zh)
CN (3) CN114318299A (zh)
IL (1) IL257372B (zh)
SG (1) SG10201801158QA (zh)
TW (2) TWI691504B (zh)

Families Citing this family (220)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20130023129A1 (en) 2011-07-20 2013-01-24 Asm America, Inc. Pressure transmitter for a semiconductor processing environment
US10714315B2 (en) 2012-10-12 2020-07-14 Asm Ip Holdings B.V. Semiconductor reaction chamber showerhead
US20160376700A1 (en) 2013-02-01 2016-12-29 Asm Ip Holding B.V. System for treatment of deposition reactor
US11015245B2 (en) 2014-03-19 2021-05-25 Asm Ip Holding B.V. Gas-phase reactor and system having exhaust plenum and components thereof
US10941490B2 (en) 2014-10-07 2021-03-09 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
US10276355B2 (en) 2015-03-12 2019-04-30 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
US10458018B2 (en) 2015-06-26 2019-10-29 Asm Ip Holding B.V. Structures including metal carbide material, devices including the structures, and methods of forming same
US10211308B2 (en) 2015-10-21 2019-02-19 Asm Ip Holding B.V. NbMC layers
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
US10529554B2 (en) 2016-02-19 2020-01-07 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US10367080B2 (en) 2016-05-02 2019-07-30 Asm Ip Holding B.V. Method of forming a germanium oxynitride film
US11453943B2 (en) 2016-05-25 2022-09-27 Asm Ip Holding B.V. Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor
US9859151B1 (en) 2016-07-08 2018-01-02 Asm Ip Holding B.V. Selective film deposition method to form air gaps
US10612137B2 (en) 2016-07-08 2020-04-07 Asm Ip Holdings B.V. Organic reactants for atomic layer deposition
US9812320B1 (en) 2016-07-28 2017-11-07 Asm Ip Holding B.V. Method and apparatus for filling a gap
US9887082B1 (en) 2016-07-28 2018-02-06 Asm Ip Holding B.V. Method and apparatus for filling a gap
KR102532607B1 (ko) 2016-07-28 2023-05-15 에이에스엠 아이피 홀딩 비.브이. 기판 가공 장치 및 그 동작 방법
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
US10714350B2 (en) 2016-11-01 2020-07-14 ASM IP Holdings, B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
KR102546317B1 (ko) 2016-11-15 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기체 공급 유닛 및 이를 포함하는 기판 처리 장치
KR20180068582A (ko) 2016-12-14 2018-06-22 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11447861B2 (en) 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
US11581186B2 (en) 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
KR20180070971A (ko) 2016-12-19 2018-06-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10269558B2 (en) 2016-12-22 2019-04-23 Asm Ip Holding B.V. Method of forming a structure on a substrate
US11390950B2 (en) 2017-01-10 2022-07-19 Asm Ip Holding B.V. Reactor system and method to reduce residue buildup during a film deposition process
US10468261B2 (en) 2017-02-15 2019-11-05 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
US10529563B2 (en) 2017-03-29 2020-01-07 Asm Ip Holdings B.V. Method for forming doped metal oxide films on a substrate by cyclical deposition and related semiconductor device structures
US10770286B2 (en) 2017-05-08 2020-09-08 Asm Ip Holdings B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
KR20190009245A (ko) 2017-07-18 2019-01-28 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 구조물 형성 방법 및 관련된 반도체 소자 구조물
US10541333B2 (en) 2017-07-19 2020-01-21 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US11018002B2 (en) 2017-07-19 2021-05-25 Asm Ip Holding B.V. Method for selectively depositing a Group IV semiconductor and related semiconductor device structures
US11374112B2 (en) 2017-07-19 2022-06-28 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US10590535B2 (en) 2017-07-26 2020-03-17 Asm Ip Holdings B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US10692741B2 (en) 2017-08-08 2020-06-23 Asm Ip Holdings B.V. Radiation shield
US10770336B2 (en) 2017-08-08 2020-09-08 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11139191B2 (en) 2017-08-09 2021-10-05 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
US11056344B2 (en) 2017-08-30 2021-07-06 Asm Ip Holding B.V. Layer forming method
KR102491945B1 (ko) 2017-08-30 2023-01-26 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
US11049714B2 (en) * 2017-09-19 2021-06-29 Versum Materials Us, Llc Silyl substituted organoamines as precursors for high growth rate silicon-containing films
US10658205B2 (en) 2017-09-28 2020-05-19 Asm Ip Holdings B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
US10403504B2 (en) 2017-10-05 2019-09-03 Asm Ip Holding B.V. Method for selectively depositing a metallic film on a substrate
US11022879B2 (en) 2017-11-24 2021-06-01 Asm Ip Holding B.V. Method of forming an enhanced unexposed photoresist layer
KR102597978B1 (ko) 2017-11-27 2023-11-06 에이에스엠 아이피 홀딩 비.브이. 배치 퍼니스와 함께 사용하기 위한 웨이퍼 카세트를 보관하기 위한 보관 장치
CN111344522B (zh) 2017-11-27 2022-04-12 阿斯莫Ip控股公司 包括洁净迷你环境的装置
US10872771B2 (en) 2018-01-16 2020-12-22 Asm Ip Holding B. V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
CN111630203A (zh) 2018-01-19 2020-09-04 Asm Ip私人控股有限公司 通过等离子体辅助沉积来沉积间隙填充层的方法
TW202325889A (zh) 2018-01-19 2023-07-01 荷蘭商Asm 智慧財產控股公司 沈積方法
US11098069B2 (en) 2018-01-30 2021-08-24 Versum Materials Us, Llc Organoamino-functionalized cyclic oligosiloxanes for deposition of silicon-containing films
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
EP3737779A1 (en) 2018-02-14 2020-11-18 ASM IP Holding B.V. A method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
US10896820B2 (en) 2018-02-14 2021-01-19 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
KR102636427B1 (ko) 2018-02-20 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 장치
US10975470B2 (en) 2018-02-23 2021-04-13 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
US11629406B2 (en) 2018-03-09 2023-04-18 Asm Ip Holding B.V. Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate
US11114283B2 (en) 2018-03-16 2021-09-07 Asm Ip Holding B.V. Reactor, system including the reactor, and methods of manufacturing and using same
KR102646467B1 (ko) 2018-03-27 2024-03-11 에이에스엠 아이피 홀딩 비.브이. 기판 상에 전극을 형성하는 방법 및 전극을 포함하는 반도체 소자 구조
US11230766B2 (en) 2018-03-29 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
US11088002B2 (en) 2018-03-29 2021-08-10 Asm Ip Holding B.V. Substrate rack and a substrate processing system and method
SG10201903201XA (en) 2018-04-11 2019-11-28 Versum Materials Us Llc Organoamino-functionalized cyclic oligosiloxanes for deposition of silicon-containing films
TW202344708A (zh) 2018-05-08 2023-11-16 荷蘭商Asm Ip私人控股有限公司 藉由循環沉積製程於基板上沉積氧化物膜之方法及相關裝置結構
KR102596988B1 (ko) 2018-05-28 2023-10-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 그에 의해 제조된 장치
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
US11270899B2 (en) 2018-06-04 2022-03-08 Asm Ip Holding B.V. Wafer handling chamber with moisture reduction
US11286562B2 (en) 2018-06-08 2022-03-29 Asm Ip Holding B.V. Gas-phase chemical reactor and method of using same
KR102568797B1 (ko) 2018-06-21 2023-08-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 시스템
US10797133B2 (en) 2018-06-21 2020-10-06 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
US11492703B2 (en) 2018-06-27 2022-11-08 Asm Ip Holding B.V. Cyclic deposition methods for forming metal-containing material and films and structures including the metal-containing material
WO2020003000A1 (en) 2018-06-27 2020-01-02 Asm Ip Holding B.V. Cyclic deposition methods for forming metal-containing material and films and structures including the metal-containing material
US10612136B2 (en) 2018-06-29 2020-04-07 ASM IP Holding, B.V. Temperature-controlled flange and reactor system including same
US10755922B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10388513B1 (en) 2018-07-03 2019-08-20 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US11053591B2 (en) 2018-08-06 2021-07-06 Asm Ip Holding B.V. Multi-port gas injection system and reactor system including same
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
KR20200030162A (ko) 2018-09-11 2020-03-20 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
US11024523B2 (en) 2018-09-11 2021-06-01 Asm Ip Holding B.V. Substrate processing apparatus and method
US11049751B2 (en) 2018-09-14 2021-06-29 Asm Ip Holding B.V. Cassette supply system to store and handle cassettes and processing apparatus equipped therewith
CN110970344A (zh) 2018-10-01 2020-04-07 Asm Ip控股有限公司 衬底保持设备、包含所述设备的系统及其使用方法
US11232963B2 (en) 2018-10-03 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102592699B1 (ko) 2018-10-08 2023-10-23 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 박막 증착 장치와 기판 처리 장치
KR102546322B1 (ko) 2018-10-19 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
KR102605121B1 (ko) 2018-10-19 2023-11-23 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
USD948463S1 (en) 2018-10-24 2022-04-12 Asm Ip Holding B.V. Susceptor for semiconductor substrate supporting apparatus
US11087997B2 (en) 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
KR20200051105A (ko) 2018-11-02 2020-05-13 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 기판 처리 장치
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US11031242B2 (en) 2018-11-07 2021-06-08 Asm Ip Holding B.V. Methods for depositing a boron doped silicon germanium film
US10818758B2 (en) 2018-11-16 2020-10-27 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US10847366B2 (en) 2018-11-16 2020-11-24 Asm Ip Holding B.V. Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process
US11217444B2 (en) 2018-11-30 2022-01-04 Asm Ip Holding B.V. Method for forming an ultraviolet radiation responsive metal oxide-containing film
KR102636428B1 (ko) 2018-12-04 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치를 세정하는 방법
TW202035361A (zh) * 2018-12-12 2020-10-01 美商3M新設資產公司 氟化胺氧化物界面活性劑
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
JP2020096183A (ja) 2018-12-14 2020-06-18 エーエスエム・アイピー・ホールディング・ベー・フェー 窒化ガリウムの選択的堆積を用いてデバイス構造体を形成する方法及びそのためのシステム
TWI819180B (zh) 2019-01-17 2023-10-21 荷蘭商Asm 智慧財產控股公司 藉由循環沈積製程於基板上形成含過渡金屬膜之方法
KR20200091543A (ko) 2019-01-22 2020-07-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
CN111524788B (zh) 2019-02-01 2023-11-24 Asm Ip私人控股有限公司 氧化硅的拓扑选择性膜形成的方法
KR20210114546A (ko) * 2019-02-05 2021-09-23 버슘머트리얼즈 유에스, 엘엘씨 탄소 도핑된 산화규소의 증착
TW202104632A (zh) 2019-02-20 2021-02-01 荷蘭商Asm Ip私人控股有限公司 用來填充形成於基材表面內之凹部的循環沉積方法及設備
KR102626263B1 (ko) 2019-02-20 2024-01-16 에이에스엠 아이피 홀딩 비.브이. 처리 단계를 포함하는 주기적 증착 방법 및 이를 위한 장치
TW202044325A (zh) 2019-02-20 2020-12-01 荷蘭商Asm Ip私人控股有限公司 填充一基板之一表面內所形成的一凹槽的方法、根據其所形成之半導體結構、及半導體處理設備
KR20200102357A (ko) 2019-02-20 2020-08-31 에이에스엠 아이피 홀딩 비.브이. 3-d nand 응용의 플러그 충진체 증착용 장치 및 방법
TW202100794A (zh) 2019-02-22 2021-01-01 荷蘭商Asm Ip私人控股有限公司 基材處理設備及處理基材之方法
KR20200108243A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOC 층을 포함한 구조체 및 이의 형성 방법
KR20200108248A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOCN 층을 포함한 구조체 및 이의 형성 방법
KR20200108242A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. 실리콘 질화물 층을 선택적으로 증착하는 방법, 및 선택적으로 증착된 실리콘 질화물 층을 포함하는 구조체
JP2020167398A (ja) 2019-03-28 2020-10-08 エーエスエム・アイピー・ホールディング・ベー・フェー ドアオープナーおよびドアオープナーが提供される基材処理装置
KR20200116855A (ko) 2019-04-01 2020-10-13 에이에스엠 아이피 홀딩 비.브이. 반도체 소자를 제조하는 방법
US20200317702A1 (en) * 2019-04-05 2020-10-08 Versum Materials Us, Llc Organoamino Functionalized Cyclic Oligosiloxanes For Deposition Of Silicon-Containing Films
US20210017198A1 (en) 2019-04-05 2021-01-21 Versum Materials Us, Llc Organoamino-Functionalized Cyclic Oligosiloxanes For Deposition Of Silicon-Containing Films
KR20200123380A (ko) 2019-04-19 2020-10-29 에이에스엠 아이피 홀딩 비.브이. 층 형성 방법 및 장치
KR20200125453A (ko) 2019-04-24 2020-11-04 에이에스엠 아이피 홀딩 비.브이. 기상 반응기 시스템 및 이를 사용하는 방법
KR20200130118A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 비정질 탄소 중합체 막을 개질하는 방법
KR20200130121A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 딥 튜브가 있는 화학물질 공급원 용기
KR20200130652A (ko) 2019-05-10 2020-11-19 에이에스엠 아이피 홀딩 비.브이. 표면 상에 재료를 증착하는 방법 및 본 방법에 따라 형성된 구조
JP2020188255A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
USD935572S1 (en) 2019-05-24 2021-11-09 Asm Ip Holding B.V. Gas channel plate
USD922229S1 (en) 2019-06-05 2021-06-15 Asm Ip Holding B.V. Device for controlling a temperature of a gas supply unit
KR20200141003A (ko) 2019-06-06 2020-12-17 에이에스엠 아이피 홀딩 비.브이. 가스 감지기를 포함하는 기상 반응기 시스템
KR20200143254A (ko) 2019-06-11 2020-12-23 에이에스엠 아이피 홀딩 비.브이. 개질 가스를 사용하여 전자 구조를 형성하는 방법, 상기 방법을 수행하기 위한 시스템, 및 상기 방법을 사용하여 형성되는 구조
USD944946S1 (en) 2019-06-14 2022-03-01 Asm Ip Holding B.V. Shower plate
USD931978S1 (en) 2019-06-27 2021-09-28 Asm Ip Holding B.V. Showerhead vacuum transport
KR20210005515A (ko) 2019-07-03 2021-01-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치용 온도 제어 조립체 및 이를 사용하는 방법
JP2021015791A (ja) 2019-07-09 2021-02-12 エーエスエム アイピー ホールディング ビー.ブイ. 同軸導波管を用いたプラズマ装置、基板処理方法
CN112216646A (zh) 2019-07-10 2021-01-12 Asm Ip私人控股有限公司 基板支撑组件及包括其的基板处理装置
KR20210010307A (ko) 2019-07-16 2021-01-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210010816A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 라디칼 보조 점화 플라즈마 시스템 및 방법
KR20210010820A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 실리콘 게르마늄 구조를 형성하는 방법
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
CN112242296A (zh) 2019-07-19 2021-01-19 Asm Ip私人控股有限公司 形成拓扑受控的无定形碳聚合物膜的方法
CN112309843A (zh) 2019-07-29 2021-02-02 Asm Ip私人控股有限公司 实现高掺杂剂掺入的选择性沉积方法
CN112309899A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
CN112309900A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
KR20210018759A (ko) 2019-08-05 2021-02-18 에이에스엠 아이피 홀딩 비.브이. 화학물질 공급원 용기를 위한 액체 레벨 센서
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
JP2021031769A (ja) 2019-08-21 2021-03-01 エーエスエム アイピー ホールディング ビー.ブイ. 成膜原料混合ガス生成装置及び成膜装置
USD940837S1 (en) 2019-08-22 2022-01-11 Asm Ip Holding B.V. Electrode
USD930782S1 (en) 2019-08-22 2021-09-14 Asm Ip Holding B.V. Gas distributor
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
KR20210024423A (ko) 2019-08-22 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 홀을 구비한 구조체를 형성하기 위한 방법
USD949319S1 (en) 2019-08-22 2022-04-19 Asm Ip Holding B.V. Exhaust duct
KR20210024420A (ko) 2019-08-23 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 비스(디에틸아미노)실란을 사용하여 peald에 의해 개선된 품질을 갖는 실리콘 산화물 막을 증착하기 위한 방법
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
KR20210029090A (ko) 2019-09-04 2021-03-15 에이에스엠 아이피 홀딩 비.브이. 희생 캡핑 층을 이용한 선택적 증착 방법
KR20210029663A (ko) 2019-09-05 2021-03-16 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
CN112593212B (zh) 2019-10-02 2023-12-22 Asm Ip私人控股有限公司 通过循环等离子体增强沉积工艺形成拓扑选择性氧化硅膜的方法
TW202129060A (zh) 2019-10-08 2021-08-01 荷蘭商Asm Ip控股公司 基板處理裝置、及基板處理方法
KR20210043460A (ko) 2019-10-10 2021-04-21 에이에스엠 아이피 홀딩 비.브이. 포토레지스트 하부층을 형성하기 위한 방법 및 이를 포함한 구조체
KR20210045930A (ko) 2019-10-16 2021-04-27 에이에스엠 아이피 홀딩 비.브이. 실리콘 산화물의 토폴로지-선택적 막의 형성 방법
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
KR20210047808A (ko) 2019-10-21 2021-04-30 에이에스엠 아이피 홀딩 비.브이. 막을 선택적으로 에칭하기 위한 장치 및 방법
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
KR20210054983A (ko) 2019-11-05 2021-05-14 에이에스엠 아이피 홀딩 비.브이. 도핑된 반도체 층을 갖는 구조체 및 이를 형성하기 위한 방법 및 시스템
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
KR20210062561A (ko) 2019-11-20 2021-05-31 에이에스엠 아이피 홀딩 비.브이. 기판의 표면 상에 탄소 함유 물질을 증착하는 방법, 상기 방법을 사용하여 형성된 구조물, 및 상기 구조물을 형성하기 위한 시스템
KR20210065848A (ko) 2019-11-26 2021-06-04 에이에스엠 아이피 홀딩 비.브이. 제1 유전체 표면과 제2 금속성 표면을 포함한 기판 상에 타겟 막을 선택적으로 형성하기 위한 방법
CN112951697A (zh) 2019-11-26 2021-06-11 Asm Ip私人控股有限公司 基板处理设备
CN112885692A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
CN112885693A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
JP2021090042A (ja) 2019-12-02 2021-06-10 エーエスエム アイピー ホールディング ビー.ブイ. 基板処理装置、基板処理方法
KR20210070898A (ko) 2019-12-04 2021-06-15 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
TW202125596A (zh) 2019-12-17 2021-07-01 荷蘭商Asm Ip私人控股有限公司 形成氮化釩層之方法以及包括該氮化釩層之結構
KR20210080214A (ko) 2019-12-19 2021-06-30 에이에스엠 아이피 홀딩 비.브이. 기판 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
JP2021109175A (ja) 2020-01-06 2021-08-02 エーエスエム・アイピー・ホールディング・ベー・フェー ガス供給アセンブリ、その構成要素、およびこれを含む反応器システム
KR20210095050A (ko) 2020-01-20 2021-07-30 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법 및 박막 표면 개질 방법
TW202130846A (zh) 2020-02-03 2021-08-16 荷蘭商Asm Ip私人控股有限公司 形成包括釩或銦層的結構之方法
TW202146882A (zh) 2020-02-04 2021-12-16 荷蘭商Asm Ip私人控股有限公司 驗證一物品之方法、用於驗證一物品之設備、及用於驗證一反應室之系統
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
US11781243B2 (en) 2020-02-17 2023-10-10 Asm Ip Holding B.V. Method for depositing low temperature phosphorous-doped silicon
JP7386732B2 (ja) * 2020-03-06 2023-11-27 東京エレクトロン株式会社 成膜方法
KR20210116240A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 조절성 접합부를 갖는 기판 핸들링 장치
US11876356B2 (en) 2020-03-11 2024-01-16 Asm Ip Holding B.V. Lockout tagout assembly and system and method of using same
KR20210117157A (ko) 2020-03-12 2021-09-28 에이에스엠 아이피 홀딩 비.브이. 타겟 토폴로지 프로파일을 갖는 층 구조를 제조하기 위한 방법
CN115443347A (zh) 2020-04-02 2022-12-06 弗萨姆材料美国有限责任公司 用于沉积含硅膜的有机氨基官能化环状低聚硅氧烷
KR20210124042A (ko) 2020-04-02 2021-10-14 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법
TW202146689A (zh) 2020-04-03 2021-12-16 荷蘭商Asm Ip控股公司 阻障層形成方法及半導體裝置的製造方法
TW202145344A (zh) 2020-04-08 2021-12-01 荷蘭商Asm Ip私人控股有限公司 用於選擇性蝕刻氧化矽膜之設備及方法
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
KR20210132600A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐, 질소 및 추가 원소를 포함한 층을 증착하기 위한 방법 및 시스템
KR20210132605A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 냉각 가스 공급부를 포함한 수직형 배치 퍼니스 어셈블리
US11898243B2 (en) 2020-04-24 2024-02-13 Asm Ip Holding B.V. Method of forming vanadium nitride-containing layer
KR20210134226A (ko) 2020-04-29 2021-11-09 에이에스엠 아이피 홀딩 비.브이. 고체 소스 전구체 용기
KR20210134869A (ko) 2020-05-01 2021-11-11 에이에스엠 아이피 홀딩 비.브이. Foup 핸들러를 이용한 foup의 빠른 교환
KR20210141379A (ko) 2020-05-13 2021-11-23 에이에스엠 아이피 홀딩 비.브이. 반응기 시스템용 레이저 정렬 고정구
KR20210143653A (ko) 2020-05-19 2021-11-29 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210145078A (ko) 2020-05-21 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 다수의 탄소 층을 포함한 구조체 및 이를 형성하고 사용하는 방법
TW202201602A (zh) 2020-05-29 2022-01-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202218133A (zh) 2020-06-24 2022-05-01 荷蘭商Asm Ip私人控股有限公司 形成含矽層之方法
TW202217953A (zh) 2020-06-30 2022-05-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202219628A (zh) 2020-07-17 2022-05-16 荷蘭商Asm Ip私人控股有限公司 用於光微影之結構與方法
TW202204662A (zh) 2020-07-20 2022-02-01 荷蘭商Asm Ip私人控股有限公司 用於沉積鉬層之方法及系統
KR20220027026A (ko) 2020-08-26 2022-03-07 에이에스엠 아이피 홀딩 비.브이. 금속 실리콘 산화물 및 금속 실리콘 산질화물 층을 형성하기 위한 방법 및 시스템
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
TW202229613A (zh) 2020-10-14 2022-08-01 荷蘭商Asm Ip私人控股有限公司 於階梯式結構上沉積材料的方法
KR20220053482A (ko) 2020-10-22 2022-04-29 에이에스엠 아이피 홀딩 비.브이. 바나듐 금속을 증착하는 방법, 구조체, 소자 및 증착 어셈블리
TW202223136A (zh) 2020-10-28 2022-06-16 荷蘭商Asm Ip私人控股有限公司 用於在基板上形成層之方法、及半導體處理系統
TW202235675A (zh) 2020-11-30 2022-09-16 荷蘭商Asm Ip私人控股有限公司 注入器、及基板處理設備
US11946137B2 (en) 2020-12-16 2024-04-02 Asm Ip Holding B.V. Runout and wobble measurement fixtures
TW202231903A (zh) 2020-12-22 2022-08-16 荷蘭商Asm Ip私人控股有限公司 過渡金屬沉積方法、過渡金屬層、用於沉積過渡金屬於基板上的沉積總成
CN113150285B (zh) * 2021-04-20 2022-08-09 哈尔滨工业大学 利用脱氢偶联反应合成氮杂环改性有机硅树脂的制备方法
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
USD1023959S1 (en) 2021-05-11 2024-04-23 Asm Ip Holding B.V. Electrode for substrate processing apparatus
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
CN113540375B (zh) * 2021-06-04 2022-06-03 华中科技大学 干涉滤光膜及其制备方法和发光装置
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate
CN115558483A (zh) * 2022-11-07 2023-01-03 中国石油天然气集团有限公司 一种气井压裂用微乳液疏气剂及其制备方法

Citations (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US3700712A (en) * 1971-09-07 1972-10-24 Union Carbide Corp Process for preparing silicon peroxide compounds
WO1994005730A1 (en) * 1992-09-03 1994-03-17 Temple University - Of The Commonwealth System Of Higher Education Functionalized silica particle and use thereof for cross-linking silicones

Family Cites Families (27)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2614338B2 (ja) 1990-01-11 1997-05-28 株式会社東芝 液体ソース容器
US5196559A (en) * 1992-01-23 1993-03-23 Dow Corning Corporation Equilibration of cyclic siloxanes with novel catalysts
JPH05279856A (ja) * 1992-03-31 1993-10-26 Nec Corp 気相成長方法
US5465766A (en) 1993-04-28 1995-11-14 Advanced Delivery & Chemical Systems, Inc. Chemical refill system for high purity chemicals
KR100364115B1 (ko) 1996-12-17 2002-12-11 어드밴스드 테크놀러지 머티리얼즈, 인코포레이티드 화학 증착용 시약 공급 용기
US6953047B2 (en) 2002-01-14 2005-10-11 Air Products And Chemicals, Inc. Cabinet for chemical delivery with solvent purging
US7084076B2 (en) 2003-02-27 2006-08-01 Samsung Electronics, Co., Ltd. Method for forming silicon dioxide film using siloxane
US8530361B2 (en) 2006-05-23 2013-09-10 Air Products And Chemicals, Inc. Process for producing silicon and oxide films from organoaminosilane precursors
WO2009006272A1 (en) * 2007-06-28 2009-01-08 Advanced Technology Materials, Inc. Precursors for silicon dioxide gap fill
JP2010052986A (ja) * 2008-08-28 2010-03-11 Chromanik Technologies Inc 表面処理されたシリカ及びその製造方法
US20110151677A1 (en) * 2009-12-21 2011-06-23 Applied Materials, Inc. Wet oxidation process performed on a dielectric material formed from a flowable cvd process
US8912353B2 (en) 2010-06-02 2014-12-16 Air Products And Chemicals, Inc. Organoaminosilane precursors and methods for depositing films comprising same
US8771807B2 (en) 2011-05-24 2014-07-08 Air Products And Chemicals, Inc. Organoaminosilane precursors and methods for making and using same
KR101469265B1 (ko) * 2011-12-26 2014-12-04 제일모직주식회사 반도체 소자 밀봉용 에폭시 수지 조성물 및 이를 이용한 반도체 장치
US9337018B2 (en) 2012-06-01 2016-05-10 Air Products And Chemicals, Inc. Methods for depositing films with organoaminodisilane precursors
JP2016502580A (ja) * 2012-11-08 2016-01-28 スリーエム イノベイティブ プロパティズ カンパニー Uv硬化性シリコーン接着剤組成物
US9245740B2 (en) 2013-06-07 2016-01-26 Dnf Co., Ltd. Amino-silyl amine compound, method for preparing the same and silicon-containing thin-film using the same
ITBS20130115A1 (it) 2013-07-31 2015-02-01 Omb Saleri S P A Elemento e sistema catalitico, procedimento di fabbricazione di tale elemento
US10453675B2 (en) * 2013-09-20 2019-10-22 Versum Materials Us, Llc Organoaminosilane precursors and methods for depositing films comprising same
KR101735379B1 (ko) 2014-01-08 2017-05-16 (주)디엔에프 신규한 사이클로다이실라잔 유도체, 이의 제조방법 및 이를 이용한 실리콘 함유 박막
WO2015105337A1 (en) 2014-01-08 2015-07-16 Dnf Co.,Ltd. Novel trisilyl amine derivative, method for preparing the same and silicon-containing thin film using the same
US20150275355A1 (en) 2014-03-26 2015-10-01 Air Products And Chemicals, Inc. Compositions and methods for the deposition of silicon oxide films
KR102079501B1 (ko) * 2014-10-24 2020-02-20 버슘머트리얼즈 유에스, 엘엘씨 규소-함유 필름의 증착을 위한 조성물 및 이를 사용하는 방법
US9777378B2 (en) * 2015-01-07 2017-10-03 Applied Materials, Inc. Advanced process flow for high quality FCVD films
US10421766B2 (en) * 2015-02-13 2019-09-24 Versum Materials Us, Llc Bisaminoalkoxysilane compounds and methods for using same to deposit silicon-containing films
US9777025B2 (en) 2015-03-30 2017-10-03 L'Air Liquide, Société pour l'Etude et l'Exploitation des Procédés Georges Claude Si-containing film forming precursors and methods of using the same
US10703915B2 (en) * 2016-09-19 2020-07-07 Versum Materials Us, Llc Compositions and methods for the deposition of silicon oxide films

Patent Citations (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US3700712A (en) * 1971-09-07 1972-10-24 Union Carbide Corp Process for preparing silicon peroxide compounds
WO1994005730A1 (en) * 1992-09-03 1994-03-17 Temple University - Of The Commonwealth System Of Higher Education Functionalized silica particle and use thereof for cross-linking silicones

Non-Patent Citations (4)

* Cited by examiner, † Cited by third party
Title
Davison et al, Macromolecules, 1978, 11, p186-191
Jiang et al, Macromolecules, 1998,31, p4170-4176
Jiang et al, Macromolecules, 1998,31, p4170-4176 Suzuki et al, Polymer Journal, 1993, 25(4), p411-416 Davison et al, Macromolecules, 1978, 11, p186-191 *
Suzuki et al, Polymer Journal, 1993, 25(4), p411-416

Also Published As

Publication number Publication date
KR20180092295A (ko) 2018-08-17
CN108395450B (zh) 2021-12-31
CN114318299A (zh) 2022-04-12
US11952465B2 (en) 2024-04-09
US10822458B2 (en) 2020-11-03
TW201943723A (zh) 2019-11-16
IL257372B (en) 2022-01-01
US20210017339A1 (en) 2021-01-21
CN108395450A (zh) 2018-08-14
IL257372A (en) 2018-08-30
EP3388440A1 (en) 2018-10-17
TWI673278B (zh) 2019-10-01
KR102153577B1 (ko) 2020-09-08
US20180223047A1 (en) 2018-08-09
SG10201801158QA (en) 2018-09-27
JP2018154615A (ja) 2018-10-04
JP6703019B2 (ja) 2020-06-03
JP2020128407A (ja) 2020-08-27
JP2022179494A (ja) 2022-12-02
TW201833125A (zh) 2018-09-16
JP7141426B2 (ja) 2022-09-22
CN114318291A (zh) 2022-04-12

Similar Documents

Publication Publication Date Title
TWI691504B (zh) 用於沉積含矽膜的有機胺官能基化的線性及環狀寡矽氧烷
JP6864086B2 (ja) 酸化ケイ素膜の堆積のための組成物及び方法
US11702743B2 (en) Trisilylamine derivatives as precursors for high growth rate silicon-containing films
US11177127B2 (en) Functionalized cyclosilazanes as precursors for high growth rate silicon-containing films
TWI740125B (zh) 用於沉積含矽膜的有機胺官能化環寡矽氧烷
JP7007377B2 (ja) 高成長速度のケイ素含有膜のための炭素架橋アミノシラン化合物
US20220044929A1 (en) Functionalized cyclosilazanes as precursors for high growth rate silicon-containing films
TWI667249B (zh) 用於沉積含矽膜的有機胺基-多矽氧烷
TWI771760B (zh) 用於沉積含矽膜的有機胺官能化環寡矽氧烷及將含矽及氧膜沉積到一基材上之方法
CN115443347A (zh) 用于沉积含硅膜的有机氨基官能化环状低聚硅氧烷