KR100956468B1 - 실리콘 질화막을 형성하는 cvd 방법 - Google Patents

실리콘 질화막을 형성하는 cvd 방법 Download PDF

Info

Publication number
KR100956468B1
KR100956468B1 KR1020097006939A KR20097006939A KR100956468B1 KR 100956468 B1 KR100956468 B1 KR 100956468B1 KR 1020097006939 A KR1020097006939 A KR 1020097006939A KR 20097006939 A KR20097006939 A KR 20097006939A KR 100956468 B1 KR100956468 B1 KR 100956468B1
Authority
KR
South Korea
Prior art keywords
gas
silicon nitride
nitride film
supply
period
Prior art date
Application number
KR1020097006939A
Other languages
English (en)
Other versions
KR20090037984A (ko
Inventor
히또시 가또오
고헤이 후꾸시마
마사또 요네자와
준야 히라가
Original Assignee
도쿄엘렉트론가부시키가이샤
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 도쿄엘렉트론가부시키가이샤 filed Critical 도쿄엘렉트론가부시키가이샤
Publication of KR20090037984A publication Critical patent/KR20090037984A/ko
Application granted granted Critical
Publication of KR100956468B1 publication Critical patent/KR100956468B1/ko

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02612Formation types
    • H01L21/02617Deposition types
    • H01L21/0262Reduction or decomposition of gaseous compounds, e.g. CVD
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/34Nitrides
    • C23C16/345Silicon nitride
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/0217Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material being a silicon nitride not containing oxygen, e.g. SixNy or SixByNz
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02205Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition
    • H01L21/02208Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si
    • H01L21/02211Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si the compound being a silane, e.g. disilane, methylsilane or chlorosilane
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/314Inorganic layers
    • H01L21/318Inorganic layers composed of nitrides
    • H01L21/3185Inorganic layers composed of nitrides of siliconnitrides

Abstract

실리콘 질화막을 형성하는 CVD 방법은 피처리 기판(W)을 수납한 처리실(8) 내를 배기하면서, 상기 처리실 내에 실란계 가스(HCD)와 암모니아 가스(NH3)를 공급하고, CVD에 의해 상기 피처리 기판 상에 상기 실리콘 질화막을 형성하는 공정을 포함한다. 상기 실리콘 질화막을 형성하는 공정은 상기 처리실(8) 내에 상기 실란계 가스(HCD)를 공급하는 제1 기간과, 상기 실란계 가스의 공급을 정지하는 제2 기간을 교대로 구비한다.
Figure R1020097006939
실리콘 질화막, 처리실, 매니폴드, 웨이퍼 보트, 승강 기구, 가스 공급부

Description

실리콘 질화막을 형성하는 CVD 방법{CVD METHOD FOR FORMING SILICON NITRIDE FILM}
본 발명은 피처리 기판 상에 실리콘 질화막을 형성하기 위한 CVD 방법 및 장치에 관한 것이다.
반도체 장치 중 절연막으로서, SiO2, PSG(Phospho Silicate Glass), P(플라즈마 CVD로 형성됨)-SiO, P(플라즈마 CVD로 형성됨)-SiN, SOG(Spin On Glass), Si3N4(실리콘 질화막) 등이 사용된다. 특히 실리콘 질화막은 절연 특성이 실리콘 산화막보다 비교적 양호하고 에칭 스토퍼막으로서도 충분히 기능하므로 많이 이용되는 경향이 있다.
반도체 웨이퍼의 표면에 상술한 바와 같은 실리콘 질화막을 형성하는 방법으로서, 실리콘 소스 가스로서 모노실란(SiH4), 디클로로실란(DCS : SiH2Cl2), 헥사클로로디실란(HCD : Si2Cl6), 비스(터셔리-부틸아미노)실란[BTBAS : SiH2(NH(C4H9))2] 등의 실란계 가스를 이용하여 열 CVD(Chemical Vapor Deposition: 화학 기상 증착) 에 의해 성막하는 방법이 알려져 있다. 예를 들어, SiH2Cl2 + NH3(일본 특허 공개 평2-93071호 공보 참조) 혹은 Si2Cl6 + NH3 등의 가스의 조합으로 열 CVD에 의해 실리콘 질화막을 형성한다.
반도체 장치의 부가의 고미세화 및 고집적화에 수반하여 상술한 바와 같은 절연막도 다른 박막화가 필요해지고 있다. 열 CVD의 성막 처리시 온도에 관해서도, 절연막의 하측에 미리 형성되는 각종 막의 전기적 특성을 유지할 필요가 있기 때문에, 보다 저온화가 진행되어 있다. 이러한 점에 관하여, 예를 들어 실리콘 질화막을 열 CVD에 의해 퇴적하는 경우, 종래는 760℃ 정도의 고온에서 이 실리콘 질화막의 퇴적을 행하고 있다. 그러나, 최근에는 600℃ 정도까지 온도를 내려 열 CVD에 의해 퇴적하는 경우도 있다.
반도체 장치를 형성하는 경우, 도전막이나 상술한 바와 같은 절연막을 서로 적층하여 패턴 에칭을 행하면서 다층 구조로 한다. 절연막을 형성한 후에, 이 위에 다른 박막을 형성하는 경우, 상기 절연막의 표면이 유기물이나 파티클 등의 오염물이 부착되어 있을 가능성이 있다. 이로 인해, 필요에 따라서 이 오염물을 제거할 목적으로 클리닝 처리를 행한다. 이 경우, 반도체 웨이퍼를 희불산 등의 클리닝액에 침지시켜 절연막의 표면을 에칭한다. 이에 의해, 절연막의 표면을 매우 얇게 깎아내어 오염물을 제거한다.
상기 절연막을 예를 들어 760℃ 정도의 고온에서 CVD 성막한 경우, 절연막의 클리닝시의 에칭률은 상당히 작아진다. 이로 인해, 클리닝시에 이 절연막이 과도하게 깎아내어지는 일이 없어, 막 두께의 제어성이 좋은 상태에서 클리닝 처리를 행할 수 있다. 이에 대해, 상기 절연막을 예를 들어 600℃ 정도의 낮은 온도에서 CVD 성막한 경우, 절연막의 클리닝시의 에칭률은 상당히 커진다. 이로 인해, 클리닝시에 이 절연막이 과도하게 깎아내어지는 경우가 발생하여, 클리닝 처리시의 막 두께의 제어성이 떨어져 버린다.
또한, 실리콘 질화막은 전술한 바와 같이 에칭 스토퍼막으로서 사용하는 경우도 있다. 이 경우, 실리콘 질화막의 에칭률을 충분히 작게 할 필요가 있다. 그러나, 종래의 성막 방법으로는 이 요청에 충분히 따를 수 없다.
본 발명은 비교적 저온으로 성막해도 클리닝시의 에칭률을 비교적 작게 할 수 있어 클리닝시의 막 두께의 제어성을 향상시킬 수 있고, 또한 에칭 스토퍼막으로서도 충분히 기능할 수 있는 실리콘 질화막을 형성하기 위한 방법 및 장치를 제공하는 것을 목적으로 한다.
본 발명의 제1 시점은, 실리콘 질화막을 형성하는 CVD 방법이며,
피처리 기판을 수납한 처리실 내를 배기하면서 상기 처리실 내에 실란계 가스와 암모니아 가스를 공급하고, CVD에 의해 상기 피처리 기판 상에 상기 실리콘 질화막을 형성하는 공정을 구비하고, 여기서 상기 실리콘 질화막을 형성하는 공정은 상기 처리실 내에 상기 실란계 가스를 공급하는 제1 기간과, 상기 실란계 가스의 공급을 정지하는 제2 기간을 교대로 구비한다.
본 발명의 제2 시점은, 실리콘 질화막을 형성하는 CVD 방법이며,
피처리 기판을 수납한 처리실 내를 배기하면서 상기 처리실 내에 실란계 가스와 암모니아 가스와 탄화수소 가스를 공급하고, CVD에 의해 상기 피처리 기판 상에 상기 실리콘 질화막을 형성하는 공정을 구비하고, 여기서 상기 실리콘 질화막을 형성하는 공정은 상기 처리실 내에 상기 실란계 가스를 공급하는 제1 기간과, 상기 실란계 가스의 공급을 정지하는 제2 기간을 교대로 구비한다.
제1 및 제2 시점에 있어서, 상기 제1 기간은 상기 제2 기간 이하의 길이를 가질 수 있다. 예를 들어, 상기 제1 기간은 15 내지 60초의 범위 내로 설정되고, 상기 제2 기간은 30 내지 180초의 범위 내로 설정된다.
제1 및 제2 시점에 있어서, 상기 실리콘 질화막을 형성하는 공정은 상기 처리실 내에 상기 암모니아 가스를 공급하는 제3 기간과, 상기 암모니아 가스의 공급을 정지하는 제4 기간을 교대로 구비할 수 있다.
제2 시점에 있어서, 상기 실리콘 질화막을 형성하는 공정은 상기 암모니아 가스를 공급하는 제3 기간과, 상기 암모니아 가스의 공급을 정지하는 제4 기간을 교대로 구비하는 동시에, 상기 처리실 내에 상기 탄화수소 가스를 공급하는 제5 기간과, 상기 탄화수소 가스의 공급을 정지하는 제6 기간을 교대로 구비할 수 있다. 상기 피처리 기판은 실리콘 표면을 갖는 경우, 상기 실리콘 질화막을 형성하는 공 정은 상기 실란계 가스 및 상기 탄화수소 가스 중 적어도 한쪽을 최초로 공급하는 것이 바람직하다.
본 발명에 따르면, 비교적 저온으로 성막해도 클리닝시의 에칭률을 비교적 작게 할 수 있어 클리닝시의 막 두께의 제어성을 향상시킬 수 있고, 또한 에칭 스토퍼막으로서도 충분히 기능할 수 있는 실리콘 질화막을 형성하기 위한 방법 및 장치를 제공하는 것이 가능하다.
본 발명자들은 본 발명의 개발 과정에서 실리콘 질화막을 클리닝할 때의 에칭률에 대해 연구하였다. 그 결과, 실리콘 질화막 중에 탄소 성분을 적극적으로 함유시킴으로써 클리닝시의 에칭률을 작게 억제 할 수 있다는 것을 발견하였다. 또한, 탄소 성분의 유무에 상관없이 실리콘 질화막의 실리콘 소스 가스인 실란계 가스를 간헐적으로 공급함으로써, 클리닝시의 에칭률을 작게 억제 할 수 있다는 것을 발견하였다. 또, 본 발명은 일본 특허 공개 제2003-282566(2003년 10월 3일)에 개시한 발명의 개량 발명이다.
이하에 본 발명의 실시 형태에 대해 도면을 참조하여 설명한다. 또, 이하의 설명에 있어서, 대략 동일한 기능 및 구성을 갖는 구성 요소에 대해서는 동일 부호를 붙이고, 중복 설명은 필요한 경우에만 행한다.
도 1은 본 발명의 실시 형태에 관한 CVD 장치를 도시하는 단면도이다. 이 CVD 장치(2)는 실란계 가스(실리콘 소스 가스)로부터 실질적이게 되는 제1 가스와, 질화 가스로부터 실질적이게 되는 제2 가스와, 탄화수소 가스로부터 실질적이게 되는 제3 가스를 동시에 공급하여 실리콘 질화막을 형성하도록 구성된다. 일례로서, 예를 들어 헥사클로로디실란(HCD : Si2Cl6)과 NH3을 이용하여 실리콘 질화막을 퇴적할 때에 탄화수소 가스를 공급하여 막 중에 탄소 성분을 함유시킨다.
도 1에 도시한 바와 같이, CVD 장치(2)는 통부재형의 석영제의 내통(4)과, 그 외측에 소정의 간극(10)을 거쳐서 동심원형으로 배치한 석영제의 외통(6)으로 이루어지는 2중관 구조의 처리실(8)을 갖는다. 처리실(8)의 외측은 가열 히터 등의 가열 수단(12)과 단열재(14)를 구비한 가열 커버(16)에 의해 덮여진다. 가열 수단(12)은 단열재(14)의 내면에 전체면에 걸쳐서 배치된다. 또, 본 실시 형태에 있어서, 처리실(8)의 내통(4)의 내경은 240㎜ 정도, 높이는 1300㎜ 정도의 크기이고, 처리실(8)의 용적은 약 110 리터 정도이다.
처리실(8)의 하단부는, 예를 들어 스테인레스 스틸제의 통부재형의 매니폴드(18)에 의해 지지된다. 내통(4)의 하단부는 매니폴드(18)의 내벽보다 내측으로 돌출시킨 링형의 지지판(18A)에 의해 지지된다. 피처리 기판인 반도체 웨이퍼(W)를 다단으로 적재한 석영제의 웨이퍼 보트(20)가 매니폴드(18)의 하방으로부터 처리실(8)에 대해 로드/언로드된다. 본 실시 형태의 경우에 있어서, 웨이퍼 보트(20)에는, 예를 들어 100 내지 150매 정도의 직경이 200㎜인 웨이퍼가 대략 같은 피치로 다단으로 지지 가능해진다. 또, 웨이퍼(W)의 사이즈 및 수용 매수는 이에 한정되지 않고, 예를 들어 직경 300㎜의 웨이퍼에 대해서도 적용할 수 있다.
웨이퍼 보트(20)는 석영제의 보온통(22)을 거쳐서 회전 테이블(24) 상에 적재된다. 회전 테이블(24)은 매니폴드(18)의 하단부 개구부를 개폐하는 덮개부(26)를 관통하는 회전축(28) 상에 지지된다. 회전축(28)의 관통부에는 예를 들어 자성유체 밀봉부(30)가 개재 설치되어, 회전축(28)이 기밀하게 밀봉된 상태에서 회전 가능하게 지지된다. 또한, 덮개부(26)의 주변부와 매니폴드(18)의 하단부에는, 예를 들어 O링 등으로 이루어지는 밀봉 부재(32)가 개재 설치되어 처리실(8) 내의 밀봉성이 유지된다.
회전축(28)은 예를 들어 보트 엘리베이터 등의 승강 기구(34)에 지지된 아암(36)의 선단부에 부착된다. 승강 기구(34)에 의해 웨이퍼 보트(20) 및 덮개부(26) 등이 일체적으로 승강된다. 매니폴드(18)의 측부에는 내통(4)과 외통(6)의 간극(10)의 바닥부로부터 처리실(8) 내의 분위기를 배출하는 배기구(38)가 형성된다. 배기구(38)에는 진공 펌프 등을 개재 설치한 진공 배기부(39)가 접속된다.
매니폴드(18)의 측부에는 내통(4) 내에 소정의 처리 가스를 공급하기 위한 가스 공급부(40)가 배치된다. 구체적으로는, 가스 공급부(40)는 실란계 가스 공급계(42)와, 질화 가스 공급계(44)와, 탄화수소 가스 공급계(46)를 포함한다. 각 가스 공급계(42, 44, 46)는 매니폴드(18)의 측벽을 관통하여 설치된 직선형의 가스 노즐(48, 50, 52)을 각각 갖는다.
각 가스 노즐(48, 50, 52)에는 질량 유량 제어기와 같은 유량 제어기(54, 56, 58)를 각각 개재 설치한 가스 유로(60, 62, 64)가 각각 접속된다. 가스 유로(60, 62, 64)는 실란계 가스, 질화 가스, 탄화수소 가스를 각각 유량 제어하면서 공급할 수 있도록 구성된다. 여기서, 예를 들어 실란계 가스(실리콘 소스 가스)로서 HCD 가스가 사용되고, 질화 가스로서는 NH3 가스가 사용되고, 그리고 탄화수소 가스로서는 에틸렌(C2H4) 가스가 사용된다.
또한, 가스 공급부(40)는 퍼지 가스를 공급하는 퍼지 가스 공급계(72)를 포함한다. 퍼지 가스 공급계(72)는 질량 유량 제어기와 같은 유량 제어기(74)를 각각 개재 설치한 가스 유로(76)에 의해 매니폴드(18)의 측부에 접속된다. 퍼지 가스로서는, 예를 들어 N2 등의 불활성 가스가 사용된다.
다음에, 이상과 같이 구성된 장치를 이용하여 행해지는 본 발명의 실시 형태에 관한 CVD 방법에 대해 설명한다. 또, 이하에 서술하는 방법(가스의 공급 및 정지를 포함함)은 미리 CPU(5)의 기억부(5s)에 미리 기억된 CVD 처리의 처리 레시피, 예를 들어 형성되는 실리콘 질화막의 막 두께에 따라서 행할 수 있다. 기억부(5s)에는 또한 처리 가스 유량과 실리콘 질화막의 막 두께와의 관계가 미리 제어 데이터로서 기억된다. 따라서, CPU(5)는 이들이 기억된 처리 레시피나 제어 데이터를 기초로 하여 가스 공급부(40) 등을 제어할 수 있다.
우선, CVD 장치가 웨이퍼를 로드하지 않는 대기 상태에 있을 때에는 처리실(8) 내를 프로세스 온도, 예를 들어 500℃ 정도로 유지한다. 한편, 다수매, 예를 들어 100매의 웨이퍼(W)를 탑재한 상온의 웨이퍼 보트(20)를 처리실(8) 내에 그 하방보다 상승시켜 처리실(8) 내로 로드한다. 그리고, 덮개부(26)에 의해 매니폴드(18)의 하단부 개구부를 폐쇄함으로써 처리실(8) 내를 밀폐한다.
다음에, 처리실(8) 내를 진공화하여 소정의 프로세스 압력으로 유지하는 동시에, 웨이퍼 온도를 성막용 프로세스 온도로 상승시킨다. 온도 안정 후, 소정의 실란계 가스인 HCD 가스와, 질화 가스인 암모니아 가스와, 탄화수소 가스인 에틸렌 가스를 각각 유량 제어하면서 가스 공급부(40)의 각 노즐(48, 50, 52)로부터 공급한다. 이 때, 이하에 서술하는 실시 형태에 관한 가스 공급 패턴을 사용하여 실리콘 질화막을 형성한다.
<제1 및 제2 실시 형태>
도 2a, 도 2b, 도 2c는 본 발명의 제1 및 제2 실시 형태 및 종래 기술에 관한 가스 공급 패턴을 각각 도시하는 타이밍 차트이다. 도 2a, 도 2b에 도시한 바와 같이 실란계 가스인 HCD 가스는 연속적으로 공급하는 것은 아니고, 공급과 공급의 정지를 반복하도록 하여 간헐적으로 공급한다. 구체적으로는, 제1 실시 형태에서는 도 2a에 도시한 바와 같이 NH3 가스와 C2H4 가스를 함께 연속적으로 공급한다. 제2 실시 형태에서는 도 2b에 도시한 바와 같이 C2H4 가스는 연속적으로 공급하는 데 반해, NH3 가스는 상기 HCD 가스와 동기시켜 간헐적으로 공급한다. 제1 및 제2 실시 형태의 경우, HCD 가스와 NH3 가스가 동시에 공급되는 1회의 동시 공급 기간(퇴적 기간)(T1)에 있어서, 웨이퍼(W)의 표면에 탄소가 함유된 실리콘 질화막을 퇴적한다. 또한, HCD 가스 공급의 정지가 행해지는 1회의 간헐 기간(개질 기간)(T2)에 있어서, 직전에 퇴적된 실리콘 질화막의 개질을 행한다.
즉, 퇴적 기간(T1)과 개질 기간(T2)이 교대로 반복되어 탄소가 함유된 실리 콘 질화막이 다층으로 형성된다. 여기서, 1회의 퇴적 기간(T1)과 1회의 개질 기간(T2)이 1사이클로서 정의되어, 퇴적해야 할 목표로 하는 막 두께에 따라서 사이클 수가 정해진다. 프로세스 조건으로서는, 프로세스 온도는 600℃로 일정하고, 프로세스 압력은 동시 공급 기간(T1)에는 27㎩(0.2Torr), 개질 기간(T2)에는 532㎩(4Torr)이다. 또한 퇴적 기간(T1)은 예를 들어 30초 정도이고, 개질 기간(T2)은 예를 들어 60초 정도이다.
이와 같이, 실리콘 질화막을 성막할 때에 탄화수소 가스로서 예를 들어 C2H4 가스를 처리 용기(8) 내로 공급함으로써, 웨이퍼 표면에 형성되는 실리콘 질화막 중에 탄소 성분이 함유된 상태가 된다. 이에 의해, 종래의 성막 온도, 예를 들어 760℃ 정도보다도 낮은 온도, 예를 들어 600℃에서 성막하였음에도 불구하고, 실리콘 질화막의 표면의 클리닝 처리시나 에칭 처리시에 이용되는 희불산에 대한 에칭률을 작게 할 수 있다. 그 결과, 클리닝 처리시에 실리콘 질화막이 과도하게 깎아내어지는 것을 방지하여, 이 막 두께의 제어성을 향상시키는 것이 가능해진다. 또한, 실리콘 질화막이 에칭 스토퍼막으로서의 기능도 충분히 다할 수 있게 된다.
또한, 본 제1 및 제2 실시 형태에서는 HCD 가스를 간헐적으로 공급함으로써 개질 기간(T2)이 마련된다. 각 개질 기간(T2) 직전에 성막된 실리콘 질화막의 표면은 개질 기간(T2)에 개질되어 막질이 향상된다. 이에 의해, 실리콘 질화막의 에칭률을 한층 억제할 수 있다. 이 개질 처리시의 원자 레벨의 작용은 다음과 같이 생각할 수 있다.
즉, 탄소 원자를 함유하는 실리콘 질화막의 성막시에는 이 박막의 최표면에 HCD 가스 중 퇴적시에 이탈할 수 없던 Cl 원자가 활성화 상태에서 결합한다. HCD 가스의 공급이 정지되는 개질 기간(T2)에 있어서, C2H4 가스나 NH3이 가스 중 C 원자나 N 원자가 상기 박막 최표면의 Cl 원자로 치환되어 막 중의 Cl 성분이 감소하고, 결과적으로 에칭률이 저하된다. 특히, C2H4 가스를 이용한 경우에는 실리콘 질화막 중에 취입되는 C 원자의 양이 증가하게 되므로 에칭률을 한층 억제하는 것이 가능해진다.
또한, HCD 가스 등의 가스를 간헐적으로 공급하면, 암모니아나 HCD나 에탄 등의 각 가스를 모두 동시에 장시간 연속적으로 흐르게 하는 경우보다도 가스 사용량을 절약할 수 있다. 또한, 이 경우, 막 두께의 면간 및 면내 균일성도 향상시키는 것이 가능해진다.
[실험 : 가스 공급 패턴 의존성]
다음에, 제1 및 제2 실시 형태에 관한 것으로, 도 1에 도시한 CVD 장치(2)를 사용하여 행한 실험에 대해 설명한다. 여기서, 도 2a, 도 2b에 도시하는 제1 및 제2 실시 형태 및 도 2c에 도시하는 종래 기술에 관한 가스 공급 패턴을 사용하여 실리콘 질화막을 형성하고, 그 에칭률을 평가하였다.
제1 및 제2 실시 형태 각각에 관한 실시예 E11, E21에 있어서, 프로세스 온도는 600℃, NH3 가스의 유량은 600sccm, HCD 가스의 유량은 20sccm, C2H4 가스의 유량은 600sccm으로 하였다. 도 2a, 도 2b에 있어서의 퇴적 기간(T1)은 30초, 개 질 기간(T2)은 60초로 하였다. 퇴적 기간(T1)의 프로세스 압력은 27㎩(0.2Torr), 개질 기간(T2)의 프로세스 압력은 532㎩(4Torr)로 하였다. 실시예 E11 및 E21에 있어서는, 이와 같은 조건하에서 탄소를 함유하는 실리콘 질화막을 형성하였다.
종래 기술에 관한 비교예 C1에 있어서, 프로세스 온도는 600℃, 프로세스 압력은 27㎩(0.2Torr), NH3 가스의 유량은 600sccm, HCD 가스의 유량은 20sccm으로 하였다. 여기서, C2H4 가스는 공급하지 않고, NH3 가스 및 HCD 가스를 연속적으로 공급하였다. 비교예 C1에 있어서는, 이와 같은 조건하에서 탄소를 함유하지 않는 실리콘 질화막을 형성하였다.
이와 같이 하여 형성한 실시예 E11, E21, 비교예 C1에 의한 막을 에칭액에 침지하여 에칭하였다. 에칭액은 0.1% HF 용액(99.9%는 H2O), 에칭 온도는 실온, 에칭 시간은 30초로 하였다.
도 3a는 이 실험에 의해 얻게 된 실시예 E11, E21, 비교예 C1에 의한 막의 에칭률을 비교하여 나타내는 그래프이다. 도 3a에 도시한 바와 같이, 비교예 C1의 경우에는 에칭률은 3.41㎚/분으로 매우 큰 값이 되었다. 이에 반해, 제1 실시 형태에 관한 실시예 E11의 경우에는 0.97㎚/분, 제2 실시 형태에 관한 실시예 E21의 경우에는 0.60㎚/분이었다. 즉, 실시예 E11, E21에서는 비교예 C1에 비해 에칭률을 상당히 억제할 수 있었다.
또, 실시예 E11과 비교하여, 실시예 E21의 경우 쪽이 보다 에칭률을 억제할 수 있었던 이유는 다음과 같은 것으로 생각된다. 즉, 제2 실시 형태에 관한 실시 예 E21에서는 개질 기간(T2)시에 NH3 가스 및 HCD 가스의 공급을 정지하여 C2H4 가스만을 공급한다. 이 경우, 개질시의 C2H4 가스의 분압이 그만큼 커지고, 실리콘 질화막의 최표면의 Cl 원자와 C2H4 가스의 탄소 성분과의 치환이 보다 효율적으로 행해진다. 그 결과, 실리콘 질화막 중의 탄소 함유량이 증가하여 에칭률을 한층 억제할 수 있다.
[실험 : NH3 가스 유량 의존성]
NH3 가스의 유량에 대한 실리콘 질화막의 에칭률의 의존성을 검토하기 위해, 제1 실시 형태에 관한 가스 공급 패턴을 사용하여 추가 실험을 행하였다. 여기서, 제1 실시 형태에 관한 실시예 E12로서 NH3 가스의 유량을 100sccm으로 감소시켜 실리콘 질화막을 형성하고, 그 에칭률을 평가하였다. 다른 프로세스 조건 및 에칭 조건은 도 3a에 관하여 서술한 것과 동일하게 하였다.
도 3b는 이 실험에 의해 얻게 된 실시예 E12에 의한 막의 에칭률을 상기한 실시예 E11[NH3 가스의 유량이 600sccm], 비교예 C1에 의한 막의 에칭률과 비교하여 나타내는 그래프이다. 도 3b에 도시한 바와 같이 NH3 가스의 유량을 600sccm(실시예 E11)으로부터 100sccm(실시예 E12)으로 감소시킴으로써, 에칭률은 0.97㎚/분으로부터 0.75㎚/분까지 저하되었다. 이로 인해, NH3 가스를 감소시키는 것은 어느 정도는 유효하지만, 제2 실시 형태의 가스 공급 패턴 정도에는 유효하지 않은 것이 판명되었다.
[그 밖의 검토 사항]
퇴적 기간(T1) 및 개질 기간(T2)의 길이에 대해 검토를 행한 결과, 이하의 것이 판명되었다. 즉, 퇴적 기간(T1)의 바람직한 범위는 15초 내지 60초, 보다 바람직한 범위는 15초 내지 30초이다. 퇴적 기간(T1)이 15초보다도 짧으면, 실리콘 질화막이 충분히 퇴적하지 않을 뿐만 아니라, 목표로 하는 막 두께를 얻을 때까지 장시간을 필요로 해, 처리량의 면에서 현실적이지 않다. 한편, 퇴적 기간(T1)이 60초보다도 길어지면, 실리콘 질화막 중에 취입되는 Cl 원소가 많아져 이 개질 처리를 충분히 행할 수 없다.
한편, 개질 기간(T2)의 길이는 퇴적 기간(T1)의 길이 이상의 길이로 설정하는 것이 바람직하다. 구체적으로는, 개질 기간(T2)의 바람직한 범위는 30초 내지 180초, 보다 바람직한 범위는 30초 내지 60초이다. 개질 기간(T2)이 30초보다도 짧으면, NH3, HCD 및 C2H4 가스를 충분히 제거할 수 없거나, 혹은 개질 처리를 충분히 행할 수 없는 등의 문제가 발생한다. 한편, 개질 기간(T2)이 180초보다도 길어지면, 1사이클이 길어져, 처리량의 면에서 현실적이지 않다.
또한, 프로세스 온도에 대해 검토를 행한 결과, 이하의 것이 판명되었다. 프로세스 온도의 바람직한 범위는 450 내지 600℃이다. 프로세스 온도가 600℃보다도 높아지면, 이 실리콘 질화막의 성막에 선행하여 웨이퍼(W)에 형성되어 있던 각종 박막에 열적 손상을 가할 우려가 있다. 한편, 450℃ 보다도 낮으면, 실리콘 질화막을 열 CVD에 의해 충분히 성막할 수 없게 되어 버린다.
<제3 실시 형태>
도 4a, 도 4b는 본 발명의 제3 실시 형태 및 그 변경예에 관한 가스 공급 패턴을 나타내는 타이밍 차트이다. 도 4a에 나타내는 제3 실시 형태에 있어서, NH3 가스를 연속적으로 공급하고, 탄화수소 가스인 C2H4 가스를 HCD 가스의 간헐 공급에 동기시켜 간헐 공급한다. 도 4b에 나타내는 제3 실시 형태의 변경예에 있어서, NH3 가스를 연속적으로 공급하고, 탄화수소 가스인 C2H4 가스를 HCD 가스의 간헐 공급과 반대의 사이클로 간헐 공급한다. 즉, 도 4b에서는 개질 기간(T2)에 C2H4 가스를 공급하고, 퇴적 기간(T1)에 C2H4 가스의 공급을 정지한다.
<제1 내지 제3 실시 형태의 공통 사항>
제1 내지 제3 실시 형태에 있어서, 탄화수소 가스로서 C2H4(에틸렌)가 예시된다. 이 점에 관하여, 탄화수소 가스로서 아세틸렌, 에틸렌, 메탄, 에탄, 프로판, 부탄으로 이루어지는 군으로부터 선택되는 1 또는 2 이상의 가스를 이용할 수 있다. 예를 들어, 탄화수소 가스로서 에탄을 이용하는 경우에는, 이를 500 내지 1000℃ 정도로 예비 가열한 후에 처리 용기(8) 내로 공급하는 것이 바람직하다.
<제4 실시 형태>
제1 내지 제3 실시 형태에 있어서는, 에칭률을 억제하기 위해 실리콘 질화막의 성막시에, 예를 들어 C2H4 가스로 이루어지는 탄화수소 가스를 흐르게 하여 실리 콘 질화막 중에 탄소 성분을 함유시킨다. 이 점에 관하여, 하기와 같이 탄화수소 가스를 흐르게 하는 일 없이, 실란계 가스를 간헐 공급함으로써 실리콘 질화막을 성막하도록 해도 에칭률을 억제할 수 있다.
도 5는 본 발명의 제4 실시 형태에 관한 가스 공급 패턴을 나타내는 타이밍 차트이다. 도 5에 도시한 바와 같이, 여기서는 탄소 수소 가스는 이용하고 있지 않고, 암모니아 가스를 연속적으로 공급하는 동시에, 실란계 가스로서 예를 들어 HCD 가스를 간헐적으로 공급한다. 이 경우, 양 가스의 동시 공급 기간이 퇴적 기간(T1)이 되고, HCD 가스의 공급이 정지되어 NH3 가스만을 흐르게 하는 간헐 기간이 개질 기간(T2)이 된다. 또, 이와 같은 성막 처리는 도 1에 도시하는 성막 장치에 있어서, 탄화수소 가스 공급계(46)를 완전히 차단해 두면 쉽게 실현할 수 있다.
본 제4 실시 형태의 경우에는, 실리콘 질화막 중에는 탄소 원자가 함유되지 않는다. 그러나, 퇴적 기간(T1)에 퇴적된 얇은 실리콘 질화막이 그 직후의 개질 기간(T2)에 NH3 가스에 의해 개질되어 최표면의 Cl 원소가 N 원소에 의해 치환된다. 이에 의해, 최종적으로 형성되는 실리콘 질화막의 에칭률을 억제할 수 있다.
[실험 : 퇴적 기간 의존성]
다음에, 제4 실시 형태에 관하여 도 1에 도시한 CVD 장치(2)를 사용하여 행한 실험에 대해 설명한다. 여기서, 도 5에 나타내는 제4 실시 형태 및 도 2c에 나타내는 종래 기술에 관한 가스 공급 패턴을 사용하여 실리콘 질화막을 형성하고, 그 에칭률을 평가하였다.
제4 실시 형태에 관한 실시예 E41, E42, E43에 있어서, 프로세스 온도는 600℃, NH3 가스의 유량은 900sccm, HCD 가스의 유량은 30sccm으로 하였다. 도 5에 있어서의 개질 기간(T2)은 60초로 일정하게 하고, 퇴적 기간(T1)은 실시예 E41, E42, E43에 있어서 각각 다른 값 60초, 30초, 15초로 하였다. 퇴적 기간(T1)의 프로세스 압력은 27㎩(0.2Torr), 개질 기간(T2)의 프로세스 압력은 532㎩(4Torr)로 하였다.
종래 기술에 관한 비교예 C1에 있어서, 프로세스 온도는 600℃, 프로세스 압력은 27㎩(0.2Torr), NH3 가스의 유량은 600sccm, HCD 가스의 유량은 20sccm으로 하였다. 여기서, C2H4 가스는 공급하지 않고, NH3 가스 및 HCD 가스를 연속적으로 공급하였다. 즉, 이 비교예 C1은 도 3a에 관하여 서술한 비교예 C1과 동일한 것이다.
이와 같이 하여 형성한 실시예 E41, E42, E43, 비교예 C1에 의한 막을 에칭액에 침지하여 에칭하였다. 에칭액은 0.1% HF 용액(99.9%는 H2O), 에칭 온도는 실온, 에칭 시간은 30초로 하였다.
도 6a는 이 실험에 의해 얻게 된 실시예 E41, E42, E43, 비교예 C1에 의한 막의 에칭률을 비교하여 나타내는 그래프이다. 도 6a에 도시한 바와 같이, 비교예 C1의 경우에는 에칭률은 3.41㎚/분으로 상당히 큰 값이 되었다. 이에 대해, 실시예 E41[퇴적 기간(T1)이 60초]의 경우에는 에칭률이 3.1㎚/분으로, 비교예 C1보다도 약간 저하되었다(조금 효과가 나타남). 실시예 E42, E43[퇴적 기간(T1)이 30 초, 15초]의 경우에는 에칭률이 각각 2.28㎚/분 및 1.8㎚/분으로 상당히 저하되었다(큰 효과를 얻게 됨). 따라서, 실리콘 질화막의 에칭률을 종래 기술의 경우보다도 충분히 억제하기 위해서는, 퇴적 기간(T1)의 길이를 30초 이하로 설정하는 것이 바람직한 것으로 판명되었다.
[실험 : 개질 기간 의존성]
개질 기간(T2)의 길이에 대한 실리콘 질화막의 에칭률의 의존성을 검토하기 위해, 제4 실시 형태에 관한 가스 공급 패턴을 사용하여 추가 실험을 행하였다. 여기서, 제4 실시 형태에 관한 실시예 E44로서, 퇴적 기간(T1)은 30초, 개질 기간(T2)은 180초로 하였다. 다른 프로세스 조건 및 에칭 조건은 도 6a에 관하여 서술한 것과 동일하게 하였다.
도 6b는 본 실험에 의해 얻게 된 실시예 E44에 의한 막의 에칭률을 상기한 실시예 E42[퇴적 기간(T1)이 30초, 개질 기간(T2)이 60초], 비교예 C1에 의한 막의 에칭률과 비교하여 나타내는 그래프이다. 도 6b에 도시한 바와 같이, 실시예 E42[개질 기간(T2)이 60초]의 에칭률이 2.28㎚/분이었던 데 반해, 실시예 E44[개질 기간(T2)이 180초]의 에칭률이 2.16㎚/분이었다. 즉, 개질 기간(T2)을 60초에서 180초로 증가시켜도 에칭률은 그만큼 변화하지 않았다.
이상의 실험 결과로부터, 실리콘 질화막의 에칭률을 종래 기술보다도 충분히 억제하기 위해서는, 퇴적 기간(T1)의 길이를 30초 이하로 설정하고, 또한 개질 기간(T2)의 길이를 60초 이상으로 설정하는 것이 바람직한 것으로 판명되었다.
<제5 내지 제8 실시 형태>
상술한 바와 같이 제1 내지 제4 실시 형태에서는 적어도 실란계 가스인 HCD 가스를 간헐적으로 공급하고, 다른 가스인 암모니아 가스와 탄화수소 가스인 에틸렌 내의 적어도 한 쪽을 연속적으로 공급한다. 대신에, 암모니아 가스와, 실란계 가스와, 탄화수소 가스의 모든 가스를 각각 간헐적으로(펄스형으로) 공급하도록 해도 좋다. 이 경우, 제5 내지 제8 실시 형태에 도시한 바와 같이, 가스 공급의 1사이클 중에서 실란계 가스 및 탄화수소 가스 중 적어도 한 쪽을 최초로 공급한다.
도 7a, 도 7b, 도 7c, 도 7d는 본 발명의 제5, 제6, 제7, 및 제8 실시 형태에 관한 가스 공급 패턴을 각각 나타내는 타이밍 차트이다.
구체적으로는, 도 7a에 나타내는 제5 실시 형태에서는 3종류의 각 가스의 공급 시기, 즉 공급의 타이밍은 서로 다른 타이밍으로 설정된다. 즉, 우선 HCD 가스를 공급하고, 다음에 NH3 가스를 공급하고, 마지막에 C2H4 가스를 공급하는 1사이클을 복수회 연속적으로 행한다. 1회(1 펄스)의 가스의 공급 기간(T3)은 예를 들어 1분 정도로 설정된다. 인접하는 다른 가스의 공급 기간 사이의 간헐 기간(T4)은 예를 들어 0.5분 정도로 설정된다.
따라서, 본 제5 실시 형태의 경우에는 1사이클의 길이는 4.5분 정도이다. 상기 간헐 기간이 막의 개질 기간이 되고, 또한 성막의 전기간에 걸쳐서 처리 용기 내가 진공화되는 것은 앞서 제1 내지 제4 실시 형태에서 설명한 내용과 마찬가지이다. 상기 간헐 기간(개질 기간)에는 상기 3종류의 모든 가스의 공급을 정지한 상태에서 진공화만 계속하도록 할 수 있다. 대신에, 상기 간헐 기간(개질 기간)에는 3종류의 모든 가스의 공급을 정지하는 동시에 불활성 가스로서 예를 들어 N2 가스만을 공급한 상태에서 진공화만 계속할 수 있다. 이러한 점은 이하의 제6 내지 제8 실시 형태에 있어서도 마찬가지이다.
도 7b에 나타내는 제6 실시 형태에서는, 암모니아 가스의 공급 기간과 C2H4 가스의 공급 기간은 동일한 타이밍으로 설정되어, 동기하여 공급된다. 즉, 우선 HCD 가스를 공급하고, 다음에 NH3 가스와 C2H4 가스를 동시에 공급하는 1사이클을 복수회 연속적으로 행한다. 1회(1 펄스)의 가스의 공급 기간(T3)은 예를 들어 1분 정도로 설정된다. 간헐 기간(T4)은 예를 들어 0.5 정도로 설정된다. 따라서, 제6 실시 형태의 경우에는 1사이클의 길이는 3분 정도이다.
도 7c에 나타내는 제7 실시 형태에서는 HCD 가스의 공급 기간과 C2H4 가스의 공급 기간은 동일한 타이밍으로 설정되어, 동기하여 공급된다. 즉, 우선 HCD 가스와 C2H4 가스를 동기시켜 동시에 공급하고, 다음에 NH3 가스를 공급하는 1사이클을 복수회 연속적으로 행한다. 1회(1 펄스)의 가스의 공급 기간(T3)은 예를 들어 1분 정도로 설정된다. 간헐 기간(T4)은 예를 들어 0.5분 정도로 설정된다. 따라서, 제7 실시 형태의 경우에는 1사이클의 길이는 3분 정도이다.
도 7d에 나타내는 제8 실시 형태에서는, 3종류의 각 가스의 공급 기간, 즉 공급의 타이밍은 서로 다른 타이밍으로 설정된다. 우선 HCD 가스를 공급하고, 다음에 C2H4 가스를 공급하고, 마지막에 NH3 가스를 공급하는 1사이클을 복수회 연속 적으로 행한다. 제8 실시 형태에서는 C2H4 가스와 NH3 가스의 공급 순서가 도 7a에 나타내는 제5 실시 형태의 경우와는 반대로 되어 있다. 1회(1 펄스)의 가스의 공급 기간(T3)은 예를 들어 1분 정도로 설정된다. 인접하는 다른 가스의 공급 기간 사이의 간헐 기간(T4)은 예를 들어 0.5분 정도로 설정된다. 따라서, 제8 실시 형태의 경우에는 1사이클의 길이는 4.5분 정도이다.
제5 내지 제8 실시 형태에 있어서, 가스 공급의 1사이클 중에서 최초로 HCD 가스를 단독으로 혹은 C2H4 가스와 동시에 흐르게 하고, 그 후에 NH3 가스를 흐르게 한다. 이 이유는, 만약 NH3 가스를 먼저 흐르게 하면 웨이퍼면에서 내약품성이 약한(에칭되기 쉬운) N-Si 결합이 형성되기 때문이다. 이를 방지하기 위해, 적어도 먼저 HCD 가스를 흐르게 하고, 혹은 C2H4 가스와 동시에 흐르게 함으로써, 내약품성이 강한(에칭되기 어려운) Si-C 결합을 형성한다. 즉, 실리콘 표면을 갖는 피처리 기판에 대해서는 C를 포함하는 처리 가스를 최초로 공급하고, 실리콘 표면에 Si-C 결합을 형성함으로써 이를 보호한다.
[실험 : 가스 공급 패턴 의존성]
다음에, 제5 내지 제8 실시 형태에 관하여 도 1에 도시한 CVD 장치(2)를 사용하여 행한 실험에 대해 설명한다. 여기서, 도 7a 내지 도 7d에 나타내는 제5 내지 제8 실시 형태 및 도 2c에 나타내는 종래 기술에 관한 가스 공급 패턴을 사용하여 실리콘 질화막을 형성하고, 그 에칭률을 평가하였다.
제5 내지 제8 실시 형태 각각에 관한 실시예 E51, E61, E71, E81에 있어서, 프로세스 온도는 500℃, NH3 가스의 유량은 600sccm, HCD 가스의 유량은 20sccm, C2H4 가스의 유량은 600sccm으로 하였다. 도 7a 내지 도 7d에 있어서의 공급 기간(T3)은 30초, 간헐 기간(T4)은 60초로 하였다. 성막시의 실행 사이클 수는 50회로 하였다. 각 가스 공급시, 즉 공급 기간(T3)의 프로세스 압력은 0.6Torr(80㎩)로 하였다. 간헐 기간(T4)에는 모든 가스의 공급을 정지하여 진공화만을 행하였다(0.002 내지 0.004Torr의 기초압).
종래 기술에 관한 비교예 C2, C3에 있어서, NH3 가스의 유량은 600sccm, HCD 가스의 유량은 20sccm으로 하였다. 여기서, C2H4 가스는 공급하지 않고, NH3 가스 및 HCD 가스를 연속적으로 공급하였다. 프로세스 압력은 비교예 C2, C3에 있어서 각각 다른 값 80㎩(0.6Torr), 27㎩(0.2Torr)로 하였다. 프로세스 온도는 비교예 C2, C3에 있어서 각각 다른 값 500℃, 600℃로 하였다.
이와 같이 하여 형성한 실시예 E51, E61, E71, E81, 비교예 C2, C3에 의한 막을 에칭액에 침지하여 에칭하였다. 에칭액은 0.1% HF 용액(99.9%는 H2O), 에칭 온도는 실온, 에칭 시간은 1분으로 하였다.
도 8은 이 실험에 의해 얻게 된 실시예 E51, E61, E71, E81, 비교예 C2, C3에 의한 막의 에칭률을 비교하여 나타낸 그래프이다. 도 8에 도시한 바와 같이, 실시예 E51, E61, E71, E81의 각 에칭률은 각각 0.61㎚/분, 0.76㎚/분, 0.25㎚/분, 0.46㎚/분이었다. 이들의 값은 비교예 C2, C3에 의한 막의 에칭률 1.96㎚/분, 1.04㎚/분보다도 상당히 낮은 것이었다. 즉, 실시예 E51, E61, E71, E81에서는 비교예 C2, C3에 비해 막질이 개선되었다. 특히, 제7 및 제8 실시 형태에 관한 실시예 E71, E81의 에칭률은 모두 0.5㎚/분 이하로 상당히 낮아, 현저한 막질의 개선이 확인되었다.
따라서, 3 종류의 모든 가스를 간헐적으로 펄스형으로 공급하도록 해도, 실리콘 질화막의 표면이 개질되어 막질이 향상되어 에칭률을 한층 억제할 수 있는 것이 판명되었다.
[실험: 프로세스 압력 의존성]
가스 공급시의 프로세스 압력에 대한 실리콘 질화막의 에칭률의 의존성을 검토하기 위해, 제5 실시 형태에 관한 가스 공급 패턴을 사용하여 추가 실험을 행하였다. 여기서, 제5 실시 형태에 관한 실시예 E52로서, 가스 공급시의 프로세스압력은 1.0Torr(133㎩)로 하였다. 다른 프로세스 조건 및 에칭 조건은 도 8에 관하여 서술한 것과 동일한 것으로 하였다.
도 9는 본 실험에 의해 얻게 된 실시예 E52에 의한 막의 에칭률을 상기한 실시예 E51[가스 공급시의 프로세스 압력이 0.6Torr(80㎩)], 비교예 C2에 의한 막의 에칭률과 비교하여 나타내는 그래프이다. 도 9에 도시한 바와 같이, 실시예 E51(프로세스 압력이 0.6Torr)의 에칭률이 0.61㎚/분인데 반해, 실시예 E52(프로세스 압력이 1.0Torr)의 에칭률이 0.73㎚/분이었다. 따라서, 에칭률은 가스 공급시의 프로세스 압력에 대한 의존성은 적고, 이 압력을 1Torr 이하로 설정하고 있으면 막질을 충분히 개선할 수 있는 것이 판명되었다.
[실험 : 간헐 기간 압력 의존성]
간헐 기간(T4)의 압력에 대한 실리콘 질화막의 에칭률의 의존성을 검토하기 위해, 제5 실시 형태에 관한 가스 공급 패턴을 사용하여 추가 실험을 행하였다. 여기서, 제5 실시 형태에 관한 실시예 E53으로서 간헐 기간(T4)에는 불활성 가스인 N2 가스를 공급하면서 진공화를 행하여 처리실 내를 0.6Torr로 설정하였다. 다른 프로세스 조건 및 에칭 조건은 도 8에 관하여 서술한 것과 동일하게 하였다.
도 10은 본 실험에 의해 얻게 된 실시예 E53에 의한 막의 에칭률을 상기한 실시예 E51[간헐 기간(T4)에 진공화만(0.002 내지 0.004Torr의 기초압)], 비교예 C2에 의한 막의 에칭률과 비교하여 나타내는 그래프이다. 도 10에 도시한 바와 같이, 실시예 E51[간헐 기간(T4)에 진공화만]의 에칭률이 0.61㎚/분인데 반해, 실시예 E53[간헐 기간(T4)에서 N2 공급 및 진공화]의 에칭률이 0.060㎚/분이었다. 따라서, 간헐 기간(T4)에 있어서의 압력(N2 공급)은 에칭률에 영향을 미치지 않는 것이 판명되었다. 환언하면, 간헐 기간(T4)에는 단순히 진공화만을 행하면 되고, 불활성 가스(N2 가스)를 반드시 공급할 필요는 없다.
[실험 : 막 두께의 면내 균일성]
또한, 제5 내지 제8 실시 형태에 관한 가스 공급 패턴과 실리콘 질화막의 막 두께의 면내 균일성과의 관계에 대해 평가를 행하였다. 여기서, 상기한 실시예 E51, E61, E71, E81 및 비교예 C2에 의한 실리콘 질화막의 막 두께를 웨이퍼 보트의 상부, 중앙부 및 하부의 각 웨이퍼 위치에 대해 측정하였다.
도 11은 이 실험에 의해 얻게 된 실시예 E51, E61, E71, E81 및 비교예 C2에 의한 실리콘 질화막의 막 두께를 나타내는 그래프이다. 도 11에 있어서,「TOP」, 「CTR」및「BTM」은 각각 웨이퍼 보트의 상부, 중앙부 및 하부의 각 웨이퍼 위치를 나타낸다. 도 11로부터 명백한 바와 같이, 막 두께 면내 균일성에 관하여 실시예 E51, E61, E71, E81의 전체에 있어서 비교예 C2보다도 양호한 결과(면내의 막 두께 차가 작음)를 얻게 되었다. 또, 막 두께의 면간 균일성에 대해서도 조사한 결과, 실시예 E51, E61, E71, E81의 전체에 있어서 비교예 C2보다도 양호한 결과(면간 막 두께 차가 작음)를 얻게 되었다.
또, 제5 내지 제8 실시 형태에 관한 상기 실험에 있어서, 실리콘 질화막의 에칭률을 평가하기 위해 에칭액으로서 0.1% HF 용액(99.9%는 H2O)을 이용하였다. 이 대신에, 농도가 높은 1.0 HF 용액(99%는 H2O)을 사용하여 실리콘 질화막을 에칭하는 실험도 행하였다. 그 결과, 비교예 C2(프로세스 온도가 500℃)에 의한 막의 에칭률이 14.0㎚/분인데 반해, 실시예 E51에 의한 막의 에칭률이 0.65㎚/분으로 상당히 작은 것이었다. 즉, 에칭액을 상기한 바와 같이 바꾸어도 제5 내지 제8 실시 형태가 유효하다는 것이 확인되었다. 또, 제5 내지 제8 실시 형태에 있어서의 공급 기간(T3)이나 간헐 기간(T4)은 단순히 일례를 나타낸 것에 지나지 않고, 설명에서 이용한 값에 특별히 한정되지 않는다.
<제1 내지 제8 실시 형태의 공통 사항>
또한, 이상의 각 실시 형태에서는 실리콘 질화막을 형성하기 위해, 실란계 가스로서 헥사클로로디실란(HCD)을 이용한 경우가 예시된다. 이러한 점에 관하여, 실리콘 질화막을 형성하기 위한 실란계 가스로서는 헥사클로로디실란(HCD), 모노실란(SiH4), 디실란(Si2H6), 디클로로실란(DCS), 헥사에틸아미노디실란, 헥사메틸디실라잔(HMDS), 테트라클로로실란(TCS), 디시릴아닌(DSA), 트리시릴아민(TSA) 및 비스(터셔리-부틸아미노)실란(BTBAS)으로 이루어지는 군으로부터 선택되는 1 또는 2 이상의 가스를 이용할 수 있다.
또한, 이상의 각 실시 형태에서는 CVD 장치로서 종형의 배치식 장치가 예시된다. 그러나, 본 발명은 횡형의 배치식 CVD 장치, 혹은 피처리 기판을 1매씩 처리하는 낱장식 CVD 장치에도 적용할 수 있다. 또한, 피처리 기판에 관하여, 본 발명은 반도체 웨이퍼 이외의 유리 기판이나 LCD 기판 등에도 적용할 수 있다.
도 1은 본 발명의 실시 형태에 관한 CVD 장치를 도시하는 단면도.
도 2a, 도 2b, 도 2c는 본 발명의 제1 및 제2 실시 형태 및 종래 기술에 관한 가스 공급 패턴을 각각 나타내는 타이밍 차트.
도 3a는 제1 및 제2 실시 형태에 관한 것으로, 가스 공급 패턴에 대한 실리콘 질화막의 에칭률의 의존성을 나타내는 그래프.
도 3b는 제1 실시 형태에 관한 것으로, NH3 가스 유량에 대한 실리콘 질화막의 에칭률의 의존성을 나타내는 그래프.
도 4a, 도 4b는 본 발명의 제3 실시 형태 및 그 변경예에 관한 가스 공급 패턴을 나타내는 타이밍 차트.
도 5는 본 발명의 제4 실시 형태에 관한 가스 공급 패턴을 나타내는 타이밍 차트.
도 6a는 제4 실시 형태에 관한 것으로, 퇴적 기간의 길이에 대한 실리콘 질화막의 에칭률의 의존성을 나타내는 그래프.
도 6b는 제4 실시 형태에 관한 것으로, 개질 기간의 길이에 대한 실리콘 질화막의 에칭률의 의존성을 나타내는 그래프.
도 7a, 도 7b, 도 7c, 도 7d는 본 발명의 제5, 제6, 제7, 및 제8 실시 형태에 관한 가스 공급 패턴을 각각 나타내는 타이밍 차트.
도 8은 제5 내지 제8 실시 형태에 관한 것으로, 가스 공급 패턴에 대한 실리 콘 질화막의 에칭률의 의존성을 나타내는 그래프.
도 9는 제5 실시 형태에 관한 것으로, 프로세스 압력에 대한 실리콘 질화막의 에칭률의 의존성을 나타내는 그래프.
도 10은 제5 실시 형태에 관한 것으로, 간헐 기간 압력에 대한 실리콘 질화막의 에칭률의 의존성을 나타내는 그래프.
도 11은 제5 내지 제8 실시 형태에 관한 것으로, 가스 공급 패턴과 실리콘 질화막의 막 두께의 면내 균일성과의 관계를 나타내는 그래프.

Claims (6)

  1. Cl을 포함하는 실리콘 소스 가스, 암모니아 가스 및 탄화수소 가스가 선택적으로 공급되도록 구성되는 처리실 내에서, 미리 정해진 사이클을 복수회 반복함으로써 각 회에 의해 형성된 박막을 적층하여 목표 두께를 갖는 실리콘 질화막에 도달하는, 피처리 기판 상에 실리콘 질화막을 형성하는 CVD 방법이며,
    상기 미리 정해진 사이클은,
    상기 실리콘 소스 가스를 상기 처리실 내에 공급하는 동시에, 상기 처리실로의 상기 암모니아 가스 및 상기 탄화수소 가스의 공급을 차단 상태로 유지하는 제1 단계와,
    상기 처리실로의 상기 실리콘 소스 가스, 상기 암모니아 가스 및 상기 탄화수소 가스의 공급을 차단 상태로 유지하는 제2 단계와,
    상기 탄화수소 가스를 상기 처리실 내에 공급하는 동시에, 상기 처리실로의 상기 실리콘 소스 가스 및 상기 암모니아 가스의 공급을 차단 상태로 유지하는 제3 단계와,
    상기 처리실로의 상기 실리콘 소스 가스, 상기 암모니아 가스 및 상기 탄화수소 가스의 공급을 차단 상태로 유지하는 제4 단계와,
    상기 암모니아 가스를 상기 처리실 내에 공급하는 동시에, 상기 처리실로의 상기 실리콘 소스 가스 및 상기 탄화수소 가스의 공급을 차단 상태로 유지하는 제5 단계와,
    상기 처리실로의 상기 실리콘 소스 가스, 상기 암모니아 가스 및 상기 탄화수소 가스의 공급을 차단 상태로 유지하는 제6 단계를 순서대로 포함하고,
    상기 제1 내지 제6 단계 동안 상기 처리실 내로부터 가스를 배기하는, 실리콘 질화막을 형성하는 CVD 방법.
  2. 제1항에 있어서, 상기 제2, 제4 및 제6 단계는 상기 처리실로의 불활성 가스의 공급을 행하는 단계를 포함하는, 실리콘 질화막을 형성하는 CVD 방법.
  3. 제1항에 있어서, 상기 제1, 제3 및 제5 단계는 133㎩(1Torr) 이하의 프로세스 압력을 이용하도록 구성되는, 실리콘 질화막을 형성하는 CVD 방법.
  4. 제1항에 있어서, 상기 제1 내지 제6 단계는 450 내지 600℃의 프로세스 온도를 이용하도록 구성되는, 실리콘 질화막을 형성하는 CVD 방법.
  5. 제1항에 있어서, 상기 실리콘 소스 가스는 헥사클로로디실란, 디클로로실란 및 테트라클로로실란으로 이루어지는 군으로부터 선택되는 하나 이상의 가스를 포함하는, 실리콘 질화막을 형성하는 CVD 방법.
  6. 제5항에 있어서, 상기 실리콘 소스 가스는 헥사클로로디실란인, 실리콘 질화막을 형성하는 CVD 방법.
KR1020097006939A 2003-05-26 2004-05-21 실리콘 질화막을 형성하는 cvd 방법 KR100956468B1 (ko)

Applications Claiming Priority (4)

Application Number Priority Date Filing Date Title
JPJP-P-2003-148332 2003-05-26
JP2003148332 2003-05-26
JP2004045508A JP4403824B2 (ja) 2003-05-26 2004-02-20 シリコン窒化膜の成膜方法
JPJP-P-2004-045508 2004-02-20

Related Parent Applications (1)

Application Number Title Priority Date Filing Date
KR1020057001829A Division KR20060015708A (ko) 2003-05-26 2004-05-21 실리콘 질화막을 형성하는 cvd 방법

Publications (2)

Publication Number Publication Date
KR20090037984A KR20090037984A (ko) 2009-04-16
KR100956468B1 true KR100956468B1 (ko) 2010-05-07

Family

ID=33479003

Family Applications (2)

Application Number Title Priority Date Filing Date
KR1020097006939A KR100956468B1 (ko) 2003-05-26 2004-05-21 실리콘 질화막을 형성하는 cvd 방법
KR1020057001829A KR20060015708A (ko) 2003-05-26 2004-05-21 실리콘 질화막을 형성하는 cvd 방법

Family Applications After (1)

Application Number Title Priority Date Filing Date
KR1020057001829A KR20060015708A (ko) 2003-05-26 2004-05-21 실리콘 질화막을 형성하는 cvd 방법

Country Status (5)

Country Link
US (1) US7462376B2 (ko)
JP (1) JP4403824B2 (ko)
KR (2) KR100956468B1 (ko)
TW (1) TWI343951B (ko)
WO (1) WO2004105115A1 (ko)

Families Citing this family (309)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP4116283B2 (ja) 2001-11-30 2008-07-09 レール・リキード−ソシエテ・アノニム・プール・レテュード・エ・レクスプロワタシオン・デ・プロセデ・ジョルジュ・クロード ヘキサキス(モノヒドロカルビルアミノ)ジシランおよびその製造方法
JP4470023B2 (ja) * 2004-08-20 2010-06-02 レール・リキード−ソシエテ・アノニム・プール・レテュード・エ・レクスプロワタシオン・デ・プロセデ・ジョルジュ・クロード シリコン窒化物膜の製造方法
JP4714087B2 (ja) * 2006-06-14 2011-06-29 住友電気工業株式会社 GaN基板の保存方法、および半導体デバイスの製造方法
US8476158B2 (en) 2006-06-14 2013-07-02 Sumitomo Electric Industries, Ltd. Method of preparing and storing GaN substrate, prepared and stored GaN substrate, and semiconductor device and method of its manufacture
US7776670B2 (en) * 2006-06-16 2010-08-17 Toray Engineering Co., Ltd. Silicon thin-film and method of forming silicon thin-film
JP4994724B2 (ja) * 2006-07-07 2012-08-08 株式会社東芝 成膜装置及び成膜方法
JP4929932B2 (ja) * 2006-09-01 2012-05-09 東京エレクトロン株式会社 成膜方法、成膜装置及び記憶媒体
JP4924437B2 (ja) * 2007-02-16 2012-04-25 東京エレクトロン株式会社 成膜方法及び成膜装置
US20080213479A1 (en) 2007-02-16 2008-09-04 Tokyo Electron Limited SiCN film formation method and apparatus
JP5151260B2 (ja) * 2007-06-11 2013-02-27 東京エレクトロン株式会社 成膜方法及び成膜装置
US20090056877A1 (en) 2007-08-31 2009-03-05 Tokyo Electron Limited Plasma processing apparatus
JP5228437B2 (ja) * 2007-10-19 2013-07-03 東京エレクトロン株式会社 処理装置及びその使用方法
US7732324B2 (en) * 2007-12-20 2010-06-08 Texas Instruments Incorporated Semiconductor device having improved adhesion and reduced blistering between etch stop layer and dielectric layer
JP5226296B2 (ja) * 2007-12-27 2013-07-03 東京エレクトロン株式会社 プラズマエッチング方法、プラズマエッチング装置、制御プログラム及びコンピュータ記憶媒体
JP4935684B2 (ja) * 2008-01-12 2012-05-23 東京エレクトロン株式会社 成膜方法及び成膜装置
JP4935687B2 (ja) * 2008-01-19 2012-05-23 東京エレクトロン株式会社 成膜方法及び成膜装置
JP2009260151A (ja) * 2008-04-18 2009-11-05 Tokyo Electron Ltd 金属ドープ層の形成方法、成膜装置及び記憶媒体
JP5233562B2 (ja) 2008-10-04 2013-07-10 東京エレクトロン株式会社 成膜方法及び成膜装置
US10378106B2 (en) 2008-11-14 2019-08-13 Asm Ip Holding B.V. Method of forming insulation film by modified PEALD
JP5099101B2 (ja) * 2009-01-23 2012-12-12 東京エレクトロン株式会社 プラズマ処理装置
US9394608B2 (en) 2009-04-06 2016-07-19 Asm America, Inc. Semiconductor processing reactor and components thereof
US8802201B2 (en) 2009-08-14 2014-08-12 Asm America, Inc. Systems and methods for thin-film deposition of metal oxides using excited nitrogen-oxygen species
KR101732187B1 (ko) * 2009-09-03 2017-05-02 에이에스엠 저펜 가부시기가이샤 플라즈마 강화된 화학기상 증착법에 의해 규소-질소 결합을 갖는 등각성 유전체 막을 형성하는 방법
US8673725B2 (en) * 2010-03-31 2014-03-18 Tokyo Electron Limited Multilayer sidewall spacer for seam protection of a patterned structure
US8664102B2 (en) 2010-03-31 2014-03-04 Tokyo Electron Limited Dual sidewall spacer for seam protection of a patterned structure
US9018104B2 (en) * 2010-04-09 2015-04-28 Hitachi Kokusai Electric Inc. Method for manufacturing semiconductor device, method for processing substrate and substrate processing apparatus
JP5654862B2 (ja) 2010-04-12 2015-01-14 株式会社日立国際電気 半導体装置の製造方法、基板処理方法及び基板処理装置
US8912353B2 (en) 2010-06-02 2014-12-16 Air Products And Chemicals, Inc. Organoaminosilane precursors and methods for depositing films comprising same
JP5573772B2 (ja) * 2010-06-22 2014-08-20 東京エレクトロン株式会社 成膜方法及び成膜装置
US8771807B2 (en) 2011-05-24 2014-07-08 Air Products And Chemicals, Inc. Organoaminosilane precursors and methods for making and using same
US9312155B2 (en) 2011-06-06 2016-04-12 Asm Japan K.K. High-throughput semiconductor-processing apparatus equipped with multiple dual-chamber modules
US10854498B2 (en) 2011-07-15 2020-12-01 Asm Ip Holding B.V. Wafer-supporting device and method for producing same
US20130023129A1 (en) 2011-07-20 2013-01-24 Asm America, Inc. Pressure transmitter for a semiconductor processing environment
US9017481B1 (en) 2011-10-28 2015-04-28 Asm America, Inc. Process feed management for semiconductor substrate processing
US9659799B2 (en) 2012-08-28 2017-05-23 Asm Ip Holding B.V. Systems and methods for dynamic semiconductor process scheduling
US10714315B2 (en) 2012-10-12 2020-07-14 Asm Ip Holdings B.V. Semiconductor reaction chamber showerhead
US20160376700A1 (en) 2013-02-01 2016-12-29 Asm Ip Holding B.V. System for treatment of deposition reactor
US9382269B2 (en) 2013-09-27 2016-07-05 Voltaix, Llc Halogen free syntheses of aminosilanes by catalytic dehydrogenative coupling
JP5847783B2 (ja) 2013-10-21 2016-01-27 株式会社日立国際電気 半導体装置の製造方法、基板処理装置、プログラム及び記録媒体
US10683571B2 (en) 2014-02-25 2020-06-16 Asm Ip Holding B.V. Gas supply manifold and method of supplying gases to chamber using same
US10167557B2 (en) 2014-03-18 2019-01-01 Asm Ip Holding B.V. Gas distribution system, reactor including the system, and methods of using the same
US11015245B2 (en) 2014-03-19 2021-05-25 Asm Ip Holding B.V. Gas-phase reactor and system having exhaust plenum and components thereof
US10858737B2 (en) 2014-07-28 2020-12-08 Asm Ip Holding B.V. Showerhead assembly and components thereof
US9890456B2 (en) 2014-08-21 2018-02-13 Asm Ip Holding B.V. Method and system for in situ formation of gas-phase compounds
US9657845B2 (en) 2014-10-07 2017-05-23 Asm Ip Holding B.V. Variable conductance gas distribution apparatus and method
US10941490B2 (en) 2014-10-07 2021-03-09 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
US9469109B2 (en) * 2014-11-03 2016-10-18 Stmicroelectronics S.R.L. Microfluid delivery device and method for manufacturing the same
CN104498909A (zh) * 2014-12-05 2015-04-08 信利(惠州)智能显示有限公司 一种cvd成膜方法
KR102263121B1 (ko) 2014-12-22 2021-06-09 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 및 그 제조 방법
US10529542B2 (en) 2015-03-11 2020-01-07 Asm Ip Holdings B.V. Cross-flow reactor and method
US10276355B2 (en) 2015-03-12 2019-04-30 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
US9777025B2 (en) 2015-03-30 2017-10-03 L'Air Liquide, Société pour l'Etude et l'Exploitation des Procédés Georges Claude Si-containing film forming precursors and methods of using the same
US10458018B2 (en) 2015-06-26 2019-10-29 Asm Ip Holding B.V. Structures including metal carbide material, devices including the structures, and methods of forming same
US10600673B2 (en) 2015-07-07 2020-03-24 Asm Ip Holding B.V. Magnetic susceptor to baseplate seal
US9960072B2 (en) 2015-09-29 2018-05-01 Asm Ip Holding B.V. Variable adjustment for precise matching of multiple chamber cavity housings
US10211308B2 (en) 2015-10-21 2019-02-19 Asm Ip Holding B.V. NbMC layers
JP2016034043A (ja) * 2015-11-25 2016-03-10 株式会社日立国際電気 半導体装置の製造方法、基板処理装置、プログラムおよび記録媒体
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
US10529554B2 (en) 2016-02-19 2020-01-07 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US10468251B2 (en) 2016-02-19 2019-11-05 Asm Ip Holding B.V. Method for forming spacers using silicon nitride film for spacer-defined multiple patterning
JP6529927B2 (ja) * 2016-04-15 2019-06-12 株式会社Kokusai Electric 半導体装置の製造方法、基板処理装置、およびプログラム
US10190213B2 (en) 2016-04-21 2019-01-29 Asm Ip Holding B.V. Deposition of metal borides
US10865475B2 (en) 2016-04-21 2020-12-15 Asm Ip Holding B.V. Deposition of metal borides and silicides
US10367080B2 (en) 2016-05-02 2019-07-30 Asm Ip Holding B.V. Method of forming a germanium oxynitride film
US10032628B2 (en) 2016-05-02 2018-07-24 Asm Ip Holding B.V. Source/drain performance through conformal solid state doping
US11453943B2 (en) 2016-05-25 2022-09-27 Asm Ip Holding B.V. Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor
US10388509B2 (en) 2016-06-28 2019-08-20 Asm Ip Holding B.V. Formation of epitaxial layers via dislocation filtering
US9859151B1 (en) 2016-07-08 2018-01-02 Asm Ip Holding B.V. Selective film deposition method to form air gaps
US10612137B2 (en) 2016-07-08 2020-04-07 Asm Ip Holdings B.V. Organic reactants for atomic layer deposition
US10714385B2 (en) 2016-07-19 2020-07-14 Asm Ip Holding B.V. Selective deposition of tungsten
US10395919B2 (en) 2016-07-28 2019-08-27 Asm Ip Holding B.V. Method and apparatus for filling a gap
KR102532607B1 (ko) 2016-07-28 2023-05-15 에이에스엠 아이피 홀딩 비.브이. 기판 가공 장치 및 그 동작 방법
US9812320B1 (en) 2016-07-28 2017-11-07 Asm Ip Holding B.V. Method and apparatus for filling a gap
US9887082B1 (en) 2016-07-28 2018-02-06 Asm Ip Holding B.V. Method and apparatus for filling a gap
KR20180034798A (ko) * 2016-09-28 2018-04-05 삼성전자주식회사 유전막 형성 방법 및 반도체 장치의 제조 방법
US10410943B2 (en) 2016-10-13 2019-09-10 Asm Ip Holding B.V. Method for passivating a surface of a semiconductor and related systems
US10643826B2 (en) 2016-10-26 2020-05-05 Asm Ip Holdings B.V. Methods for thermally calibrating reaction chambers
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
US10229833B2 (en) 2016-11-01 2019-03-12 Asm Ip Holding B.V. Methods for forming a transition metal nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10435790B2 (en) 2016-11-01 2019-10-08 Asm Ip Holding B.V. Method of subatmospheric plasma-enhanced ALD using capacitively coupled electrodes with narrow gap
US10714350B2 (en) 2016-11-01 2020-07-14 ASM IP Holdings, B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10134757B2 (en) 2016-11-07 2018-11-20 Asm Ip Holding B.V. Method of processing a substrate and a device manufactured by using the method
KR102546317B1 (ko) 2016-11-15 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기체 공급 유닛 및 이를 포함하는 기판 처리 장치
US10340135B2 (en) 2016-11-28 2019-07-02 Asm Ip Holding B.V. Method of topologically restricted plasma-enhanced cyclic deposition of silicon or metal nitride
KR20180068582A (ko) 2016-12-14 2018-06-22 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11447861B2 (en) 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
US11581186B2 (en) 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
KR20180070971A (ko) 2016-12-19 2018-06-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10269558B2 (en) 2016-12-22 2019-04-23 Asm Ip Holding B.V. Method of forming a structure on a substrate
US10867788B2 (en) 2016-12-28 2020-12-15 Asm Ip Holding B.V. Method of forming a structure on a substrate
US11390950B2 (en) 2017-01-10 2022-07-19 Asm Ip Holding B.V. Reactor system and method to reduce residue buildup during a film deposition process
US10655221B2 (en) 2017-02-09 2020-05-19 Asm Ip Holding B.V. Method for depositing oxide film by thermal ALD and PEALD
US10468261B2 (en) 2017-02-15 2019-11-05 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
US10529563B2 (en) 2017-03-29 2020-01-07 Asm Ip Holdings B.V. Method for forming doped metal oxide films on a substrate by cyclical deposition and related semiconductor device structures
KR102457289B1 (ko) 2017-04-25 2022-10-21 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10770286B2 (en) 2017-05-08 2020-09-08 Asm Ip Holdings B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
US10446393B2 (en) 2017-05-08 2019-10-15 Asm Ip Holding B.V. Methods for forming silicon-containing epitaxial layers and related semiconductor device structures
US10892156B2 (en) 2017-05-08 2021-01-12 Asm Ip Holding B.V. Methods for forming a silicon nitride film on a substrate and related semiconductor device structures
US10504742B2 (en) 2017-05-31 2019-12-10 Asm Ip Holding B.V. Method of atomic layer etching using hydrogen plasma
US10886123B2 (en) 2017-06-02 2021-01-05 Asm Ip Holding B.V. Methods for forming low temperature semiconductor layers and related semiconductor device structures
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
US10685834B2 (en) 2017-07-05 2020-06-16 Asm Ip Holdings B.V. Methods for forming a silicon germanium tin layer and related semiconductor device structures
KR20190009245A (ko) 2017-07-18 2019-01-28 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 구조물 형성 방법 및 관련된 반도체 소자 구조물
US10541333B2 (en) 2017-07-19 2020-01-21 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US11018002B2 (en) 2017-07-19 2021-05-25 Asm Ip Holding B.V. Method for selectively depositing a Group IV semiconductor and related semiconductor device structures
US11374112B2 (en) 2017-07-19 2022-06-28 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US10605530B2 (en) 2017-07-26 2020-03-31 Asm Ip Holding B.V. Assembly of a liner and a flange for a vertical furnace as well as the liner and the vertical furnace
US10590535B2 (en) 2017-07-26 2020-03-17 Asm Ip Holdings B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US10770336B2 (en) 2017-08-08 2020-09-08 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US10692741B2 (en) 2017-08-08 2020-06-23 Asm Ip Holdings B.V. Radiation shield
US11139191B2 (en) 2017-08-09 2021-10-05 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US10249524B2 (en) 2017-08-09 2019-04-02 Asm Ip Holding B.V. Cassette holder assembly for a substrate cassette and holding member for use in such assembly
CN107527840B (zh) * 2017-08-18 2020-06-02 大连佳峰自动化股份有限公司 扇出型封装固化、钝化组合装置
USD900036S1 (en) 2017-08-24 2020-10-27 Asm Ip Holding B.V. Heater electrical connector and adapter
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
KR102491945B1 (ko) 2017-08-30 2023-01-26 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
US11056344B2 (en) 2017-08-30 2021-07-06 Asm Ip Holding B.V. Layer forming method
US10607895B2 (en) 2017-09-18 2020-03-31 Asm Ip Holdings B.V. Method for forming a semiconductor device structure comprising a gate fill metal
KR102630301B1 (ko) 2017-09-21 2024-01-29 에이에스엠 아이피 홀딩 비.브이. 침투성 재료의 순차 침투 합성 방법 처리 및 이를 이용하여 형성된 구조물 및 장치
US10844484B2 (en) 2017-09-22 2020-11-24 Asm Ip Holding B.V. Apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US10658205B2 (en) 2017-09-28 2020-05-19 Asm Ip Holdings B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
US10403504B2 (en) 2017-10-05 2019-09-03 Asm Ip Holding B.V. Method for selectively depositing a metallic film on a substrate
US10319588B2 (en) 2017-10-10 2019-06-11 Asm Ip Holding B.V. Method for depositing a metal chalcogenide on a substrate by cyclical deposition
US10923344B2 (en) 2017-10-30 2021-02-16 Asm Ip Holding B.V. Methods for forming a semiconductor structure and related semiconductor structures
KR102443047B1 (ko) 2017-11-16 2022-09-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 방법 및 그에 의해 제조된 장치
US10910262B2 (en) 2017-11-16 2021-02-02 Asm Ip Holding B.V. Method of selectively depositing a capping layer structure on a semiconductor device structure
US11022879B2 (en) 2017-11-24 2021-06-01 Asm Ip Holding B.V. Method of forming an enhanced unexposed photoresist layer
TWI791689B (zh) 2017-11-27 2023-02-11 荷蘭商Asm智慧財產控股私人有限公司 包括潔淨迷你環境之裝置
JP7214724B2 (ja) 2017-11-27 2023-01-30 エーエスエム アイピー ホールディング ビー.ブイ. バッチ炉で利用されるウェハカセットを収納するための収納装置
US10872771B2 (en) 2018-01-16 2020-12-22 Asm Ip Holding B. V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
CN111630203A (zh) 2018-01-19 2020-09-04 Asm Ip私人控股有限公司 通过等离子体辅助沉积来沉积间隙填充层的方法
TW202325889A (zh) 2018-01-19 2023-07-01 荷蘭商Asm 智慧財產控股公司 沈積方法
USD903477S1 (en) 2018-01-24 2020-12-01 Asm Ip Holdings B.V. Metal clamp
US11018047B2 (en) 2018-01-25 2021-05-25 Asm Ip Holding B.V. Hybrid lift pin
USD880437S1 (en) 2018-02-01 2020-04-07 Asm Ip Holding B.V. Gas supply plate for semiconductor manufacturing apparatus
US10535516B2 (en) 2018-02-01 2020-01-14 Asm Ip Holdings B.V. Method for depositing a semiconductor structure on a surface of a substrate and related semiconductor structures
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
US10896820B2 (en) 2018-02-14 2021-01-19 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
JP7124098B2 (ja) 2018-02-14 2022-08-23 エーエスエム・アイピー・ホールディング・ベー・フェー 周期的堆積プロセスにより基材上にルテニウム含有膜を堆積させる方法
US10731249B2 (en) 2018-02-15 2020-08-04 Asm Ip Holding B.V. Method of forming a transition metal containing film on a substrate by a cyclical deposition process, a method for supplying a transition metal halide compound to a reaction chamber, and related vapor deposition apparatus
KR102636427B1 (ko) 2018-02-20 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 장치
US10658181B2 (en) 2018-02-20 2020-05-19 Asm Ip Holding B.V. Method of spacer-defined direct patterning in semiconductor fabrication
US10975470B2 (en) 2018-02-23 2021-04-13 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
US11629406B2 (en) 2018-03-09 2023-04-18 Asm Ip Holding B.V. Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate
US11114283B2 (en) 2018-03-16 2021-09-07 Asm Ip Holding B.V. Reactor, system including the reactor, and methods of manufacturing and using same
KR102646467B1 (ko) 2018-03-27 2024-03-11 에이에스엠 아이피 홀딩 비.브이. 기판 상에 전극을 형성하는 방법 및 전극을 포함하는 반도체 소자 구조
US11088002B2 (en) 2018-03-29 2021-08-10 Asm Ip Holding B.V. Substrate rack and a substrate processing system and method
US10510536B2 (en) 2018-03-29 2019-12-17 Asm Ip Holding B.V. Method of depositing a co-doped polysilicon film on a surface of a substrate within a reaction chamber
US11230766B2 (en) 2018-03-29 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102501472B1 (ko) 2018-03-30 2023-02-20 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
KR20190128558A (ko) 2018-05-08 2019-11-18 에이에스엠 아이피 홀딩 비.브이. 기판 상에 산화물 막을 주기적 증착 공정에 의해 증착하기 위한 방법 및 관련 소자 구조
TW202349473A (zh) 2018-05-11 2023-12-16 荷蘭商Asm Ip私人控股有限公司 用於基板上形成摻雜金屬碳化物薄膜之方法及相關半導體元件結構
KR102596988B1 (ko) 2018-05-28 2023-10-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 그에 의해 제조된 장치
US11270899B2 (en) 2018-06-04 2022-03-08 Asm Ip Holding B.V. Wafer handling chamber with moisture reduction
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
US11286562B2 (en) 2018-06-08 2022-03-29 Asm Ip Holding B.V. Gas-phase chemical reactor and method of using same
KR102568797B1 (ko) 2018-06-21 2023-08-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 시스템
US10797133B2 (en) 2018-06-21 2020-10-06 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
CN112292478A (zh) 2018-06-27 2021-01-29 Asm Ip私人控股有限公司 用于形成含金属的材料的循环沉积方法及包含含金属的材料的膜和结构
WO2020003000A1 (en) 2018-06-27 2020-01-02 Asm Ip Holding B.V. Cyclic deposition methods for forming metal-containing material and films and structures including the metal-containing material
US10612136B2 (en) 2018-06-29 2020-04-07 ASM IP Holding, B.V. Temperature-controlled flange and reactor system including same
KR20200002519A (ko) 2018-06-29 2020-01-08 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10388513B1 (en) 2018-07-03 2019-08-20 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10755922B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10767789B2 (en) 2018-07-16 2020-09-08 Asm Ip Holding B.V. Diaphragm valves, valve components, and methods for forming valve components
US10483099B1 (en) 2018-07-26 2019-11-19 Asm Ip Holding B.V. Method for forming thermally stable organosilicon polymer film
US11053591B2 (en) 2018-08-06 2021-07-06 Asm Ip Holding B.V. Multi-port gas injection system and reactor system including same
US10883175B2 (en) 2018-08-09 2021-01-05 Asm Ip Holding B.V. Vertical furnace for processing substrates and a liner for use therein
US10829852B2 (en) 2018-08-16 2020-11-10 Asm Ip Holding B.V. Gas distribution device for a wafer processing apparatus
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
KR20200030162A (ko) 2018-09-11 2020-03-20 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
US11024523B2 (en) 2018-09-11 2021-06-01 Asm Ip Holding B.V. Substrate processing apparatus and method
US11049751B2 (en) 2018-09-14 2021-06-29 Asm Ip Holding B.V. Cassette supply system to store and handle cassettes and processing apparatus equipped therewith
CN110970344A (zh) 2018-10-01 2020-04-07 Asm Ip控股有限公司 衬底保持设备、包含所述设备的系统及其使用方法
US11232963B2 (en) 2018-10-03 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102592699B1 (ko) 2018-10-08 2023-10-23 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 박막 증착 장치와 기판 처리 장치
US10847365B2 (en) 2018-10-11 2020-11-24 Asm Ip Holding B.V. Method of forming conformal silicon carbide film by cyclic CVD
US10811256B2 (en) 2018-10-16 2020-10-20 Asm Ip Holding B.V. Method for etching a carbon-containing feature
KR102546322B1 (ko) 2018-10-19 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
KR102605121B1 (ko) 2018-10-19 2023-11-23 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
USD948463S1 (en) 2018-10-24 2022-04-12 Asm Ip Holding B.V. Susceptor for semiconductor substrate supporting apparatus
US10381219B1 (en) 2018-10-25 2019-08-13 Asm Ip Holding B.V. Methods for forming a silicon nitride film
US11087997B2 (en) 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
KR20200051105A (ko) 2018-11-02 2020-05-13 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 기판 처리 장치
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US11031242B2 (en) 2018-11-07 2021-06-08 Asm Ip Holding B.V. Methods for depositing a boron doped silicon germanium film
US10818758B2 (en) 2018-11-16 2020-10-27 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US10847366B2 (en) 2018-11-16 2020-11-24 Asm Ip Holding B.V. Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process
US10559458B1 (en) 2018-11-26 2020-02-11 Asm Ip Holding B.V. Method of forming oxynitride film
US11217444B2 (en) 2018-11-30 2022-01-04 Asm Ip Holding B.V. Method for forming an ultraviolet radiation responsive metal oxide-containing film
KR102636428B1 (ko) 2018-12-04 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치를 세정하는 방법
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
JP2020096183A (ja) 2018-12-14 2020-06-18 エーエスエム・アイピー・ホールディング・ベー・フェー 窒化ガリウムの選択的堆積を用いてデバイス構造体を形成する方法及びそのためのシステム
TWI819180B (zh) 2019-01-17 2023-10-21 荷蘭商Asm 智慧財產控股公司 藉由循環沈積製程於基板上形成含過渡金屬膜之方法
KR20200091543A (ko) 2019-01-22 2020-07-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
CN111524788B (zh) 2019-02-01 2023-11-24 Asm Ip私人控股有限公司 氧化硅的拓扑选择性膜形成的方法
KR20200102357A (ko) 2019-02-20 2020-08-31 에이에스엠 아이피 홀딩 비.브이. 3-d nand 응용의 플러그 충진체 증착용 장치 및 방법
KR102626263B1 (ko) 2019-02-20 2024-01-16 에이에스엠 아이피 홀딩 비.브이. 처리 단계를 포함하는 주기적 증착 방법 및 이를 위한 장치
TW202044325A (zh) 2019-02-20 2020-12-01 荷蘭商Asm Ip私人控股有限公司 填充一基板之一表面內所形成的一凹槽的方法、根據其所形成之半導體結構、及半導體處理設備
TW202104632A (zh) 2019-02-20 2021-02-01 荷蘭商Asm Ip私人控股有限公司 用來填充形成於基材表面內之凹部的循環沉積方法及設備
TW202100794A (zh) 2019-02-22 2021-01-01 荷蘭商Asm Ip私人控股有限公司 基材處理設備及處理基材之方法
US11742198B2 (en) 2019-03-08 2023-08-29 Asm Ip Holding B.V. Structure including SiOCN layer and method of forming same
KR20200108242A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. 실리콘 질화물 층을 선택적으로 증착하는 방법, 및 선택적으로 증착된 실리콘 질화물 층을 포함하는 구조체
KR20200108243A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOC 층을 포함한 구조체 및 이의 형성 방법
KR20200116033A (ko) 2019-03-28 2020-10-08 에이에스엠 아이피 홀딩 비.브이. 도어 개방기 및 이를 구비한 기판 처리 장치
KR20200116855A (ko) 2019-04-01 2020-10-13 에이에스엠 아이피 홀딩 비.브이. 반도체 소자를 제조하는 방법
KR20200123380A (ko) 2019-04-19 2020-10-29 에이에스엠 아이피 홀딩 비.브이. 층 형성 방법 및 장치
KR20200125453A (ko) 2019-04-24 2020-11-04 에이에스엠 아이피 홀딩 비.브이. 기상 반응기 시스템 및 이를 사용하는 방법
KR20200130121A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 딥 튜브가 있는 화학물질 공급원 용기
KR20200130118A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 비정질 탄소 중합체 막을 개질하는 방법
KR20200130652A (ko) 2019-05-10 2020-11-19 에이에스엠 아이피 홀딩 비.브이. 표면 상에 재료를 증착하는 방법 및 본 방법에 따라 형성된 구조
JP2020188255A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
USD935572S1 (en) 2019-05-24 2021-11-09 Asm Ip Holding B.V. Gas channel plate
USD922229S1 (en) 2019-06-05 2021-06-15 Asm Ip Holding B.V. Device for controlling a temperature of a gas supply unit
KR20200141003A (ko) 2019-06-06 2020-12-17 에이에스엠 아이피 홀딩 비.브이. 가스 감지기를 포함하는 기상 반응기 시스템
KR20200143254A (ko) 2019-06-11 2020-12-23 에이에스엠 아이피 홀딩 비.브이. 개질 가스를 사용하여 전자 구조를 형성하는 방법, 상기 방법을 수행하기 위한 시스템, 및 상기 방법을 사용하여 형성되는 구조
USD944946S1 (en) 2019-06-14 2022-03-01 Asm Ip Holding B.V. Shower plate
USD931978S1 (en) 2019-06-27 2021-09-28 Asm Ip Holding B.V. Showerhead vacuum transport
KR20210005515A (ko) 2019-07-03 2021-01-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치용 온도 제어 조립체 및 이를 사용하는 방법
JP2021015791A (ja) 2019-07-09 2021-02-12 エーエスエム アイピー ホールディング ビー.ブイ. 同軸導波管を用いたプラズマ装置、基板処理方法
CN112216646A (zh) 2019-07-10 2021-01-12 Asm Ip私人控股有限公司 基板支撑组件及包括其的基板处理装置
KR20210010307A (ko) 2019-07-16 2021-01-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210010820A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 실리콘 게르마늄 구조를 형성하는 방법
KR20210010816A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 라디칼 보조 점화 플라즈마 시스템 및 방법
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
CN112242296A (zh) 2019-07-19 2021-01-19 Asm Ip私人控股有限公司 形成拓扑受控的无定形碳聚合物膜的方法
TW202113936A (zh) 2019-07-29 2021-04-01 荷蘭商Asm Ip私人控股有限公司 用於利用n型摻雜物及/或替代摻雜物選擇性沉積以達成高摻雜物併入之方法
CN112309899A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
CN112309900A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
CN112323048B (zh) 2019-08-05 2024-02-09 Asm Ip私人控股有限公司 用于化学源容器的液位传感器
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
JP2021031769A (ja) 2019-08-21 2021-03-01 エーエスエム アイピー ホールディング ビー.ブイ. 成膜原料混合ガス生成装置及び成膜装置
USD940837S1 (en) 2019-08-22 2022-01-11 Asm Ip Holding B.V. Electrode
USD930782S1 (en) 2019-08-22 2021-09-14 Asm Ip Holding B.V. Gas distributor
USD949319S1 (en) 2019-08-22 2022-04-19 Asm Ip Holding B.V. Exhaust duct
KR20210024423A (ko) 2019-08-22 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 홀을 구비한 구조체를 형성하기 위한 방법
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
KR20210024420A (ko) 2019-08-23 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 비스(디에틸아미노)실란을 사용하여 peald에 의해 개선된 품질을 갖는 실리콘 산화물 막을 증착하기 위한 방법
KR20210029090A (ko) 2019-09-04 2021-03-15 에이에스엠 아이피 홀딩 비.브이. 희생 캡핑 층을 이용한 선택적 증착 방법
KR20210029663A (ko) 2019-09-05 2021-03-16 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
CN112593212B (zh) 2019-10-02 2023-12-22 Asm Ip私人控股有限公司 通过循环等离子体增强沉积工艺形成拓扑选择性氧化硅膜的方法
TW202129060A (zh) 2019-10-08 2021-08-01 荷蘭商Asm Ip控股公司 基板處理裝置、及基板處理方法
KR20210043460A (ko) 2019-10-10 2021-04-21 에이에스엠 아이피 홀딩 비.브이. 포토레지스트 하부층을 형성하기 위한 방법 및 이를 포함한 구조체
KR20210045930A (ko) 2019-10-16 2021-04-27 에이에스엠 아이피 홀딩 비.브이. 실리콘 산화물의 토폴로지-선택적 막의 형성 방법
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
KR20210047808A (ko) 2019-10-21 2021-04-30 에이에스엠 아이피 홀딩 비.브이. 막을 선택적으로 에칭하기 위한 장치 및 방법
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
KR20210054983A (ko) 2019-11-05 2021-05-14 에이에스엠 아이피 홀딩 비.브이. 도핑된 반도체 층을 갖는 구조체 및 이를 형성하기 위한 방법 및 시스템
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
KR20210062561A (ko) 2019-11-20 2021-05-31 에이에스엠 아이피 홀딩 비.브이. 기판의 표면 상에 탄소 함유 물질을 증착하는 방법, 상기 방법을 사용하여 형성된 구조물, 및 상기 구조물을 형성하기 위한 시스템
CN112951697A (zh) 2019-11-26 2021-06-11 Asm Ip私人控股有限公司 基板处理设备
US11450529B2 (en) 2019-11-26 2022-09-20 Asm Ip Holding B.V. Methods for selectively forming a target film on a substrate comprising a first dielectric surface and a second metallic surface
CN112885692A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
CN112885693A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
JP2021090042A (ja) 2019-12-02 2021-06-10 エーエスエム アイピー ホールディング ビー.ブイ. 基板処理装置、基板処理方法
KR20210070898A (ko) 2019-12-04 2021-06-15 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11885013B2 (en) 2019-12-17 2024-01-30 Asm Ip Holding B.V. Method of forming vanadium nitride layer and structure including the vanadium nitride layer
KR20210080214A (ko) 2019-12-19 2021-06-30 에이에스엠 아이피 홀딩 비.브이. 기판 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
KR20210095050A (ko) 2020-01-20 2021-07-30 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법 및 박막 표면 개질 방법
TW202130846A (zh) 2020-02-03 2021-08-16 荷蘭商Asm Ip私人控股有限公司 形成包括釩或銦層的結構之方法
TW202146882A (zh) 2020-02-04 2021-12-16 荷蘭商Asm Ip私人控股有限公司 驗證一物品之方法、用於驗證一物品之設備、及用於驗證一反應室之系統
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
TW202146715A (zh) 2020-02-17 2021-12-16 荷蘭商Asm Ip私人控股有限公司 用於生長磷摻雜矽層之方法及其系統
KR20210116249A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 록아웃 태그아웃 어셈블리 및 시스템 그리고 이의 사용 방법
KR20210116240A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 조절성 접합부를 갖는 기판 핸들링 장치
KR20210117157A (ko) 2020-03-12 2021-09-28 에이에스엠 아이피 홀딩 비.브이. 타겟 토폴로지 프로파일을 갖는 층 구조를 제조하기 위한 방법
KR20210124042A (ko) 2020-04-02 2021-10-14 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법
TW202146689A (zh) 2020-04-03 2021-12-16 荷蘭商Asm Ip控股公司 阻障層形成方法及半導體裝置的製造方法
TW202145344A (zh) 2020-04-08 2021-12-01 荷蘭商Asm Ip私人控股有限公司 用於選擇性蝕刻氧化矽膜之設備及方法
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
CN113555279A (zh) 2020-04-24 2021-10-26 Asm Ip私人控股有限公司 形成含氮化钒的层的方法及包含其的结构
KR20210132605A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 냉각 가스 공급부를 포함한 수직형 배치 퍼니스 어셈블리
KR20210132600A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐, 질소 및 추가 원소를 포함한 층을 증착하기 위한 방법 및 시스템
KR20210134226A (ko) 2020-04-29 2021-11-09 에이에스엠 아이피 홀딩 비.브이. 고체 소스 전구체 용기
KR20210134869A (ko) 2020-05-01 2021-11-11 에이에스엠 아이피 홀딩 비.브이. Foup 핸들러를 이용한 foup의 빠른 교환
KR20210141379A (ko) 2020-05-13 2021-11-23 에이에스엠 아이피 홀딩 비.브이. 반응기 시스템용 레이저 정렬 고정구
KR20210143653A (ko) 2020-05-19 2021-11-29 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210145078A (ko) 2020-05-21 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 다수의 탄소 층을 포함한 구조체 및 이를 형성하고 사용하는 방법
TW202201602A (zh) 2020-05-29 2022-01-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202218133A (zh) 2020-06-24 2022-05-01 荷蘭商Asm Ip私人控股有限公司 形成含矽層之方法
TW202217953A (zh) 2020-06-30 2022-05-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
KR20220010438A (ko) 2020-07-17 2022-01-25 에이에스엠 아이피 홀딩 비.브이. 포토리소그래피에 사용하기 위한 구조체 및 방법
TW202204662A (zh) 2020-07-20 2022-02-01 荷蘭商Asm Ip私人控股有限公司 用於沉積鉬層之方法及系統
TW202212623A (zh) 2020-08-26 2022-04-01 荷蘭商Asm Ip私人控股有限公司 形成金屬氧化矽層及金屬氮氧化矽層的方法、半導體結構、及系統
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
TW202229613A (zh) 2020-10-14 2022-08-01 荷蘭商Asm Ip私人控股有限公司 於階梯式結構上沉積材料的方法
TW202217037A (zh) 2020-10-22 2022-05-01 荷蘭商Asm Ip私人控股有限公司 沉積釩金屬的方法、結構、裝置及沉積總成
TW202223136A (zh) 2020-10-28 2022-06-16 荷蘭商Asm Ip私人控股有限公司 用於在基板上形成層之方法、及半導體處理系統
KR20220076343A (ko) 2020-11-30 2022-06-08 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치의 반응 챔버 내에 배열되도록 구성된 인젝터
US11946137B2 (en) 2020-12-16 2024-04-02 Asm Ip Holding B.V. Runout and wobble measurement fixtures
TW202231903A (zh) 2020-12-22 2022-08-16 荷蘭商Asm Ip私人控股有限公司 過渡金屬沉積方法、過渡金屬層、用於沉積過渡金屬於基板上的沉積總成
JP2022115397A (ja) 2021-01-28 2022-08-09 東京エレクトロン株式会社 成膜方法及び処理装置
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
USD1023959S1 (en) 2021-05-11 2024-04-23 Asm Ip Holding B.V. Electrode for substrate processing apparatus
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate

Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH01195277A (ja) * 1988-01-28 1989-08-07 Fujitsu Ltd 薄膜の形成方法
KR19990030134A (ko) * 1997-09-29 1999-04-26 디어터 크리스트, 베르너 뵈켈 자체 정렬 접촉부 에칭을 위한 산화물 대 질화물 에칭률에 대한 선택도를 향상시키도록 탄소를질화물층 내부에 증착시키는 방법
KR20000013654A (ko) * 1998-08-12 2000-03-06 윤종용 원자층 증착 방법으로 형성한 알루미나/알루미늄나이트라이드복합 유전체막을 갖는 캐패시터와 그제조 방법

Family Cites Families (24)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
DE2557079C2 (de) * 1975-12-18 1984-05-24 Ibm Deutschland Gmbh, 7000 Stuttgart Verfahren zum Herstellen einer Maskierungsschicht
US4158717A (en) * 1977-02-14 1979-06-19 Varian Associates, Inc. Silicon nitride film and method of deposition
US4395438A (en) * 1980-09-08 1983-07-26 Amdahl Corporation Low pressure chemical vapor deposition of silicon nitride films
JPS61117841A (ja) * 1984-11-14 1986-06-05 Hitachi Ltd シリコン窒化膜の形成方法
JPH0293071A (ja) 1988-09-29 1990-04-03 Toshiba Corp 薄膜の形成方法
JPH0442931A (ja) * 1990-06-06 1992-02-13 Fujitsu Ltd 半導体装置の製造方法
US5052339A (en) * 1990-10-16 1991-10-01 Air Products And Chemicals, Inc. Radio frequency plasma enhanced chemical vapor deposition process and reactor
US5932286A (en) * 1993-03-16 1999-08-03 Applied Materials, Inc. Deposition of silicon nitride thin films
JP3265042B2 (ja) * 1993-03-18 2002-03-11 東京エレクトロン株式会社 成膜方法
DE69408405T2 (de) * 1993-11-11 1998-08-20 Nissin Electric Co Ltd Plasma-CVD-Verfahren und Vorrichtung
US6228453B1 (en) * 1995-06-07 2001-05-08 Lanxide Technology Company, Lp Composite materials comprising two jonal functions and methods for making the same
US5874368A (en) * 1997-10-02 1999-02-23 Air Products And Chemicals, Inc. Silicon nitride from bis(tertiarybutylamino)silane
JP2001168092A (ja) * 1999-01-08 2001-06-22 Toshiba Corp 半導体装置およびその製造方法
US6504233B1 (en) * 1999-06-28 2003-01-07 General Electric Company Semiconductor processing component
US6482733B2 (en) * 2000-05-15 2002-11-19 Asm Microchemistry Oy Protective layers prior to alternating layer deposition
US6844273B2 (en) * 2001-02-07 2005-01-18 Tokyo Electron Limited Precleaning method of precleaning a silicon nitride film forming system
JP2002305242A (ja) * 2001-04-05 2002-10-18 Canon Sales Co Inc 半導体装置の製造方法
US6391803B1 (en) * 2001-06-20 2002-05-21 Samsung Electronics Co., Ltd. Method of forming silicon containing thin films by atomic layer deposition utilizing trisdimethylaminosilane
US6638879B2 (en) * 2001-12-06 2003-10-28 Macronix International Co., Ltd. Method for forming nitride spacer by using atomic layer deposition
US7094708B2 (en) * 2003-01-24 2006-08-22 Tokyo Electron Limited Method of CVD for forming silicon nitride film on substrate
US20060019032A1 (en) * 2004-07-23 2006-01-26 Yaxin Wang Low thermal budget silicon nitride formation for advance transistor fabrication
US20060182885A1 (en) * 2005-02-14 2006-08-17 Xinjian Lei Preparation of metal silicon nitride films via cyclic deposition
JP4258518B2 (ja) * 2005-03-09 2009-04-30 東京エレクトロン株式会社 成膜方法、成膜装置及び記憶媒体
JP4929932B2 (ja) * 2006-09-01 2012-05-09 東京エレクトロン株式会社 成膜方法、成膜装置及び記憶媒体

Patent Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH01195277A (ja) * 1988-01-28 1989-08-07 Fujitsu Ltd 薄膜の形成方法
KR19990030134A (ko) * 1997-09-29 1999-04-26 디어터 크리스트, 베르너 뵈켈 자체 정렬 접촉부 에칭을 위한 산화물 대 질화물 에칭률에 대한 선택도를 향상시키도록 탄소를질화물층 내부에 증착시키는 방법
KR20000013654A (ko) * 1998-08-12 2000-03-06 윤종용 원자층 증착 방법으로 형성한 알루미나/알루미늄나이트라이드복합 유전체막을 갖는 캐패시터와 그제조 방법

Also Published As

Publication number Publication date
JP2005012168A (ja) 2005-01-13
US20060286817A1 (en) 2006-12-21
WO2004105115A1 (ja) 2004-12-02
US7462376B2 (en) 2008-12-09
KR20060015708A (ko) 2006-02-20
TW200500485A (en) 2005-01-01
JP4403824B2 (ja) 2010-01-27
TWI343951B (en) 2011-06-21
KR20090037984A (ko) 2009-04-16

Similar Documents

Publication Publication Date Title
KR100956468B1 (ko) 실리콘 질화막을 형성하는 cvd 방법
KR101314002B1 (ko) SiCN막 성막 방법
US8591989B2 (en) SiCN film formation method and apparatus
KR100903484B1 (ko) 실리콘 함유 절연막을 형성하는 cvd 방법 및 장치
KR101140069B1 (ko) 반도체 처리용의 성막 방법 및 장치 및 컴퓨터로 판독가능한 매체
KR100861851B1 (ko) 실리콘 산화막 형성 방법 및 장치
KR101141870B1 (ko) 반도체 처리용 성막 방법 및 성막 장치
JP5573772B2 (ja) 成膜方法及び成膜装置
KR101146397B1 (ko) 반도체 처리용 성막 방법 및 장치와, 컴퓨터로 판독 가능한매체
KR101086588B1 (ko) 반도체 처리용의 성막 방법 및 장치와, 컴퓨터에서 판독가능한 매체
KR101705966B1 (ko) 클리닝 방법, 반도체 장치의 제조 방법, 기판 처리 장치 및 프로그램
JP4189394B2 (ja) 縦型cvd装置を使用するcvd方法
KR101503725B1 (ko) 성막 방법 및 성막 장치
JP6030378B2 (ja) 半導体装置の製造方法、基板処理方法、基板処理装置およびプログラム
WO2012128044A1 (ja) 半導体装置の製造方法、基板処理方法および基板処理装置
KR20110122059A (ko) 박막의 형성 방법 및 성막 장치
KR101786301B1 (ko) 반도체 장치의 제조 방법, 기판 처리 장치 및 프로그램
JP6523080B2 (ja) 半導体装置の製造方法、基板処理装置およびプログラム
WO2018088003A1 (ja) 半導体装置の製造方法、基板処理装置およびプログラム
TW202334474A (zh) 選擇性熱沉積方法
CN112640061A (zh) 基板处理装置、半导体装置的制造方法及程序
JP3915697B2 (ja) 成膜方法及び成膜装置
US20240105443A1 (en) Method of processing substrate, method of manufacturing semiconductor device, substrate processing system, and recording medium
CN114342047A (zh) 基板处理装置、等离子体生成装置、半导体装置的制造方法以及程序

Legal Events

Date Code Title Description
A107 Divisional application of patent
A201 Request for examination
E902 Notification of reason for refusal
E701 Decision to grant or registration of patent right
GRNT Written decision to grant
FPAY Annual fee payment

Payment date: 20130404

Year of fee payment: 4

FPAY Annual fee payment

Payment date: 20140401

Year of fee payment: 5

FPAY Annual fee payment

Payment date: 20170330

Year of fee payment: 8

FPAY Annual fee payment

Payment date: 20180418

Year of fee payment: 9

FPAY Annual fee payment

Payment date: 20190418

Year of fee payment: 10