WO2004105115A1 - シリコン窒化膜を形成するcvd方法 - Google Patents

シリコン窒化膜を形成するcvd方法 Download PDF

Info

Publication number
WO2004105115A1
WO2004105115A1 PCT/JP2004/007311 JP2004007311W WO2004105115A1 WO 2004105115 A1 WO2004105115 A1 WO 2004105115A1 JP 2004007311 W JP2004007311 W JP 2004007311W WO 2004105115 A1 WO2004105115 A1 WO 2004105115A1
Authority
WO
WIPO (PCT)
Prior art keywords
period
gas
silicon nitride
nitride film
forming
Prior art date
Application number
PCT/JP2004/007311
Other languages
English (en)
French (fr)
Inventor
Hitoshi Kato
Kohei Fukushima
Masato Yonezawa
Junya Hiraga
Original Assignee
Tokyo Electron Limited
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Tokyo Electron Limited filed Critical Tokyo Electron Limited
Priority to US10/558,217 priority Critical patent/US7462376B2/en
Publication of WO2004105115A1 publication Critical patent/WO2004105115A1/ja

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02612Formation types
    • H01L21/02617Deposition types
    • H01L21/0262Reduction or decomposition of gaseous compounds, e.g. CVD
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/34Nitrides
    • C23C16/345Silicon nitride
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/0217Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material being a silicon nitride not containing oxygen, e.g. SixNy or SixByNz
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02205Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition
    • H01L21/02208Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si
    • H01L21/02211Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si the compound being a silane, e.g. disilane, methylsilane or chlorosilane
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/314Inorganic layers
    • H01L21/318Inorganic layers composed of nitrides
    • H01L21/3185Inorganic layers composed of nitrides of siliconnitrides

Definitions

  • the present invention relates to a CVD method and apparatus for forming a silicon nitride film on a substrate to be processed.
  • SiO 2 , PSG (Phospho Silicate ⁇ iass) ⁇ P (formed by plasma CVD) – S SiO, P (formed by plasma CVD) one S i n, SOG (S in O n G lass), S i 3 n 4 ( Shi Li co down nitride film) or the like is used.
  • silicon nitride films are often used because they have relatively better insulation properties than silicon oxide films and can function well as etching stopper films. There is a tendency.
  • SiH4 monosilane
  • DCS dichloromethane
  • Si silicon source gas
  • H 2 C 12 hexacyclodisilane
  • HD Si 2 C 16
  • BBAS bis-tert-butylamino silane
  • the silicon nitride film is deposited by thermal CVD
  • the silicon nitride film is deposited at a high temperature of about 760 ° C.
  • the temperature may be lowered to about 600 ° C, and deposition may be performed by thermal CVD.
  • a film and an insulating film as described above are laminated on each other, and a multilayer structure is formed while performing pattern etching.
  • contaminants are such organic substances and c 1 ⁇ ⁇ I cycle the surface of the field ⁇ the insulating film that form another thin film ⁇ on this after forming the insulating film is adhered
  • a cleaning process is performed to remove this contaminant.
  • the surface of the insulating film is etched by immersing the semiconductor wafer in a cleaning solution of dilute hydrofluoric acid.o As a result, the surface of the insulating film is removed very thinly to remove contaminants. Remove.
  • the etching rate at the time of cleaning the insulating film becomes considerably small. Therefore, the insulating film is not excessively removed at the time of cleaning, and the cleaning process can be performed with good controllability of the film thickness.
  • the insulating film is formed by CVD at a low temperature of, for example, about 600 ° C., the etching rate at the time of cleaning the insulating film is considerably large. As a result, the insulating film may be excessively removed during talling, resulting in poor control of the film thickness during the quenching process.
  • the silicon nitride film may be used as an etching stop film, and the o field ⁇ and the etching rate of the silicon nitride film need to be sufficiently small. There is. However, conventional methods cannot respond to this 5%.
  • a first aspect of the present invention is a CVD method for forming a silicon nitride film.
  • a silane-based gas and an ammonia gas are supplied into the processing chamber, and the silicon nitride film is formed on the substrate by cVD.
  • the step of forming the silicon nitride film includes the steps of: supplying a HU silane-based gas into the processing chamber; and supplying the silane-based gas to the processing chamber. The second period in which to stop and the period are alternately provided.
  • a second aspect of the present invention is a CVD method for forming a silicon nitride film, While treating the substrate containing the substrate, the silane-based gas, ammonia gas, and hydrocarbon gas were fed into the processing chamber while the substrate was being stored. Silicon nitride film on substrate
  • Forming a silicon nitride film wherein the step of forming the silicon nitride film comprises: a first period of supplying the silane-based gas into the processing chamber; And a second period in which the supply of gas is stopped is alternately provided.
  • the first period can have a length equal to or less than the second period.
  • the first period of fu B is set within the range of 15 to 60 seconds
  • the second period is
  • the step of forming the silicon nitride film includes supplying the ammonia gas into the processing chamber.
  • a third period in which the PR is performed and a fourth period in which the supply of the ammonia gas is stopped can be alternately provided.
  • the step of forming the silicon nitride film alternates between a third period for supplying the ammonia gas and a fourth period for stopping the supply of the ammonia gas.
  • a fifth period in which the hydrocarbon gas is supplied into the processing chamber and a sixth period in which the supply of the hydrocarbon gas is stopped can be alternately provided.
  • the step of forming the silicon nitride film is performed by first using at least one of the silane-based gas and the hydrocarbon gas. It is desirable to supply.
  • FIG. 1 is a sectional view showing a CVD apparatus according to an embodiment of the present invention.
  • FIGS. 2A, 2B, and 2C are timing charts showing gas supply patterns according to the first and second embodiments of the present invention and a conventional technique, respectively.
  • FIG. 3A is a graph showing the dependency of the etching rate of the silicon nitride film on the gas supply pattern according to the first and second embodiments.
  • FIG. 3B is a graph showing the dependency of the etching rate of the silicon nitride film on the NH 3 gas flow rate according to the first embodiment.
  • 4A and 4B are timing charts showing a gas supply pattern according to the third embodiment of the present invention and its modification.
  • FIG. 5 is a timing chart showing a gas supply pattern according to a fourth embodiment of the present invention.
  • FIG. 6A is a graph showing the dependence of the etching rate of a silicon nitride film on the length of a deposition period according to the fourth embodiment.
  • FIG. 6B is a graph showing the dependency of the etching rate of the silicon nitride film on the length of the modification period according to the fourth embodiment.
  • FIGS. 7A, 7B, 7C, and 7D are timing charts showing gas supply patterns according to fifth, sixth, seventh, and eighth embodiments of the present invention, respectively.
  • FIG. 8 is a graph showing the dependence of the etching rate of the silicon nitride film on the gas supply pattern according to the fifth to eighth embodiments.
  • FIG. 9 shows a series of process pressures for the fifth embodiment.
  • a graph showing the dependency of the etch rate of the con-nitride film.
  • FIG. 10 is a graph showing the dependency of the silicon nitride film etching rate on the intermittent period pressure in the fifth embodiment.
  • FIG. 11 is a graph showing the relationship between the gas supply pattern and the in-plane uniformity of the silicon nitride film thickness in the fifth to eighth embodiments.
  • the present inventors have studied the etching rate when etching a silicon nitride film.
  • the intermittent supply of a silicon-based gas which is a silicon source gas of the silicon nitride film, enables the etching gray during cleaning. And found that it is possible to reduce the amount of heat.
  • the present invention relates to
  • FIG. 1 is a plan view showing a CVD apparatus according to an embodiment of the present invention.
  • This CVD apparatus 2 is composed of a first gas substantially composed of a silane-based gas (silicon source gas) and a first gas substantially composed of a chemical gas. And a third gas substantially consisting of a hydrocarbon gas are supplied simultaneously to form a silicon nitride film.
  • a hydrocarbon gas is supplied into the film. Contains carbon component.
  • the CVD apparatus 2 has a cylindrical inner cylinder 4 made of quartz, and an outer cylinder 6 made of quartz concentrically arranged outside the inner cylinder 4 with a predetermined gap 10 therebetween.
  • the treatment room 8 has a double pipe structure.
  • the outside of the processing chamber 8 is covered with a heating cover 16 provided with a heating means 12 such as a heating heater and a heat insulating material 14.
  • the heating means 12 is disposed on the entire inner surface of the heat insulating material 14.
  • the inner diameter of the inner cylinder 4 of the processing chamber 8 is about 240 mm and the height is about 130 mm, and the volume of the processing chamber 8 is about 110 mm. It is about the title.
  • the lower end of the processing chamber 8 is supported by a cylindrical manifold 18 made of, for example, stainless steel.
  • the lower end of the inner cylinder 4 is supported by a ring-shaped support plate 18A protruding inward from the inner wall of the main holder 18.
  • a quartz wafer port 20 on which semiconductor wafers W to be processed are mounted in multiple stages is loaded Z unloaded into the processing chamber 8 from the downward force of the manifold 18.
  • about 100 to 150 wafers each having a diameter of 200 mm can be supported on the evaporator 20 in multiple stages at a substantially equal pitch.
  • the size and the number of wafers W are not limited to those described above. It can also be applied to O mm wafers.
  • the wafer boat 20 is placed on a rotating tape 24 via a heat insulating tube 22 made of quartz.
  • the rotary table 24 is supported on a rotary shaft 28 that penetrates a lid 26 that opens and closes the lower end opening of the manifold 18.
  • a magnetic fluid seal 30 is interposed in the penetrating portion of the rotating shaft 28, and the rotating shaft 28 is rotatably supported in a hermetically sealed state.
  • a sealing member 32 made of, for example, an O-ring is provided between the periphery of the lid 26 and the lower end of the manifold 18 to maintain the sealing in the processing chamber 8. .
  • the rotating shaft 28 is attached to the tip of an arm 36 supported by, for example, a lifting mechanism 34 of a boat elevator.
  • Lifting mechanism 34 of a boat elevator.
  • the exhaust port 38 is connected to a vacuum exhaust unit 39 provided with a vacuum pump or the like.
  • a gas supply section 40 for supplying a predetermined processing gas into the inner cylinder 4 is disposed on the side of the manifold 18.
  • the gas supply section 40 includes a silane-based gas supply system 42, a nitriding gas supply system 44, and a hydrogen ash gas supply system 46.
  • Each of the gas supply systems 42, 44 ⁇ ⁇ 46 has a linear gas nozzle 48, 552 provided through the side wall of the manifold 18.
  • Mass flow control is provided for each gas nozzle 48, 50, 52.
  • the gas flow paths 60, 62, and 64 are connected to the flow controllers 54, 56, and 58, respectively, respectively.
  • HCD gas power S is used as a silane-based gas (silicon source gas)
  • NH 3 gas is used as a nitriding gas
  • hydrocarbons! Ethylene (C 2 H 4 ) gas is used as the gas
  • the gas supply unit 40 includes a pergas supply system 72 for supplying a purge gas.
  • the purge gas supply system 72 is connected to the side of the manifold 18 by a gas flow path 76 through a flow controller 74 such as a mass flow controller, which is a P-controller.
  • a flow controller 74 such as a mass flow controller, which is a P-controller.
  • an inert gas such as N 2 is used.
  • the processing recipe of the CVD process for example, the thickness of the silicon nitride film to be formed, which is previously considered in the storage section 5 s of the PU 5, can be adjusted in accordance with the J thickness.
  • the relationship between the processing gas flow and the thickness of the silicon nitride film is preliminarily recorded as control data, and the CPU 5 transmits the stored processing recipe and control data to these stored processing recipes and control data. It is possible to control the gas supply section 40, etc.
  • the cVD device loads the wafer and puts it in a good machine state.
  • the process temperature in process 8 is maintained at a process temperature of, for example, about 500 ° C.
  • a large number of pieces for example, 100 pieces of quenches W, for example, are mounted at room temperature. Is raised into the processing chamber 8 from below, and is then moved into the processing chamber 8 by ⁇ . Then, in the section 26, the nozzle is closed, and the opening of the lower itfB in the section 18 is closed. To seal the inside of the processing chamber 8
  • the inside of the processing chamber 8 is evacuated to maintain a predetermined process pressure, and at the same time, the process speed for film formation is raised.
  • the nozzles of the gas supply section 40 are controlled while controlling the flow rates of the predetermined silane-based gas, HCD gas, ammonia gas, which is a nitriding gas, and ethylene gas, which is a hydrocarbon gas. Supply, 50, 52 power, etc. At this time, a silicon nitride film is formed using a gas supply pattern according to the embodiment described below.
  • FIGS. 2A, 2B, and 2C are timing charts showing gas supply patterns according to the first and second embodiments of the present invention and the prior art, respectively.
  • HCD gas which is a silane-based gas
  • FIGS. 2A and 2B HCD gas, which is a silane-based gas
  • NH 3 gas and C 2 H 4 gas are continuously supplied.
  • FIG. 2B while C 2 H 4 gas is supplied continuously, NH 3 gas is supplied intermittently in synchronization with the HCD gas.
  • HCD gas and NH 3 gas are During a single simultaneous supply period (deposition period) ⁇ 1, a silicon nitride film containing ash is deposited on the surface of the wafer w. In addition, the silicon nitride film deposited immediately before in the intermittent period (reforming period) ⁇ 2 where the supply of the HCD gas is stopped is performed.
  • the deposition period T 1 and the modification period T 2 are alternately repeated, and a silicon-containing silicon nitride film is formed in multiple layers.
  • one deposition period T 1 and one modification period T 2 are defined as one cycle, and the number of cycles is determined according to the film thickness to be deposited. .
  • the process temperature is constant at 600 ° C.
  • the process pressure is the simultaneous supply period ⁇
  • the reforming period ⁇ 2 is about 60 seconds, for example.
  • a silicon nitride film is formed, for example, C 2 H gas is supplied as a hydrocarbon gas into the processing vessel 8 to form a silicon nitride film on the surface.
  • the resulting silicon nitride film contains carbon components.
  • the conventional film forming temperature for example, 760 is obtained.
  • the film was formed at a temperature lower than about c, for example, at 600 ° C., it is rarely used during the tangling or etching of the surface of the silicon nitride film.
  • the etchant rate for hydrofluoric acid can be reduced.
  • the silicon nitride film is prevented from being excessively removed during the cleaning process, and the controllability of the film thickness can be improved.
  • the silicon nitride film has a sufficient function as an etch stop film. You will be able to accomplish it.
  • the reforming period T2 is provided by intermittently supplying the HCD gas.
  • the surface of the silicon nitride film formed immediately before each modification period T 2 is modified in the modification period T 2 to improve the film quality.
  • the etching rate of the silicon nitride film can be further suppressed.
  • the effect at the atomic level during the reforming process is considered as follows. That is, when a silicon nitride film containing carbon atoms is formed, one atom that cannot be desorbed during deposition in the HCD gas is bonded to the outermost surface of the thin film in an activated state.
  • the gas such as the HCD gas when the gas such as the HCD gas is intermittently supplied, the gas consumption can be reduced as compared with the case where all the gases such as the ammonia HCD gas are simultaneously and continuously supplied for a long time. Further, in this case, it is possible to improve the inter-plane and in-plane uniformity of the film thickness.
  • the process temperature was 600 ° C.
  • the flow rate of NH 3 gas was 600 sccm
  • the flow rate of HCD gas was 200 ° C.
  • the flow rate of 0 sccm and C 2 H 4 gas was set to 600 sccm.
  • the deposition period T1 was 30 seconds
  • the modification period T2 was 60 seconds.
  • the process pressure during the deposition period T 1 was set at 27 Pa (0.2 T orr), and the process pressure during the reforming period T 2 was set at 53 32 Pa (4 T orr).
  • a carbon-containing silicon nitride film was formed under such conditions.
  • Comparative Example C 1 of the prior art the process temperature is 6 0 0 ° C, process pressure is 2 7 P a (0. 2 T orr), NH 3 gas flow rate 6 0 0 sccm, the flow rate of the HCD gas was set to 20 sccm.
  • the C 2 H 4 gas was not supplied, and the NH 3 gas and the HCD gas were continuously supplied.
  • a silicon nitride film containing no carbon was formed under such conditions.
  • the films of Examples E11, E21 and Comparative Example C1 formed in this manner were immersed in an etching solution and etched.
  • the etching solution was 0.1% HF solution (99.9% is H 2 O), the etching temperature was room temperature, and the etching time was 30 seconds.
  • FIG. 3A is a graph showing the comparison between the etching rates of the films obtained in Examples E11 and E21 and Comparative Example C1. As shown in FIG. 3A, in the case of Comparative Example C1, The etching rate was a very large value of 3.41 nm / min. In contrast, Example E according to the first embodiment
  • Example E21 In the case of 11, the value was 0.97 nm / min, and in the case of Example E21 according to the second embodiment, the value was 0.60 nm / min. That is, in Examples E11 and E21, the etching rate was considerably suppressed as compared with Comparative Example C1.
  • Example E21 was able to suppress the etching rate more than that of Example E11 was as follows. That is, in Example E 21 according to the second embodiment, the supply of the NH 3 gas and the HCD gas is stopped during the reforming period T 2, and only the C 2 H 4 gas is supplied. In this case ⁇
  • Example E12 a silicon nitride film was formed by reducing the flow rate of NH 3 gas to 100 sccm, and the etching rate was evaluated.
  • the other process and viewing conditions were the same as described for Figure 3A.
  • FIG. 3B shows the etching rate of the film obtained in this experiment according to Example E12, which was obtained in Example E11 (NH 3 gas).
  • the flow rate of the gas is 600 sccm), which is a graph shown in comparison with the etching rate of the film of Comparative Example C1.
  • the etching rate was reduced by reducing the flow rate of NH 3 gas from 600 sccm (Example El) to 100 sccm (Example El2).
  • the force decreased to 0.77 nra / min, and 0.75 nm Zmin. For this reason, it was found that reducing the NH 3 gas was effective to some extent, but not as effective as the gas supply pattern of the second embodiment.
  • a preferable range of the deposition period T1 is 15 seconds to 60 seconds, and a more preferable range is 15 seconds to 30 seconds. If the deposition period is shorter than T 1 force S 15 seconds, not only will the silicon nitride film not be deposited sufficiently, but it will also take a long time to achieve the target film thickness, resulting in a high throughput. Is not realistic. On the other hand, if the deposition period 1 is longer than 60 seconds, the amount of C 1 element taken into the silicon nitride film increases, and this modification cannot be performed in + minutes.
  • the length of the reforming period T 2 is set to be longer than the length of the deposition period T 1.
  • the preferable range of the reforming period T2 is 30 seconds to 180 seconds, and the more preferable range is 30 seconds to 60 seconds. If the reforming period T 2 is shorter than 30 seconds, problems such as insufficient removal of NH 3 , HCD and C 2 H 4 gas, or insufficient reforming treatment may occur. On the other hand, if the reforming period is longer than T2 180 seconds, one cycle The length of the file becomes long and it is not realistic from a throughput perspective.
  • the process temperature was examined.
  • the preferred range of process temperature is 450 to 60
  • the silicon nitride film cannot be formed sufficiently by thermal CVD.
  • FIG. 4A and 4B are timing charts showing a gas supply pattern according to the third embodiment of the present invention and its modification.
  • NH 3 gas is continuously supplied, and C 2 H 4 gas, which is a hydrocarbon gas, is intermittently supplied in synchronization with intermittent supply of HCD gas.
  • C 2 H 4 gas which is hydrogen ash gas, is supplied in the opposite direction to the intermittent supply of HCD gas. Supply intermittently with a cruise. That is, in FIG. 4B, ⁇
  • the hydrocarbon gas is C 2
  • H 4 (ethylene) is exemplified.
  • one or more selected from the group consisting of acetylene, ethylene, methane, ethane, propane, and butane as the hydrocarbon gas Gas can be used.
  • ethane as a hydrocarbon gas it is preferable to supply it into the processing vessel 8 after preheating it to about 50 ° to 100 ° C.
  • a hydrocarbon gas such as C 2 H 4 gas is supplied to flow the silicon nitride film.
  • a carbon component is contained in the nitride film.
  • a silicon nitride film is formed by intermittently supplying a silane-based gas without flowing a hydrocarbon gas. In this case, the etching rate can be suppressed.
  • FIG. 5 is a timing chart showing a gas supply pattern according to the fourth embodiment of the present invention.
  • no hydrocarbon gas is used here, and ammonia gas is continuously supplied, and, for example, HCD gas is intermittently supplied as a silane-based gas.
  • the simultaneous supply period of both gases is the deposition period T1
  • the intermittent period during which the supply of the HCD gas is stopped and only the NH 3 gas flows is the reforming period T2.
  • Such a film forming process can be easily realized by completely shutting off the hydrocarbon gas supply system 46 in the film forming apparatus shown in FIG.
  • the silicon nitride film does not contain carbon atoms.
  • the thin silicon nitride film deposited during the deposition period T 1 was modified by NH 3 gas immediately after the modification period T 2, and the C 1 element on the outermost surface was changed to the N element. Will be replaced.
  • the silicon nitride film finally formed is etched. Chingleates can be suppressed.
  • the process temperature was 600 ° C.
  • the flow rate of NH 3 gas was 900 sccm
  • the flow rate of HCD gas was 3 0 sccm.
  • the reforming period T 2 in FIG. 5 is constant at 60 seconds
  • the deposition period T 1 is different values in Examples E 41, E 42 and E 43 from 60 seconds and 3 seconds, respectively.
  • the process pressure during the deposition period T 1 is 27 Pa (H 2 .2 T rr), and the process pressure during the
  • Comparative Example C1 In Comparative Example C1 according to the prior art, the process temperature was 600 ° C., the process pressure was 27 Pa (0.2 Torr), the flow rate of NH 3 gas was 600 sccm, and HCD gas was used. The flow rate was 20 sccm.
  • the NH 3 gas and the HCD gas were continuously supplied without supplying the C 2 H 4 gas. That is, the comparative example C1 is the same as the comparative example C1 described with reference to FIG. 3A.
  • the films of Examples E41, E42, E43 and Comparative Example C1 formed in this manner were immersed in an etching solution and etched.
  • the etching solution is 0.1% HF solution (99.9% is H 2 O), the etching temperature is room temperature, and the etching time is 30 seconds. Was.
  • FIG. 6A is a graph showing the comparison between the etching rates of the films obtained in this experiment according to Examples E41, E42, E43, and Comparative Example C1.
  • the etching rate was a very large value of 3.41 nmZmin.
  • the etching rate was 3.1 nm / min, which was slightly lower than Comparative Example C1 ( A little effect appeared).
  • the etching rates were 2.28 nm / min and 1.8 nm / min, respectively. Decreased
  • Example E44 In order to examine the dependence of the etching rate of the silicon nitride film on the length of the modification period T 2, an additional experiment was performed using the gas supply pattern according to the fourth embodiment.
  • the deposition period T1 was 30 seconds
  • the modification period T2 was 180 seconds.
  • Other process conditions and etching conditions were the same as those described with reference to FIG. 6A.
  • FIG. 6B shows the etching rate of the film obtained in this experiment according to Example E44, which was obtained in Example E44 (deposition period T1 force S30 seconds, modification period T30). 2 is 60 seconds), according to Comparative Example C 1
  • the etch rate of Example E42 (reforming period T2 was 60 seconds) was 2.28 nm / min, while Example E44 ( In the modification period T2 force (S180 seconds), the lectin concentration was S2.16 nm Zmin. That is, the reforming period T 2
  • the length of the deposition period T1 was set to 30 seconds or less, and the reforming was performed. It has been found that it is preferable to set the length of the period T2 to 60 seconds or more.
  • the HCD gas which is a silane-based gas
  • ammonia gas which is another gas
  • ethylene gas which is a hydrocarbon gas
  • all of the ammonia gas, the silane-based gas, and the hydrocarbon gas may be supplied intermittently (in a pulsed manner).
  • in one cycle of gas supply at least one of the silane-based gas and the hydrocarbon gas is supplied first.
  • FIGS. 7A, 7B, 7C, and 7D are timing charts showing gas supply patterns according to the fifth, sixth, seventh, and eighth embodiments of the present invention, respectively.
  • the gas supply timing that is, the supply timing
  • the gas supply timing is set to be different from each other. That is, HCD gas is supplied first, then NH 3 gas is supplied, and finally, C 2 H 4 gas is supplied.
  • One cycle is continuously performed several times.
  • the supply period T3 of one gas (one pulse) is set to, for example, about 1 minute.
  • the intermittent period T4 between the adjacent gas supply periods is set to, for example, about 0.5 minute.
  • the length of one cycle is about 4.5 minutes.
  • the intermittent period is the film reforming period, and the entire period of film formation! :
  • the processing chamber is evacuated in the same manner as described in the first to fourth embodiments.
  • the intermittent period (reforming period) it is possible to stop the supply of all the above three types of gases and to continue only evacuation. Instead, during the intermittent period (reforming period), supply of all three types of gas is stopped, and the inert gas is changed to N, for example.
  • the supply period of ammonia gas and the supply period of C 2 H 4 gas are set to the same timing and supplied in synchronization. That is, HCD gas is supplied first, and then NH 3 gas and C 2 H 4 gas are supplied simultaneously. One cycle is continuously performed plural times.
  • the gas supply period T3 for one (one pulse) is set to, for example, about 1 minute.
  • the intermittent period T4 is set to, for example, about 0.5 minutes. Therefore, in the case of the sixth embodiment, In this case, the length of one cycle is about 3 minutes.
  • the supply period of the HCD gas and the supply period of the C 2 H 4 gas are set at the same timing and supplied in synchronization. That is, first, the HCD gas and the C 2 H 4 gas are supplied simultaneously in synchronization with each other, and then the NH 3 gas is supplied, and one cycle is continuously performed a plurality of times.
  • the supply period T3 of one gas (one pulse) is set to, for example, about 1 minute.
  • the intermittent period T4 is set to, for example, about 0.5 minute. Therefore, in the case of the seventh embodiment, the length of one cycle is about 3 minutes.
  • the supply periods of the three types of gases are set to be different from each other.
  • HCD gas is supplied, then C 2 H 4 gas is supplied, and finally, NH 3 gas is supplied.
  • One cycle is repeated several times.
  • the supply order of the C 2 H 4 gas and the NH 3 gas is opposite to that of the fifth embodiment shown in FIG. 7A.
  • the supply period T 3 of one gas (one pulse) is set to, for example, about 1 minute.
  • the intermittent period T4 between the adjacent gas supply periods is set to, for example, about 0.5 minute. Therefore, in the case of the eighth embodiment, the length of one cycle is about 4.5 minutes.
  • Si-C bond (It is difficult to etch.) It forms Si-C bond. That is, for a substrate to be processed having a silicon surface, a processing gas containing C is first supplied to form a Si—C bond on the silicon surface. Protect.
  • the process temperature was 500 ° C.
  • the flow rate of NH 3 gas was 600 sccm
  • the flow rate of the HCD gas was 20 sccm
  • the flow rate of the C 2 H 4 gas was 600 sccm.
  • the supply period T3 in Figs. 7A to 7D was 30 seconds
  • the intermittent period ⁇ 4 was 60 seconds.
  • the number of execution cycles during film formation was set to 50 times.
  • the process pressure during supply of each gas, that is, during the supply period ⁇ 3, was set to 0.6 Torr (80 Pa). In the intermittent period T4, the supply of all the gas was stopped, and only the evacuation was performed (0.002 to 0.0004 ⁇ ⁇ ⁇ ⁇ rr base pressure).
  • the films of E81 and Comparative Examples C2 and C3 were immersed in an etching solution and etched.
  • the etching solution is 0.1% HF solution (99
  • the etching temperature is room temperature
  • the etching time is
  • FIG. 8 shows the results of Examples E51 and E6 obtained by the experiment of (1).
  • Examples E51, E61, E71, and E81 are respectively 0.61 nm / m ⁇ n ⁇ 0.76 nvn / in,
  • Example E52 An additional experiment was performed using the gas supply pattern according to the fifth embodiment to examine the dependence of the etching rate of the cine-nitride film on the process pressure during gas supply.
  • the process pressure at the time of gas supply was set to 1.
  • O T rr (133 P a).
  • the other process conditions and the jetting conditions were the same as described with reference to FIG.
  • FIG. 9 shows the etching rate of the membrane according to Example E52 obtained in this experiment, which was obtained from Example E51 (where the process pressure during gas supply was 0.6 Torr ( 8 0 P a)) Comparative example c
  • Example 2 is a graph shown in comparison with the etching rate of the film according to FIG.
  • the working plate force of Example E51 (process pressure: 0.6 Torr) was 0.61 nm / min.
  • the etching rate of Example E52 (process pressure was 10 Torr) was 0.73 nm / m1n. Therefore, it has been found that the etching rate has little dependence on the process pressure at the time of gas supply, and that the film quality can be sufficiently improved if this pressure is set to 1 Torr or less.
  • the intermittent period T 4 the process chamber performing-out vacuum pulling while supplying N 2 gas is inert gas was set to 0.6 Torr.
  • Other process conditions and etching conditions were the same as those described with reference to FIG.
  • FIG. 10 shows the etching rate of the film according to Example E53 obtained in this experiment, which was obtained by the above-mentioned Example E51 (only the evacuation was performed during the intermittent period T4 (0.002). ⁇ 0.04 Torr (base pressure), which is a graph shown in comparison with the etching rate of the film obtained in Comparative Example C2. 1 etch rate (intermittent period T 4 only for evacuation).
  • Example E 53 N 2 supply and evacuation during intermittent period T 4) was 0.
  • the film thicknesses of the silicon nitride films according to 1 and Comparative Example C2 were measured at the upper, middle, and lower wafer positions of the wafer boat.
  • FIG. 11 shows examples E51 and E obtained by this experiment.
  • FIG. 6 is a graph showing the thickness of a silicon nitride film according to 61, E71, E81, and Comparative Example C2.
  • TOP “CTR” and “BTM” indicate the wafer position at the top, center, and bottom of the wafer port, respectively.
  • CTR the uniformity of the film thickness in the planes of Examples E51, E61, E71, and E81 is smaller than that of Comparative Example C2.
  • good results were obtained (the in-plane film thickness difference was small).
  • all of Examples E51, E61, E71, and E81 showed a better result than Comparative Example C2.
  • the fifth to eighth embodiments were effective even when the etching liquid was changed as described above.
  • the supply period ⁇ 3 and the intermittent period 54 in the fifth to eighth embodiments are merely examples, and are not particularly limited to the values used in the description.
  • a case where hexachlorodisilane (HCD) is used as a silane-based gas to form a silicon nitride film is exemplified.
  • TCS peroxirane
  • DSA disilylane
  • TSA trisilylane
  • BBAS Vista-butylamino-silane
  • a vertical batch type device is exemplified as the CVD device.
  • the present invention can also be applied to a horizontal batch type CVD apparatus or a single-wafer type CVD apparatus that processes substrates to be processed one by one. Further, with respect to the substrate to be processed, the present invention relates to a glass substrate other than a semiconductor substrate or a glass substrate.

Abstract

シリコン窒化膜を形成するCVD方法は、被処理基板(W)を収納した処理室(8)内を排気しながら、前記処理室内にシラン系ガス(HCD)とアンモニアガス(NH3)とを供給し、CVDにより前記被処理基板上に前記シリコン窒化膜を形成する工程を含む。前記シリコン窒化膜を形成する工程は、前記処理室(8)内に前記シラン系ガス(HCD)を供給する第1期間と、前記シラン系ガスの供給を停止する第2期間とを交互に具備する。

Description

P T/JP2004/007311
1 明 細 書
シリコン窒化膜を形成する CVD方法 技術分野
本発明は被処理基板上にシリ コ ン窒化膜を形成するための C V D方法及び装置に関する。
背景技術
半導体デバイ ス 中の絶縁膜と して、 S i O 2 、 P S G ( P h o s p h o S i l i c a t e ^ i a s s ) ヽ P (ブラ ズマ C V Dで形成された) 一 S i O、 P (プラズマ C V Dで 形成された) 一 S i N、 S O G ( S i n O n G l a s s ) 、 S i 3 N 4 (シ リ コ ン窒化膜) 等が使用 される 。 特に シリ コ ン窒化膜は、 絶縁特性がシ リ コ ン酸化膜よ り 比較的良 好なこ と、 及びエッチングス ト ツパ膜と しても十分に機能す る こ とカゝら多用 される傾向にある。
半導体ウェハの表面に上述のよ う なシリ コ ン窒化膜を形成 する方法 と して、 シ リ コ ンソース ガス と してモノ シラ ン ( S i H 4 ) 、 ジク ロ ノレシラ ン ( D C S : S i H 2 C 1 2 ) 、 へ キサク ロ ロ ジシラ ン ( H C D : S i 2 C 1 6 ) 、 ビス タ ーシ ャルブチルア ミ ノ シラ ン ( B T B A S : S i H2 ( N H ( C
4 H 9 ) ) 2 等のシラ ン系ガス を用いて、 熱 C V D ( C h e m i c a l V a o r D e p o s i t i o n ) によ り 成 膜する方法が知 られている。 例えば、 S 1 H 2 C 1 2 + N H 3 (特開平 2 — 9 3 0 7 1 号公報参照) 或いは S i 2 C 1 6 + N H 3 等のガス の組み合わせで熱 C V Dによ り シ リ コ ン窒化 膜を形成する。 半導体デバイ スの更なる高微細化及び高集積化に伴って、 上述のよ う な絶縁膜も更なる薄膜化が必要と なっている。 熱 C V Dの成膜処理時の温度に関 しても、 絶縁膜の下側にすで に形成される各種の膜の電気的特性を維持する必要から、 よ り 低温化が進んでいる。 この点に関 して、 例えばシリ コ ン窒 化膜を熱 C V Dによ り 堆積する場合、 従来は 7 6 0 °C程度の 高温でこのシ リ コ ン窒化膜の堆積を行っている。 しかし、 最 近は 6 0 0 °C程度まで温度を下げて熱 C V Dによ り 堆積する 場合もある。
半導体テノ イ スを形成する場合ヽ 膜や上述のよ う な絶 縁膜を相互に積層 し、 パター ンェッチングを行いなが ら多層 構造とする。 絶縁膜を形成した後にヽ この上に別の薄膜を形 成する場 Π ヽ 上記絶縁膜の表面が有機物やハ 1 ~~ァィ クル等の 汚染物が付着している可能性がある のため、 必要に応 じ て、 この汚染物を除去する 目的で 、 ク ―二ング処理を行 う。 この場合 、 半導体ウ エ ノヽを希フ ッ酸 のク リ ー二ング液に浸 漬させて絶縁膜の表面をェッチングする o これによ り 、 絶縁 膜の表面を非常に薄く 削り取り 、 汚染物を除去する。
上記絶縁膜を例えば 7 6 0 °C程度の高温で C V D成膜した 場合、 絶縁膜のク リ ーニング時のエッチングレー トはかな り 小さ く なる。 こ のため、 ク リ ーニング時にこの絶縁膜が過度 に削 り 取られる こ と がなく 、 膜厚の制御性が良い状態でク リ 一ユング処理を行う こ とができ る。 これに対 して、 上記絶縁 膜を例えば 6 0 0 °C程度の低い温度で C V D成膜した場合、 絶縁膜のク リ ーニング時のエッチングレー ト はかな り 大き く なる o 、 のため、 タ リ ー二ング時に の絶縁膜が過度に削 り 取られる場合が発生し、 ク V 一二ング処理時の膜厚の制御性 が劣つて しま コ
また、 シ y コ ン窒化膜は刖述 した う にエ ッチングス ト ッ パ膜と して使用する場合 ある o の場 σ 、 シ リ コ ン窒化膜 の工ッチングレ一 ト を十分に小さ < する必要がある。 し力 し 従来の成 方法では、 この 5冃に十分に応える こ と はできな
1,ヽ
1 o
発明の開示
本発明は 比較的低温で成膜しても ク リ 一 二 ング時のェッ チングレ 卜 を比較的小さ < で ヽ ク V 一ユ ング時の) i莫厚の 制御性を向上させる こ とがでさ 、 且つェシチングス ト ツパ膜 と しても十分機 する こ と がで るシ V ン窒化膜を形成す るための方法及び装置を提供するこ と を目的とする。
本発明の第 1 の視点はヽ シ V コ ン窒化膜を形成する C V D 方法であってヽ
被処理基板を収納 した処理室内を排気しなが らヽ m記処理 室内にシラ ン系ガス と ァンモ二ァガス と を供給し 、 c V Dに よ り 前記被処理基板上に前記シ V ン窒化膜を形成するェ程 を具備し、 こ で、 記シ ン窒化膜を形成するェ程はヽ 前記処理室内に HU記シラ ン系ガスを供給する第 1 期間と、 記シラ ン系ガス の供 を停止する第 2期間と を交互に具備す 。
本発明の第 2 の視点はヽ シ y コ ン窒化膜を形成する C V D 方法であって、 被処 a基板を収納 した処理 内を 気しなが らヽ 育 U百 d処理 室内にシラ ン系ガス と ア ンモ二ァガス と炭化水素ガス と を供 糸 Ft レ 、 C V Dによ り 前記被処理基板上に刖記シリ ン窒化膜
· - を形成する工程を具備 し、 こ で 、 刖 シリ コ ン窒化膜を形 成するェ程は、 前記処理室内に刖記シラ ン系ガス を供給する 第 1 期間と、 刖記シラ ン系ガスの供給を停止する第 2期間と を交互に具備する。
第 1 及び第 2 の視点において、 前記第 1 期間はヽ 刖記第 2 期間以下の長さ を有する こ とができ る。 例えば、 fu Bし第 1 期 間は 、 1 5 〜 6 0秒の範囲内に設定され、 前記第 2期間は、
3 0 〜 1 8 0秒の範囲内に設定される。
第 1 及び第 2 の視点において、 前記シ リ コ ン窒化膜を形成 する工程は、 前記処理室内に前記ア ンモニア ガスを供 厶
PRする 第 3 の期間と、 前記ア ンモ ニア ガス の供給を停止する第 4 の 期間とを交互に具備する こ とができる。
第 2 の視点において、 前記シ リ コ ン窒化膜を形成する工程 は、 前記ア ンモニアガスを供給する第 3 の期間とヽ 前記ァン モ二ァガス の供給を停止する第 4 の期間と を交互に具備する と共に、 前記処理室内に前記炭化水素ガスを供給する第 5 の 期間 と、 前記炭化水素ガス の供給を停止する第 6 の期間と を 交互に具備する こ と ができ る。 前記被処理基板はシ V ン表 面を有する場合、 前記シ リ コ ン窒化膜を形成するェ程は 、 刖 記シラ ン系ガス及ぴ前記炭化水素ガス の少な く と あ一方を最 初に供給する こ とが望ま しい。
図面の簡単な説明 図 1 は、 本発明の実施の形態に係る C V D装置を示す断面 図。
図 2 A、 B、 Cは、 本発明の第 1 及び第 2 実施形態及ぴ従 来技術に係る ガス供給パタ ーンを夫々 示すタ イ ミ ングチヤ一 卜
図 3 Aは、 第 1 及び第 2 実施形態に関 し、 ガス供給パター ンに対する シリ コ ン窒化膜のエ ッチングレー ト の依存性を示 すグラ フ。
図 3 B は、 第 1 実施形態に関 し、 N H 3 ガス流量に対する シリ コ ン窒化膜のエ ッチングレー トの依存性を示すグラ フ。
図 4 A、 B は、 本発明の第 3 実施形態及びその変更例に係 るガス供給パターンを示すタイ ミ ングチヤ一ト。
図 5 は、 本発明の第 4 実施形態に係るガス供給パター ンを 示すタイ ミ ングチヤ一ト。
図 6 Aは、 第 4 実施形態に関 し、 堆積期間の長 さ に対する シリ コ ン窒化膜のエッチングレー トの依存性を示すグラ フ。
図 6 B は、 第 4実施形態に関 し、 改質期間の長さ に対する シリ コ ン窒化膜のエ ッチングレー トの依存性を示すグラ フ。
図 7 A、 B、 C、 D は、 本発明の第 5 、 第 6 、 第 7 、 及び 第 8 実施形態に係るガス供給パタ ー ンを夫々示すタ イ ミ ング チャー ト。
図 8 は、 第 5 乃至第 8 実施形態に関 し、 ガス供給パタ ー ン に対する シ リ コ ン窒化膜のエ ッチング レー ト の依存性を示す グラ フ。
図 9 は、 第 5 実施形態に関 し、 プロ セス圧力に対する シリ コ ン窒化膜のエ ッチングレー ト の依存性を示すグラ フ。
図 1 0 は、 第 5 実施形態に関 し、 間欠期間圧力に対する シ リ コ ン窒化膜のエッチングレー トの依存性を示すグラフ。
図 1 1 は、 第 5 乃至第 8 実施形態に関 し、 ガス供給パター ン と シ リ コ ン窒化膜の膜厚の面内均一性と の関係を示すダラ フ。
発明を実施するための最良の形態
本発明者等は 、 本発明の開発の過程で、 シ コ ン窒化膜を タ リ ーニングする際のエ ツチング レ一 ト につレ、て研究 した。 その結果、 シ リ コ ン窒化膜中に灰素成分を積極的に含有させ る こ と に よ り 、 ク リ ーユング時のェ ッチング レー ト を小 さ く 抑制する こ と ができ る、 と レヽ ぅ と を兄出 した 。 また、 灰 at 成分の有無に関わ らず、 シ リ コ ン窒化膜のシ V コ ンソースガ スである シラ ン系ガス を間欠的に供給する と に よ り 、 ク リ 一二ング時のェ ッチングレー ト を小さ く 抑制する こ と ができ る、 と い う こ と を見出 した。 なお 、 本発明はヽ 特開 2 0 0 3
- 2 8 2 5 6 6 ( 2 0 0 3 年 1 0 月 3 曰 ) に開示の発明の改 良発明であ Ό 。
以下に本発明の実施の形態につレヽて図面を参照 して説明す る。 なお、 以下の説明においてヽ 略同一の機能及び構成を有 する構成要素については、 同一符号を付 しヽ 重複説明は必要 な場合にのみ行ラ。
図 1 は、 本発明の実施の形態に係る C V D 置を示す靳面 図である。 この C V D装置 2 はヽ シラ ン系ガス (シリ コ ンソ ースガス) ら実質的にな る第 1 ガス と 、 化ガスか ら実質 的になる第 2 ガス と、 炭化水素ガスから実質的になる第 3 ガ ス と 、 を同時に供給し、 シ リ コ ン窒化膜を形成する よ う に構 成される。 一例と して、 例えばへキサク ロ ロ ジシラ ン ( H C D : S i 2 C 1 6 ) と N H 3 を用いてシ リ コ ン窒化膜を堆積 する際に、 炭化水素ガスを供給して膜中に炭素成分を含有さ せる。
図 1 に示すよ う に、 C V D装置 2 は、 筒体状の石英製の内 筒 4 と、 その外側に所定の間隙 1 0 を介 して同心円状に配置 した石英製の外筒 6 と、 よ り なる 2重管構造の処理室 8 を有 する。 処理室 8 の外側は、 加熱ヒ ータ等の加熱手段 1 2 と断 熱材 1 4 と を備えた加熱カバー 1 6 によ り 覆われる。 加熱手 段 1 2 は断熱材 1 4 の内面に全面に亘つて配設される。 なお、 本実施の形態において、 処理室 8 の内筒 4 の内径は 2 4 0 m m程度、 高さは 1 3 O O m m程度の大き さであ り 、 処理室 8 の容積は略 1 1 0 リ ッ トル程度である。
処理室 8 の下端は、 例えばス テ ン レス ス チール製の筒体状 のマ二ホール ド 1 8 によって支持される。 内筒 4 の下端は、 マ -ホール ド 1 8 の内壁よ り 内側へ突出させた リ ング状の支 持板 1 8 Aによ り 支持される。 被処理基板である半導体ゥェ ハ Wを多段に載置した石英製のウェハポー ト 2 0 が、 マニホ 一ル ド 1 8 の下方力 ら、 処理室 8 に対してロー ド Zアンロー ドされる。 本実施の形態の場合において、 ゥエバポー ト 2 0 には、 例えば 1 0 0〜 1 5 0枚程度の直径が 2 0 0 m mのゥ ェハが略等ピッチで多段に支持可能と なる。 なお、 ウェハ W のサイズ及び収容枚数はこれに限定されず、 例えば直径 3 0 O m mのウェハに対しても適用でき る。
ウェハボー ト 2 0 は、 石英製の保温筒 2 2 を介 して回転テ 一プル 2 4上に载置される。 回転テーブル 2 4 は、 マ二ホー ル ド 1 8 の下端開 口部を開閉する蓋部 2 6 を貫通する回転軸 2 8 上に支持される。 回転軸 2 8 の貫通部には、 例えば磁性 流体シール 3 0 が介設され、 回転軸 2 8 が気密にシールされ た状態で回転可能に支持される。 また、 蓋部 2 6 の周辺部と マ二ホール ド 1 8 の下端部には、 例えば O リ ング等よ り なる シール部材 3 2 が介設され、 処理室 8 内のシール性が保持さ れる。
回転軸 2 8 は 、 例えばボー トエ レベータ の昇降機構 3 4 に支持されたァーム 3 6 の先端に取り 付け られる。 昇降機構
3 4 によ り 、 ゥェハボー ト 2 0及び蓋部 2 6 等が一体的に昇 降される。 マ二ホール ド 1 8 の側部には、 内筒 4 と外筒 6 と の間隙 1 0 の底部から処理室 8 内の雰囲気を排出する排気口
3 8 が形成される。 排気口 3 8 には、 真空ポンプ等を介設し た真空排気部 3 9 が接続される。
マ二ホーノレ ド、 1 8 の側部には、 内筒 4 内に所定の処理ガス を供給するための ガス供給部 4 0 が配設される。 具体的には ガス供給部 4 0 は、 シラ ン系ガス供給系 4 2 と、 窒化ガス供 給系 4 4 と、 灰化水素ガス供給系 4 6 と を含む。 各ガス供給 系 4 2、 4 4ヽ 4 6 は、 マ二ホーノレ ド 1 8 の側壁を貫通 して 設け られた直線状のガスノ ズノレ 4 8、 5 0 5 2 を夫々有す る。
各ガス ノ ズノレ 4 8、 5 0、 5 2 にはマス フ ロ ー コ ン ト ロ ー ラの よ Ό な流量制御器 5 4、 5 6、 5 8 を夫々介 ρス しにガス 流路 6 0 、 6 2、 6 4 が夫々接続される。 ガス流路 6 0 、 6
2、 6 4 は、 シラ ン系ガス 、 窒化ガス 、 炭化水素ガスを夫々 流量制御しつつ供給でき る よ う に構成される。 こで、 例え ばシ ラ ン系ガス (シ リ コ ン ソース ガス ) と して H C D ガス 力 S 使用 され 、 窒化ガス と しては N H 3 ガスが使用 され、 そ して、 炭化水 ! ガス と してはエチレン ( C 2 H 4 ) ガスが使用 され またヽ ガス供給部 4 0 は、 パージガスを供給するパーシガ ス供給系 7 2 を含む。 パージガス供給系 7 2 は 、 マス フ P一 コ ン ト ーラのよ う な流量制御器 7 4 を夫々介 HX したガス流 路 7 6 によって、 マ二ホール ド 1 8 の側部に接 miされる 。 パ 一ジガス と しては、 例えば、 N 2 等の不活性ガスが使用 され る。
次に 、 以上のよ Ό にネ冓成された装置を用いて行なわれる本 発明の実施の形態に係る C V D方法について説明する なお、 以下に述べる方法 (ガスの供給及び停止を含む) は 予め C
P U 5 の記 部 5 s に予め記 '慮された、 C V D処理の処理レ シピ、 例えば 形成されるシリ コ ン窒化膜の膜厚に J心 じて行 つ こ とができ る 記 部 5 s にはまた、 処理ガス流 と シリ コ ン窒化膜の膜厚と の関係が予め制御デ一タ と して記 ' され つて 、 C P U 5 は 、 これらの記憶された処理レシピや 制御デ一タ に基づレ、て ガス供給部 4 0等を制御する とが できる
まず 、 c V D装置がゥェハをロー ドして ヽな ヽ 機状態に ある時は、 処理 8 内をプ Π セス温度ヽ 例えば 5 0 0 °c程度 に維持する 一方ヽ 多数枚ヽ 例えば 1 0 0枚のクェノヽ Wを搭 載した常温のゥェノヽボ一 ト 2 0 を、 処理室 8 内にその下方よ り 上昇させて処理室 8 内に π 一 ドする そ してヽ 部 2 6 で マ:^ホ一ノレ ド、 1 8 の下 itfB開 Π部を閉 じる こ と によ り 処理室 8 内を密閉する
次に、 処理室 8 内を真空引さ して所定のプ セス圧力に維 持する と共にヽ クェ ノヽ ¾m. /スを成膜用のプ セス 曰度に上昇さ せる。 温度安定後、 所定のシラ ン系ガスである H C D ガス と、 窒化ガス であるア ンモ ニアガス と 、 炭化水素ガス である エチレンガスを、 夫々流量制御 しつつガス供給部 4 0 の各ノ ズル 4 8 、 5 0 、 5 2 力、ら供給する。 こ の際、 以下に述べる 実施形態に係るガス供給パターンを使用 し、 シ リ コ ン窒化膜 を形成する。
ぐ第 1及び第 2実施形態 >
図 2 A 、 B. 、 Cは、 本発明の第 1及び第 2実施形態及び従 来技術に係るガス供給パターンを夫々示すタイ ミ ングチヤ一 トである。 図 2 A 、 B に示すよ う に、 シラ ン系ガスである H C D ガスは連続的に供給する のではな く 、 供給と供給の停止 と を繰り 返すよ う に して間欠的に供給する。 具体的には、 第 1 実施形態では、 図 2 Aに示すよ う に、 N H 3 ガス と C 2 H 4 ガス と を共に連続的に供給する。 第 2実施形態では、 図 2 B に示すよ う に、 C 2 H 4 ガスは連続的に供給する のに対して、 N H 3 ガスは上記 H C Dガス と 同期させて間欠的に供給する。 第 1 及び第 2実施形態の場合、 H C Dガス と N H 3 ガス と が 同時に供給される 1 回の同時供給期間 (堆積期間) τ 1 にお いて、 ウェハ wの表面に、 灰素の含有されたシ リ コ ン窒化膜 を堆積する。 また、 H C Dガス の供 の停止が行われる 1 回 の間欠期間 (改質期間 ) τ 2 においてヽ 直前に堆積されたシ リ コン窒化膜の改質を行う。
即ち、 堆積期間 T 1 と改質期間 T 2 とが交互に繰り 返され て炭素の含有されたシリ コ ン窒化膜が多層に形成され
こ で、 1 回の堆積期間 T 1 と 1 回の改質期間 T 2 とが 1 サィ クルと して定義され、 堆積すベさ 標とする膜厚に応 じてサ ィ クル数が定め られる 。 プ セス条件と しては、 プロセス '温 度は 6 0 0 °Cで一定であ り 、 プ口セス圧力は同時供給期間 τ
1 では 2 7 P a ( 0 . 2 T o r r ) ヽ 改質期間 T 2では 5 3
2 P a ( 4 T o r r ) である また堆積期間 T 1 は例えば 3
0秒程度で、 改質期間 Τ 2 は例えば 6 0秒程度である。
このよ つ に、 シ V コ ン窒化膜を成膜する際に、 炭化水素ガ ス と して例えば C 2 H ガス を処理容器 8 内へ供給する こ と によ り ヽ クエ ノ、表面に形成されるシリ コ ン窒化膜中に炭素顷 分が含有された状態と なる。 これによ り 、 従来の成膜温度、 例えば 7 6 0 。c程度よ り も低い温度、 例えば 6 0 0 °Cで成膜 したにもか力 わ らず 、 シ リ コ ン窒化膜の表面のタ リ ー二ング 処理時やェッチ ング処理時に用い られる希フ ッ酸に対するェ ッチングレー ト を小さ く でき る。 その結 ^ ヽ タ リ ーニング処 理時にシ コ ン窒化膜が過度に削 り 取られる こ と を防止 して、 この膜厚の制御性を向上させる こ とが可能と なる。 また 、 シ リ ン窒化膜がェッチンダス ト ツパ膜と しての機能も十分に 果すこ とができる よ う になる。
更に、 本第 1 及び第 2実施形態では、 H C D ガスを間欠的 に供給する こ と によ り 、 改質期間 T 2 が設け られる。 各改質 期間 T 2 の直前で成膜されたシリ コ ン窒化膜の表面は、 改質 期間 T 2 で改質されて膜質が向上する。 これによ り 、 シ リ コ ン窒化膜のエッチングレー ト を一層抑制する こ と ができ る。 この改質処理時の原子レベルの作用は次のよ う に考えられる。 即ち、 炭素原子を含有するシ リ コ ン窒化膜の成膜時には、 この薄膜の最表面に H C Dガス中の堆積時に脱離できなかつ たじ 1 原子が活性化状態で結合する。 H C D ガス の供給が停 止される改質期間 T 2 において、 C 2 H 4 ガスや N H 3 ガス 中の C原子や N原子が上記薄膜最表面の C Γ原子と置換され て膜中の C 1 成分が減少 し、 結果的にエッチングレー ト が低 下する。 特に、 C 2 H 4 ガス を用いた場合には、 シ リ コ ン窒 化膜中に取 り 込まれる C原子の量が増加する こ と と なる ので エッチングレー トを一層抑制する こ とが可能となる。
また、 H C D ガス等のガスを間欠的に供給する と、 ア ンモ ニァゃ H C Dゃェタ ン等の各ガスを全て同時に長時間連続的 に流す場合よ り も、 ガス使用量を節約でき る。 更に、 こ の場 合、 膜厚の面間及び面内均一性も向上させる こ と が可能と な る。
[実験 : ガス供給パターン依存性]
次に、 第 1 及ぴ第 2実施形態に関 して、 図 1 図示の C V D 装置 2 を使用 して行った実験について説明する。 こ こ で、 図 2 A、 B に示す第 1 及ぴ第 2実施形態及び図 2 C に示す従来 技術に係るガス供給パターンを使用 してシリ コ ン窒化膜を形 成し、 そのエッチングレー トを評価した。
第 1 及び第 2 実施形態に夫々係る実施例 E 1 1 、 E 2 1 に おいて、 プロ セス温度は 6 0 0 °C、 N H 3 ガスの流量は 6 0 0 s c c m、 H C D ガス の流量は 2 0 s c c m、 C 2 H4 ガ スの流量は 6 0 0 s c c mと した。 図 2 A、 B における堆積 期間 T 1 は 3 0 秒、 改質期間 T 2 は 6 0秒と した。 堆積期間 T 1 のプロセス圧力は 2 7 P a ( 0 . 2 T o r r ) 、 改質期 間 T 2 のプロセス圧力は 5 3 2 P a ( 4 T o r r ) と した。 実施例 E 1 1 及び E 2 1 においては、 このよ う な条件下で、 炭素を含有するシリ コン窒化膜を形成した。
従来技術に係る比較例 C 1 において、 プロセス温度は 6 0 0 °C、 プロ セス圧力は 2 7 P a ( 0 . 2 T o r r ) 、 N H 3 ガスの流量は 6 0 0 s c c m、 H C Dガス の流量は 2 0 s c c m と した。 こ こで、 C 2 H 4 ガスは供給せず、 N H 3 ガス 及-び H C Dガス を連続的に供給した。 比較例 C 1 においては、 このよ う な条件下で、 炭素を含有しないシ リ コ ン窒化膜を形 成した。
この よ う に して形成 した、 実施例 E 1 1 、 E 2 1 、 比較例 C 1 によ る膜をエッチング液に浸漬してエ ッチングした。 ェ ツチング液は 0 . 1 % H F溶液 ( 9 9 . 9 %は H 2 O ) 、 ェ ツチング温度は室温、 エツチング時間は 3 0秒と した。
図 3 Aは、 この実験によって得られた、 実施例 E 1 1 、 E 2 1 、 比較例 C 1 によ る膜のエッチングレー ト を比較 して示 すグラフである。 図 3 Aに示すよ う に、 比較例 C 1 の場合に は、 エッチングレー ト は 3 . 4 1 n m / m i n と非常に大き な値と なった。 これに対して、 第 1 実施形態に係る実施例 E
1 1 の場合は 0 . 9 7 n m/m i n、 第 2 実施形態に係る実 施例 E 2 1 の場合は 0 . 6 0 n m / m i nであつた 。 即ち、 実施例 E 1 1 E 2 1 では、 比較例 C 1 に比 てェッチング レー トをかな り抑制できた。
なお、 実施例 E 1 1 と比較して、 実施例 E 2 1 の ± ·¾县 A口 の方 がよ り エッチングレー ト を抑制できた理由は 次のよ う なも のと考え られる。 即ち、 第 2実施形態に係る実施例 E 2 1 で は、 改質期間 T 2 の時に N H 3 ガス及び H C Dガスの供給を 停止 して C 2 H4 ガスのみを供給する。 この場 Π 改質時の
C 2 H4 ガスの分圧がその分大き く な り 、 シ ン窒化膜の 最表面の C 1 原子と C 2 H 4 ガス の炭素成分と の置換がよ り 効率的に行われる。 その結果、 シ リ コ ン窒化膜中の灰素含有
- 量が増加 してエッチングレー ト を一層抑制する とがでさ る。
[実験 : N H 3 ガス流量依存性]
N H 3 ガス の流量に対するシリ コ ン窒化膜のェ クチング レ ー トの依存性を検討するために、 第 1 実施形 に係るガス供 給パター ンを使用 して追加実験を行った。 こ こで 第 1 実施 形態に係る実施例 E 1 2 と して、 N H 3 ガス の流量を 1 0 0 s c c mに減少させてシリ コ ン窒化膜を形成 し そのェッチ ングレー ト を評価した。 他のプロ セス条件及ぴェ Vチング条 件は図 3 Aに関して述べたものと同じと した。
図 3 B は、 この実験によって得られた、 実施例 E 1 2 によ る膜のエッチングレー ト を、 上記の実施例 E 1 1 ( N H 3 ガ ス の流量が 6 0 0 s c c m ) 、 比較例 C 1 による膜のエ ッチ ングレー ト と比較して示すグラ フである。 図 3 B に示すよ う に、 N H3 ガス の流量を 6 0 0 s c c m (実施例 E l l ) か ら 1 0 0 s c c m (実施例 E l 2 ) に減少させる こ と によ り エッチングレー トは 0 . 9 7 n ra/m i n 力、ら 0 . 7 5 n m Z m i n まで低下 した。 このため、 N H 3 ガス を減少させる のはある程度は有効であるが、 第 2実施形態のガス供給パタ ーン程には有効ではないこ とが判明 した。
[その他の検討事項]
堆積期間 T 1 及び改質期間 T 2 の長さについて検討を行つ た結果、 以下のこ と が判明 した。 即ち、 堆積期間 T 1 の好ま しい範囲は 1 5秒〜 6 0秒、 よ り 好ま しい範囲は 1 5秒〜 3 0秒である。 堆積期間 T 1 力 S 1 5秒よ り も短いと、 シ リ コ ン 窒化膜が十分に堆積しないばかり か、 目標とする膜厚を得る までに長時間を要して しまい、 スループッ ト の上から現実的 ではない。 一方、 堆積期間丁 1 が 6 0秒よ り も長く なる と、 シリ コ ン窒化膜中に取 り 込まれる C 1 元素が多く なってこ の 改質処理を+分に行う こ とができない。
—方、 改質期間 T 2 の長さは、 堆積期間 T 1 の長さ以上の 長さ に設定する こ と が好ま しい。 具体的には、 改質期間 T 2 の好ま しい範囲は 3 0秒〜 1 8 0秒、 よ り 好ま しい範囲は 3 0秒〜 6 0秒である。 改質期間 T 2が 3 0秒よ り も短いと、 N H 3 、 H C D及び C 2 H 4 ガスが十分に除去でき ない、 或 いは改質処理を十分に行う こ と ができない等の問題が生じる 一方、 改質期間 T 2 カ 1 8 0秒よ り も長く なる と、 1 サイ ク ルが長く な り 、 スループッ ト の上から現実的ではない。
更に、 プロセス温度について検討を行つた 口 以下のこ とが判明 した。 プロセス温度の好ま しい範囲は 4 5 0 〜 6 0
0 °Cである。 プ ロ セス温度が 6 0 0 °Cよ り あ高く なる と、 こ のシ リ コ ン窒化膜の成膜に先行してウェハ Wに形成されてい た各種の薄膜に熱的ダメ一ジを与える恐れがめる o 一方、 4
5 0。Cよ り も低いと、 シ リ コ ン窒化膜を熱 C V D によ り 十分 に成膜できなく なって しま う。
ぐ第 3実施形態 >
図 4 A、 Bは、 本発明の第 3 実施形態及びその変更例に係 るガス供給パターンを示すタイ ミ ングチヤ一 トである 。 図 4
Aに示す第 3 実施形態において、 N H 3 ガスを連 的に供給 し、 炭化水素ガス である C 2 H 4 ガスを、 H C Dガス の間欠 供給に同期させて間欠供給する。 図 4 B に示す第 3 実施形態 の変更例において、 N H 3 ガスを連続的に供給 しヽ 灰化水素 ガ ス で あ る C 2 H 4 ガス を、 H C D ガス の間欠供 ロ と逆のサ ィ クルで間欠供給する。 即ち、 図 4 Bではヽ 改質期間 T 2 に
C 2 H 4 ガ ス を供給 し、 堆積期間 T 1 に C ; 2 H 4 ガス の供給 を停止する。
<第 1 乃至第 3実施形態の共通事項 >
第 1 乃至第 3 実施形態において、 炭化水素ガス と して C 2
H 4 (エチレン) が例示される。 この点に関 し、 炭化水素ガ ス と して、 アセ チ レ ン、 エチ レ ン、 メ タ ン、 ェタ ン、 プロ パ ン、 ブタ ンよ り なる群よ り 選択される 1 または 2 以上のガス を用いる こ とができ る。 .例えば、 炭化水素ガス と してエタ ン を用いる場合には、 これを 5 0 ◦ 〜 1 0 0 0 °C程度に予備加 熱した後に処理容器 8 内へ供給するのが好ま しい。
<第 4実施形態 >
第 1 乃至第 3 実施形態にあっては、 エッチングレー ト を抑 制するため、 シ リ コ ン窒化膜の成膜時に、 例えば C 2 H 4 ガ ス ょ り なる炭化水素ガスを流してシリ コン窒化膜中に炭素成 分を含有させる。 この点に関 し、 下記のよ う に、 炭化水素ガ スを流すこ と な く 、 シラン系ガス を間欠供給する こ と によ つ てシ リ コ ン窒化膜を成膜する よ う に して も、 エ ッチング レー ト を抑制する こ とができる。
図 5 は、 本発明の第 4実施形態に係るガス供給パターンを 示すタイ ミ ングチャー トである。 図 5 に示すよ う に、 こ こで は炭素水素ガスは用いておらず、 アンモニアガス を連続的に 供給する と共に、 シラ ン系ガス と して例えば H C Dガス を間 欠的に供給する。 この場合、 両ガスの同時供給期間が堆積期 間 T 1 と な り 、 H C Dガス の供給が停止されて N H 3 ガス の みを流す間欠期間が改質期間 T 2 と なる。 なお、 この よ う な 成膜処理は、 図 1 に示す成膜装置において、 炭化水素ガス供 給系 4 6 を完全に遮断しておけば、 容易に実現する こ と がで き る。
こ の第 4実施形態の場合には、 シ リ コ ン窒化膜中には炭素 原子が含有されない。 しか し、 堆積期間 T 1 で堆積された薄 いシ リ コ ン窒化膜が、 その直後の改質期間 T 2 で N H 3 ガス によ り 改質されて最表面の C 1 元素が N元素によ り 置換され る。 これによ り 、 最終的に形成されるシ リ コ ン窒化膜のエツ チングレー トを抑制するこ とができ る。
[実験 : 堆積期間依存性]
次に、 第 4実施形態に関 して、 図 1 図示の C V D装置 2 を 使用 して行った実験について説明する。 こ こ で、 図 5 に示す 第 4実施形態及び図 2 Cに示す従来技術に係るガス供給パタ ーンを使用 してシリ コ ン窒化膜を形成 し、 そのエ ッチングレ ー ト を評価した。
第 4実施形態に係る実施例 E 4 1 、 E 4 2 、 E 4 3 におい て、 プ ロ セス温度は 6 0 0 °C、 N H3 ガス の流量は 9 0 0 s c c m、 H C Dガス の流量は 3 0 s c c mと した。 図 5 にお ける改質期間 T 2 は 6 0秒で一定と し、 堆積期間 T 1 は実施 例 E 4 1 、 E 4 2 、 E 4 3 において夫々異なる値 6 0秒、 3
0秒、 1 5秒と した。 堆積期間 T 1 のプロセス圧力は 2 7 P a ( ひ . 2 T o r r ) 、 改質期間 T 2 のプロセス圧力は 5 3
2 P a ( 4 T o r r ) と した。
従来技術に係る比較例 C 1 において、 プロセス温度は 6 0 0 °C、 プ ロ セ ス圧力は 2 7 P a ( 0 . 2 T o r r ) 、 N H 3 ガス の流量は 6 0 0 s c c m、 H C Dガス の流量は 2 0 s c c m と した。 こ こで、 C 2 H 4 ガスは供給せず、 N H 3 ガス 及ぴ H C Dガスを連続的に供給した。 即ち、 こ の比較例 C 1 は、 図 3 Aに関して述べた比較例 C 1 と同一のものである。
このよ う に して形成した、 実施例 E 4 1 、 E 4 2、 E 4 3 、 比較例 C 1 によ る膜をエツチング液に浸漬してエツチングし た。 エ ツ チ ング液は 0 . 1 % H F溶液 ( 9 9 . 9 %は H 2 O ) 、 エッチング温度は室温、 エ ツチング時間は 3 0秒と し た。
図 6 Aは、 こ の実験によって得られた、 実施例 E 4 1 、 E 4 2 、 E 4 3 、 比較例 C 1 によ る膜のエッチングレー ト を比 較して示すグラ フである。 図 6 Aに示すよ う に、 比較例 C 1 の場合には、 エッチングレー ト は 3 . 4 1 n m Z m i n と非 常に大きな値と なった。 これに対して、 実施例 E 4 1 (堆積 期間 T 1 力 S 6 0秒) の場合には、 エッチングレー トが 3 . 1 n m / m i n と、 比較例 C 1 よ り も僅かに低下した (少 し効 果が現れた) 。 実施例 E 4 2、 E 4 3 (堆積期間 T 1 が 3 0 秒、 1 5秒) の場合には、 エッチングレー トが夫々 2 . 2 8 n m / m i n 、 及び 1 . 8 n m / m i n と かな り 低下 した
(大きな効果が得られた) 。 従って、 シリ コ ン窒化膜のエツ チ ングレー ト を従来技術の場合よ り も十分に抑制するために は、 堆積期間 τ 1 の長さ を 3 0秒以下に設定するのが好ま し いこ とが判明 した。
[実験 : 改質期間依存性]
改質期間 T 2 の長さ に対するシリ コ ン窒化膜のエッチング レー ト の依存性を検討するために、 第 4実施形態に係るガス 供給パターンを使用 して追加実験を行った。 こ こ で、 第 4実 施形態に係る実施例 E 4 4 と して、 堆積期間 T 1 は 3 0秒、 改質期間 T 2 は 1 8 0秒と した。 他のプロ セ ス条件及ぴエツ チング条件は図 6 Aに関 して述べたものと 同 じと した。
図 6 B は、 こ の実験によって得られた、 実施例 E 4 4 によ る膜のエッチングレー トを、 上記の実施例 E 4 2 (堆積期間 T 1 力 S 3 0秒、 改質期間 T 2 が 6 0秒) 、 比較例 C 1 による 膜のエッチングレー ト と比較して示すグラ フである。 図 6 B に示すよ う に、 実施例 E 4 2 (改質期間 T 2 が 6 0秒) のェ ッチングレー トが 2 . 2 8 n m / m i n であつたのに対して 実施例 E 4 4 (改質期間 T 2 力 S 1 8 0秒) のェクチングレ一 トカ S 2 . 1 6 n m Z m i n であった。 即ちヽ 改質期間 T 2 を
6 0秒から 1 8 0秒に増加させても、 エツチングレ一 ト はそ れ程変化しなかった。
以上の実験結果から、 シ リ コ ン窒化膜のェ クチングレー ト を従来技術よ り も十分に抑制する ためにはヽ 堆積期間 T 1 の 長さ を 3 0 秒以下に設定し、 且つ改質期間 T 2 の長さ を 6 0 秒以上に設定するのが好ま しいこ とが判明 した。
<第 5 乃至第 8実施形態〉
上述の よ う に、 第 1 乃至第 4 実施形態では、 少な く と もシ ラ ン系ガスである H C Dガスを間欠的に供給し、 他のガスで ある ア ンモ ニアガス と炭化水素ガスであるエチレンの内の少 なく と も一方を連続的に供給する。 代わり に、 ア ンモ ニ アガ ス と 、 シラ ン系ガス と 、 炭化水素ガスの全てのガス を夫々 間 欠的に (パルス状に) 供給する よ う に しても よい。 この場合、 第 5 乃至第 8 実施形態に示すよ う に、 ガス供給の 1 サイ クル の中で、 シラ ン系ガス及び炭化水素ガス の少な く と も一方を 最初に供給する。
図 7 A、 B、 C、 Dは、 本発明の第 5 、 第 6 、 第 7 、 及び 第 8 実施形態に係るガス供給パターンを夫々示すタイ ミ ング チヤ一 ト である。
具体的には、 図 7 Aに示す第 5 実施形態では、 3種類の各 ガス の供給時期、 即ち供給のタイ ミ ングは互いに異なったタ イ ミ ングに設定される。 即ち、 まず H C D ガスを供給し、 次 に N H 3 ガ ス を供給 し、 最後に C 2 H 4 ガス を供給する、 と い う 1 サイ ク ルを複数回連続的に行う。 1 回 ( 1 パルス) の ガス の供給期間 T 3 は例えば 1 分程度に設定される。 隣り 合 う他のガス の供給期間との間の間欠期間 T 4 は例えば 0 . 5 分程度に設定される。
従って、 こ の第 5 実施形態の場合には、 1 サイ ク ルの長さ は 4 . 5 分程度である。 上記間欠期間が膜の改質期間 と な り 、 また成膜の全期間に!:つて処理容器内が真空引き されるのは、 先に第 1 乃至第 4実施形態で説明 した内容と 同様である。 上 記間欠期間 (改質期間) には、 上記 3種類の全てのガス の供 給を停止 した状態で真空引きだけ継続する よ う にこ と ができ る。 代わ り に、 上記間欠期間 (改質期間) には、 3種類の全 てのガス の供給を停止する と共に不活性ガス と して例えば N
2 ガス のみを供給した状態で真空引 きだけ継続する こ と がで き る。 こ の点は、 以下の第 6 乃至第 8 実施形態においても同 様である。
図 7 B に示す第 6 実施形態では、 ア ンモニ アガス の供給期 間 と C 2 H 4 ガ ス の供給期間は同 じタイ ミ ングに設定されて 、 同期 して供給される。 即ち、 まず H C D ガス供給 し、 次に N H 3 ガス と C 2 H 4 ガス と を同時に供給する、 と い う 1 サイ ク ルを複数回連続的に行う 。 1 回 ( 1 パルス ) のガス の供給 期間 T 3 は例えば 1 分程度に設定される。 間欠期間 T 4 は例 えば 0 . 5 分程度に設定される。 従って、 第 6 実施形態の場 合には、 1 サイ ク ルの長さは 3分程度である。
図 7 C に示す第 7 実施形態では、 H C D ガス の供給期間と C 2 H 4 ガス の供給期間は同 じタイ ミ ングに設定されて、 同 期 して供給される。 即ち、 まず H C Dガス と C 2 H 4 ガス と を同期させて同時に供給し、 次に N H 3 ガス を供給する、 と い う 1 サイ クルを複数回連続的に行 う。 1 回 ( 1 パルス ) の ガス の供給期間 T 3 は例えば 1 分程度に設定される。 間欠期 間 T 4 は例えば 0 . 5 分程度に設定される。 従って、 第 7実 施形態の場合には、 1 サイ ク ルの長さは 3分程度である。
図 7 Dに示す第 8 実施形態では、 3 種類の各ガス の供給期 間、 即ち供給のタイ ミ ングは互いに異なったタイ ミ ングに設 定される。 まず H C D ガス を供給 し、 次に C 2 H 4 ガス を供 給し、 最後に N H 3 ガスを供給する、 とい う 1 サイ クルを複 数回連続的に行 う。 第 8実施形態では、 C 2 H 4 ガス と N H 3 ガス との供給順序が図 7 Aに示す第 5 実施形態の場合と は逆 と なってい る 。 1 回 ( 1 パルス) のガス の供給期間 T 3 は例 えば 1 分程度に設定される。 隣り 合う 他のガスの供給期間と の間の間欠期間 T 4 は例えば 0 . 5 分程度に設定される。 従 つて、 第 8 実施形態の場合には、 1 サイ クルの長さは 4 . 5 分程度である。
第 5 乃至第 8 実施形態において、 ガス供給の 1 サイ ク ルの 中で最初に H C Dガス を単独で、 或いは C 2 H 4 ガス と 同時 に流し、 その後に N H 3 ガスを流す。 この理由は、 も し、 仮 に N H 3 ガス を先に流すと ウェハ面で耐薬品性の弱い (ェ ッ チ ングされ易い) N— S i 結合が形成されるからである。 こ れを防止するために、 少な く と も先に H C Dガスを流し、 或 いは C 2 H 4 ガス と 同時に流すこ と によ り 、 耐薬品性の強い
(エッチングされ難い) S i — C結合を形成する。 即ち、 シ リ コ ン表面を有する被処理基板に対しては、 Cを含む処理ガ ス を最初に供給し、 シ リ コ ン表面に S i — C結合を形成する こ と によ り 、 これを保護する。
[実験 : ガス供給パターン依存性]
次に、 第 5 乃至第 8実施形態に関 して、 図 1 図示の C V D 装置 2 を使用 して行った実験について説明する。 こ こで、 図 7 A〜 Dに示す第 5 乃至第 8 実施形態及び図 2 C に示す従来 技術に係るガス供給パターンを使用 してシリ コン窒化膜を形 成し、 そのエッチングレー トを評価した。
第 5 乃至第 8 実施形態に夫々係る実施例 E 5 1 、 E 6 1 、 E 7 1 、 E 8 1 において、 プロ セス温度は 5 0 0 °C、 N H 3 ガス の流量は 6 0 0 s c c m、 H C D ガス の流量は 2 0 s c c m、 C 2 H 4 ガス の流量は 6 0 0 s c c m と した。 図 7 A 〜 D における供給期間 T 3 は 3 0秒、 間欠期間 Τ 4 は 6 0秒 と した。 成膜時の実行サイ クル数は 5 0 回 と した。 各ガス供 給時即ち供給期間 Τ 3 のプロセス圧力は 0 . 6 T o r r ( 8 0 P a ) と した。 間欠期間 T 4 では、 全てのガスの供給を停 止 して真空引きだけを行った ( 0 . 0 0 2 〜 0 . 0 0 4 Τ ο r r のベース圧) 。
従来技術に係る比較例 C 2 、 C 3 において、 N H 3 ガスの 流量は 6 0 0 s c c m , H C Dガスの流量は 2 0 s c c mと した。 こ こ で、 C 2 H 4 ガスは供給せず、 N H3 ガス及び H C Dガスを連続的に供給した。 プ ロ セス圧力は比較例 C 2 、 C 3 において夫々異なる値 8 0 P a ( 0 . 6 T o r r ) 、 2
7 P a ( 0 . 2 T o r r ) と した。 プロ セ ス温度は比較例 C
2 、 C 3 において夫々異なる 直 5 0 0 °C、 6 0 0 °Cと した。
·>- のよ う に して形成した、 実施例 E 5 1 、 E 6 1 、 E 7 1
E 8 1 、 比較例 C 2 、 C 3 によ る膜をェクチング液に浸漬し てェッチングした。 エツチング液は 0 . 1 % H F溶液 ( 9 9
9 %は H 2 O ) 、 エ ッチング温度は室温ヽ ェッチング時間は
1分と した。
図 8 はヽ の実験によつ て得られた 、 実施例 E 5 1 、 E 6
1 、 E 7 1 、 E 8 1 、 比較例 C 2 、 C 3 によ る膜のェッチン グレー ト を比較 して示すグラフである 。 図 8 に示すよ う に、 実施例 E 5 1 ヽ E 6 1 、 E 7 1 、 E 8 1 の各ェッチ ングレ一 トは、 夫々 0 6 1 n m / m ί n ヽ 0 . 7 6 n vn / i n 、
0 . 2 5 1Ί m / m i n 、 0 . 4 6 n m / m i 11であつた。 こ れらのィ直はヽ 比較例 C 2 、 C 3 による膜のェ クチングレー ト
1 . 9 6 n m / m i 11 、 1 . 0 4 n m / m i n よ り あかな り 低いものであつた。 即ち 、 実施例 E 5 1 、 E 6 1 、 E 7 1 、 、、
E 8 1 ではヽ 比較例 C 2 、 C 3 に比 て膜質が改善されに o 特に、 第 7 及び第 8 実施形態に係る実施例 E 7 1 、 E 8 1 の エツチングレ一 トは共に 0 . 5 n m / m i n以下であつて非 常に小さ く 、 顕著な膜質の改善が確認された。
従って、 3種類の全てのガスを間欠的にパルス状に供給す る よ う に しても、 シ リ コン窒化膜の表面が改質されて膜質が 向上し、 エ ッチングレー ト を一層抑制でき る こ と が判明 した。 [実験 : プロ セス圧力依存性]
ガス供給時のプロセス圧力に対するシ y ン窒化膜のェッ チング レー ト の依存性を検討するために 第 5 実施形態に係 る ガス供給パタ ー ンを使用 して追加実験を行つ T 。 で、 第 5 実施形態に係る実施例 E 5 2 と して ガス供給時のプ口 セス圧力は 1 . O T o r r ( 1 3 3 P a ) と した。 他のプ口 セス条件及ぴェ チング条件は図 8 に関 して述 た の と 同 じと した。
図 9 は、 こ の実験によつて得られた、 実施例 E 5 2 によ る 膜のェッチングレ ト を、 上記の実施例 E 5 1 (ガス供給時 のプロセ ス圧力が 0 . 6 T o r r ( 8 0 P a ) ) 比較例 c
2 による膜のェッチングレ ト と比較 して示すグラフである。 図 9 に示すよ う に 実施例 E 5 1 (プロ セ ス圧力が 0 . 6 T o r r ) のェ +ッ チング レ 卜 力 S 0 . 6 1 n m / m i n であ つ たのに対 して、 実施例 E 5 2 (プ ロ セ ス圧力が 1 0 T o r r ) のエ ツチングレー トが 0 . 7 3 n m / m 1 n であつた。 従って 、 エッチングレー ト は 、 ガス供給時のプ セス圧力に 対する依存性は少なく こ の圧力を 1 T o r r 以下に g¾疋 し ておれば、 膜質を十分に改善でき る こ とが判明 した。
[実験 : 間欠期間圧力依存性]
間欠期間 T 4 の圧力に対する シリ コ ン窒化膜のエッチング レー ト の依存性を検討するために、 第 5実施形態に係るガス 供給パター ンを使用 して追加実験を行った。 こ こ で、 第 5 実 施形態に係る実施例 E 5 3 と して、 間欠期間 T 4 では、 不活 性ガス である N 2 ガス を供給しつつ真空引 き を行って処理室 内を 0 . 6 T o r r に設定した。 他のプロ セス条件及びエツ チング条件は図 8 に関して述べたものと同 じと した。
図 1 0 は、 こ の実験によって得られた、 実施例 E 5 3 によ る膜のエッチングレー ト を、 上記の実施例 E 5 1 (間欠期間 T 4 で真空引きのみ ( 0 . 0 0 2〜 0 . 0 0 4 T o r r のべ ース圧) 、 比較例 C 2 によ る膜のエッチングレー ト と比較し て示すグラ フである。 図 1 0 に示すよ う に、 実施例 E 5 1 (間欠期間 T 4 で真空引き のみ) のエ ッチングレー ト が 0 .
D 1 n m Z m i nであったのに対 して、 実施例 E 5 3 (間欠 期間 T 4 で N 2 供給及び真空引 き ) のエッチングレー トが 0
D 0 n m m i n であった。 従つ飞 、 間欠期間 τ 4 における 圧力 ( N 2 供給) はエッチングレー ト に影響を与 ないこ と が判明 した。 換言する と、 間欠期間 T 4 には、 単に真空引き のみを行えばよ く 、 不活性ガス ( N 2 ガス) を供糸口する必要 が必ずしもない。
[実験 : 膜厚の面内均一性]
更に、 第 5 乃至第 8 実施形態に係るガス供給パタ一ン と シ リ コ ン窒化膜の膜厚の面内均一性と の関イ系について評価を行 つた。 こ こで、 上記の実施例 E 5 1 、 E 6 1、 E 7 1、 E 8
1 及ぴ比較例 C 2 によ るシリ コ ン窒化膜の膜厚をヽ ウエノヽボ 一 ト の上部、 中央部、 及ぴ下部の各ウェハ位置について測定 した。
図 1 1 は、 こ の実験によ って得られた、 実施例 E 5 1、 E
6 1、 E 7 1、 E 8 1 及び比較例 C 2 によ るシリ ン窒化膜 の膜厚を示すグラフである。 図 1 1 において、 「 T O P」 、 「 C T R」 、 及び 「 B T M」 は、 夫々 ウェハポー トの上部、 中央部、 及び下部の各ウェハ位置を示す。 図 1 1 から明 らか なよ う に、 膜厚面内均一性に関 して、 実施例 E 5 1 、 E 6 1 、 E 7 1 、 E 8 1 の全てにおいて、 比較例 C 2 よ り も良好な結 果 (面内の膜厚差が小さい) が得られた。 なお、 膜厚の面間 均一性についても調べた結果、 実施例 E 5 1 、 E 6 1 、 E 7 1 、 E 8 1 の全てにぉレヽて、 比較例 C 2 よ り も 良好な結果
(面間の膜厚差が小さい) が得られた。
なお、 第 5 乃至第 8 実施形態に関する上記実験において、 シリ コ ン窒化膜のエッチングレー トの評価するため、 エ ッチ ング液と して 0 . 1 % H F溶液 ( 9 9 . 9 %は H 2 O ) を用 いた。 これに代えて、 濃度が高い 1 . 0 % H F溶液 ( 9 9 % は H 2 O ) を用いてシリ コ ン窒化膜のエッチングする実験も 行った。 その結果、 比較例 C 2 (プロ セス温度が 5 0 Ό °C ) によ る膜のエッチングレー ト力 s l 4 . O n m Z m i η であつ たのに対して、 実施例 Ε 5 1 によ る膜のエッチングレー トが 0 . 6 5 n m / m i η と非常に小さいものであった。 即ち、 エッチング液を上記のよ う に代えても第 5 乃至第 8実施形態 が有効である こ とが確認された。 なお、 第 5 乃至第 8 実施形 態における供給期間 Τ 3や間欠期間 Τ 4 は単に一例を示 した に過ぎず、 説明で用いた値に特に限定されない。
<第 1 乃至第 8実施形態の共通事項 >
また、 以上の各実施形態では、 シリ コ ン窒化膜を形成する ため、 シラ ン系ガス と してへキサク ロ ロ ジシラ ン ( H C D ) を用いた場合が例示される。 こ の点に関 し、 シリ コ ン窒化膜 T/JP2004/007311
28 を形成する ためのシラ ン系ガス と しては、 へキサク ロ ロ ジシ ラ ン ( H C D ) 、 モ ノ シラ ン ( S i H 4 ) 、 ジシ ラ ン ( S i n 6 ノ ヽ ジ ク ロ ロ シラ ン ( D C S ) 、 キサェチルァ ミ ノ ジシラ ン 、 へキサ メ チノレジシラ ザン ( H M D S ) ヽ テ ト ラ ク
Π ロ シラ ン ( T C S ) 、 ジシ リ ルァ二 ン ( D S A ) 、 ト リ シ リ ルァ ン ( T S A ) 、 及びビスタ一シャルブチルア ミ ノ シ ラ ン ( B T B A S ) 力 らなる群よ り 選択される 1 または 2 以 上のガスを用いる こ とができる。
また 以上の各実施形態では、 C V D装置と して縦型のバ ツチ式の装置が例示される。 しかし、 本発明は、 横型のバッ チ式の C V D装置、 或いは被処理基板を 1 枚ずつ処理する枚 葉式の C V D装置にも適用する こ とができ る。 た 、 被処理 基板に関 し、 本発明は、 半導体ゥェノヽ以外のガラス基板や L
C D基板等にも適用する こ とができ る。

Claims

請 求 の 範 囲
1 . シ リ コ ン窒化膜を形成する C V D方法であって、 被処理基板を収納 した処理室内を排気しなが ら、 前記処理 室内にシラ ン系ガス と ア ンモニ アガス と を供給し、 C V Dに よ り 前記被処理基板上に前記シリ コン窒化膜を形成する工程 を具備し、 こ こ で、 前記シ リ コ ン窒化膜を形成する工程は、 前記処理室内に前記シ ラ ン系ガスを供給する第 1 期間と、 前 記シラ ン系ガス の供給を停止する第 2期間 と を交互に具備す る。
2 . 請求の範囲 1 に記載の方法において、
前記第 1期間は、 前記第 2期間以下の長さを有する。
3 . 請求の範囲 1 に記載の方法において、,
前記シ リ コ ン窒化膜を形成する工程は、 前記ア ンモニアガ スを、 前記第 1 及び第 2期間に亘つて前記処理室内に連続的 に供給する工程を具備する。
4 . 請求の範囲 1 に記載の方法において、
前記シ リ コ ン窒化膜を形成する工程は、 前記処理室内に前 記ア ンモ ニアガスを供給する第 3 の期間と 、 前記ア ンモニア ガス の供給を停止する第 4 の期間とを交互に具備する。
5 . 請求の範囲 4 に記載の方法において、
前記第 3 の期間は前記第 2期間とオーバーラ ップする。
6 . 請求の範囲 4 に記載の方法において、
前記第 3 の期間は前記第 1 期間とオーバーラ ップする。
7 . 請求の範囲 1 に記載の方法において、
前記シ リ コ ン窒化膜を形成する工程は、 前記処理室内に炭 30 化水素ガスを供給する工程を具備する。
8 . 請求の範囲 7 に記載の方法において、
前記シリ コ ン窒化膜を形成する工程は、 前記炭化水素ガス を、 前記第 1 及び第 2期間に亘つ て前記処理室内に連続的に 供給する工程を具備する。
9 . 請求の範囲 7 に記載の方法において、
前記シ リ コ ン窒化膜を形成する工程は、 前記処理室内に前 記炭化水素ガスを供給する第 5 の期間と、 前記炭化水素ガス の供給を停止する'第 6 の期間と を交互に具備する。
1 0 . 請求の範囲 9 に記載の方法において、
前記第 5 の期間は前記第 2期間とオーバーラ ップする。
1 1 . 請求の範囲 9 に記載の方法において、
前記第 5 の期間は前記第 2期間とオーバーラ ップする。
1 2 . 請求の範囲 7 に記載の方法において、
前記シ リ コ ン窒化膜を形成する工程は、 前記ア ンモ ニ アガ ス を、 前記第 1 及び第 2期間に亘つ て前記処理室内に連続的 に供給する工程を具備する。
1 3 . 請求の範囲 1 2 に記載の方法において、
前記シ リ コ ン窒化膜を形成する工程は、 前記炭化水素ガス を、 前記第 1 及び第 2期間に亘つ て前記処理室内に連続的に 供給する工程を具備する。
1 4 . 請求の範囲 1 2 に記載の方法において、
前記シ リ コ ン窒化膜を形成する工程は、 前記処理室内に前 記炭化水素ガスを供給する第 5 の期間と、 前記炭化水素ガス の供給を停止する第 6 の期間と を交互に具備する。
1 5 . 請求の範囲 1 4 に記載の方法において、
前記第 5 の期間は前記第 2期間とオーバーラ ップする。
1 6 . 請求の範囲 1 4 に記載の方法において、
前記第 5 の期間は前記第 1期間とオーバーラ ップする。
1 7 . 請求の範囲 7 に記載の方法において、
前記シ リ コ ン窒化膜を形成する工程は、 前記処理室内に前 記ア ンモニ アガスを供給する第 3 の期間と、 前記ア ンモニア ガス の供給を停止する第 4 の期間と を交互に具備する。
1 8 . 請求の範囲 1 7 に記載の方法において、
前記第 3 の期間は前記第 2期間とオーバーラ ップする。
1 9 . 請求の範囲 1 7 に記載の方法において、
前記第 3 の期間は前記第 1期間とオーバーラ ップする。
2 0 . 請求の範囲 1 7 に記載の方法において、
前記シ リ コ ン窒化膜を形成する工程は、 前記炭化水素ガス を、 前記第 1 乃至第 4 の期間に亘つて前記処理室内に連続的 に供給する工程を具備する。
2 1 . 請求の範囲 1 7 に記載の方法において、
前記シ リ コ ン窒化膜を形成する工程は、 前記処理室内に前 記炭化水素ガス を供給する第 5 の期間と、 前記炭化水素ガス の供給を停止する第 6 の期間と を交互に具備する。
2 2 . 請求の範囲 2 0 に記載の方法において、
前記第 3 の期間は前記第 2期間とオーバーラ ップする。
2 3 . 請求の範囲 2 2 に記載の方法において、
前記第 3 の期間は前記第 2期間内に含まれる。
2 4 . 請求の範囲 2 2 に記載の方法において、 32 前記第 5 の期間は前記第 2期間とオーバーラ ップする。
2 5 . 請求の範囲 2 2 に記載の方法において、
前記第 5 の期間は前記第 1期間とオーバーラ ップする。
2 6 . 請求の範囲 2 1 に記載の方法において、
前記シ リ コ ン窒化膜を形成する工程は、 前記シ ラ ン系ガス 、 前記ア ンモニア ガス 、 及び前記炭化水素ガス の供給を停止 し、 前記処理室内を真空排気する工程を更に具備する。
2 7 . 請求の範囲 2 6 に記載の方法において、
前記処理室内を真空排気する際、 前記処理室内に不活性ガ スを供給する。
2 8 . 請求の範囲 2 1 に記載の方法において、
前記被処理基板はシ リ コ ン表面を有 し、 前記シ リ コ ン窒化 膜を形成する工程は、 前記シラ ン系ガス及ぴ前記炭化水素ガ ス の少なく と も一方を最初に供給する。
2 9 . 請求の範囲 1 に記載の方法において、
前記シリ コ ン窒化膜を形成する工程において、 処理圧力は 1 3 3 P a ( l T o r r ) 以下に設定される。
3 0 . 請求の範囲 1 に記載の方法において、
前記シリ コン窒化膜を形成する工程において、 処理温度は
4 5 0 〜 6 0 0 °Cの範囲内に設定される。
3 1 . 請求の範囲 1 に記載の方法において、
前記第 丄 期間は、 1 5 〜 6 0秒の範囲内に設定される。
3 2 . 請求の範囲 1 に記載の方法において、
前記第 2期間は、 3 0 〜 1 8 0秒の範囲内に設定される。
3 3 . 請求の範囲 1 に記載の方法において、 刖記シラ ン系ガスは、 へキサク ロ ロ シシラ ン、 モノ シラ ン、 ジシラ ン、 ジク ロ ロ シラ ン、 へキサェチノレア ミ ノ ジシラ ン、 へキサメ チノレジシラザン、 テ ト ラ ク ロ ロ シラ ン、 ジシ ルァ 二 ンヽ ト リ シ リ ノレア ミ ン、 ビス タ ーシャルブチルァ へ ノ シラ ンからなる群から選択される 1 以上のガスである。
3 4 - 請求の範囲 1 に記載の方法において、
前記炭化水素ガス は、 アセチレン、 エチ レン、 メ タ ン 、 ェ タ ン 、 プロ パン、 ブタ ンか らなる群か ら選択される 1 以上の ガスである。
PCT/JP2004/007311 2003-05-26 2004-05-21 シリコン窒化膜を形成するcvd方法 WO2004105115A1 (ja)

Priority Applications (1)

Application Number Priority Date Filing Date Title
US10/558,217 US7462376B2 (en) 2003-05-26 2004-05-21 CVD method for forming silicon nitride film

Applications Claiming Priority (4)

Application Number Priority Date Filing Date Title
JP2003148332 2003-05-26
JP2003-148332 2003-05-26
JP2004-045508 2004-02-20
JP2004045508A JP4403824B2 (ja) 2003-05-26 2004-02-20 シリコン窒化膜の成膜方法

Publications (1)

Publication Number Publication Date
WO2004105115A1 true WO2004105115A1 (ja) 2004-12-02

Family

ID=33479003

Family Applications (1)

Application Number Title Priority Date Filing Date
PCT/JP2004/007311 WO2004105115A1 (ja) 2003-05-26 2004-05-21 シリコン窒化膜を形成するcvd方法

Country Status (5)

Country Link
US (1) US7462376B2 (ja)
JP (1) JP4403824B2 (ja)
KR (2) KR100956468B1 (ja)
TW (1) TWI343951B (ja)
WO (1) WO2004105115A1 (ja)

Cited By (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR100894596B1 (ko) 2001-11-30 2009-04-24 레르 리키드 쏘시에떼 아노님 뿌르 레드 에렉스뿔라따시옹 데 프로세데 조르즈 클로드 헥사키스(모노히드로카르빌아미노)디실란 및 그의 제조 방법
US7964241B2 (en) * 2006-09-01 2011-06-21 Tokyo Electron Limited Film formation method and apparatus for semiconductor process
US8591989B2 (en) 2007-02-16 2013-11-26 Tokyo Electron Limited SiCN film formation method and apparatus
CN107527840A (zh) * 2017-08-18 2017-12-29 大连佳峰自动化股份有限公司 扇出型封装固化、钝化组合装置

Families Citing this family (305)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP4470023B2 (ja) * 2004-08-20 2010-06-02 レール・リキード−ソシエテ・アノニム・プール・レテュード・エ・レクスプロワタシオン・デ・プロセデ・ジョルジュ・クロード シリコン窒化物膜の製造方法
JP4714087B2 (ja) * 2006-06-14 2011-06-29 住友電気工業株式会社 GaN基板の保存方法、および半導体デバイスの製造方法
US8476158B2 (en) 2006-06-14 2013-07-02 Sumitomo Electric Industries, Ltd. Method of preparing and storing GaN substrate, prepared and stored GaN substrate, and semiconductor device and method of its manufacture
KR101336366B1 (ko) * 2006-06-16 2013-12-04 도레이 엔지니어링 가부시키가이샤 실리콘계 박막 및 실리콘계 박막의 형성 방법
JP4994724B2 (ja) * 2006-07-07 2012-08-08 株式会社東芝 成膜装置及び成膜方法
JP4924437B2 (ja) * 2007-02-16 2012-04-25 東京エレクトロン株式会社 成膜方法及び成膜装置
JP5151260B2 (ja) * 2007-06-11 2013-02-27 東京エレクトロン株式会社 成膜方法及び成膜装置
US20090056877A1 (en) * 2007-08-31 2009-03-05 Tokyo Electron Limited Plasma processing apparatus
JP5228437B2 (ja) * 2007-10-19 2013-07-03 東京エレクトロン株式会社 処理装置及びその使用方法
US7732324B2 (en) * 2007-12-20 2010-06-08 Texas Instruments Incorporated Semiconductor device having improved adhesion and reduced blistering between etch stop layer and dielectric layer
JP5226296B2 (ja) * 2007-12-27 2013-07-03 東京エレクトロン株式会社 プラズマエッチング方法、プラズマエッチング装置、制御プログラム及びコンピュータ記憶媒体
JP4935684B2 (ja) * 2008-01-12 2012-05-23 東京エレクトロン株式会社 成膜方法及び成膜装置
JP4935687B2 (ja) * 2008-01-19 2012-05-23 東京エレクトロン株式会社 成膜方法及び成膜装置
JP2009260151A (ja) * 2008-04-18 2009-11-05 Tokyo Electron Ltd 金属ドープ層の形成方法、成膜装置及び記憶媒体
JP5233562B2 (ja) 2008-10-04 2013-07-10 東京エレクトロン株式会社 成膜方法及び成膜装置
US10378106B2 (en) 2008-11-14 2019-08-13 Asm Ip Holding B.V. Method of forming insulation film by modified PEALD
JP5099101B2 (ja) * 2009-01-23 2012-12-12 東京エレクトロン株式会社 プラズマ処理装置
US9394608B2 (en) 2009-04-06 2016-07-19 Asm America, Inc. Semiconductor processing reactor and components thereof
US8802201B2 (en) 2009-08-14 2014-08-12 Asm America, Inc. Systems and methods for thin-film deposition of metal oxides using excited nitrogen-oxygen species
KR101732187B1 (ko) * 2009-09-03 2017-05-02 에이에스엠 저펜 가부시기가이샤 플라즈마 강화된 화학기상 증착법에 의해 규소-질소 결합을 갖는 등각성 유전체 막을 형성하는 방법
US8664102B2 (en) 2010-03-31 2014-03-04 Tokyo Electron Limited Dual sidewall spacer for seam protection of a patterned structure
US8673725B2 (en) * 2010-03-31 2014-03-18 Tokyo Electron Limited Multilayer sidewall spacer for seam protection of a patterned structure
JP5374638B2 (ja) * 2010-04-09 2013-12-25 株式会社日立国際電気 半導体装置の製造方法、基板処理方法および基板処理装置
JP5654862B2 (ja) 2010-04-12 2015-01-14 株式会社日立国際電気 半導体装置の製造方法、基板処理方法及び基板処理装置
US8912353B2 (en) 2010-06-02 2014-12-16 Air Products And Chemicals, Inc. Organoaminosilane precursors and methods for depositing films comprising same
JP5573772B2 (ja) * 2010-06-22 2014-08-20 東京エレクトロン株式会社 成膜方法及び成膜装置
US8771807B2 (en) 2011-05-24 2014-07-08 Air Products And Chemicals, Inc. Organoaminosilane precursors and methods for making and using same
US9312155B2 (en) 2011-06-06 2016-04-12 Asm Japan K.K. High-throughput semiconductor-processing apparatus equipped with multiple dual-chamber modules
US10854498B2 (en) 2011-07-15 2020-12-01 Asm Ip Holding B.V. Wafer-supporting device and method for producing same
US20130023129A1 (en) 2011-07-20 2013-01-24 Asm America, Inc. Pressure transmitter for a semiconductor processing environment
US9017481B1 (en) 2011-10-28 2015-04-28 Asm America, Inc. Process feed management for semiconductor substrate processing
US9659799B2 (en) 2012-08-28 2017-05-23 Asm Ip Holding B.V. Systems and methods for dynamic semiconductor process scheduling
US10714315B2 (en) 2012-10-12 2020-07-14 Asm Ip Holdings B.V. Semiconductor reaction chamber showerhead
US20160376700A1 (en) 2013-02-01 2016-12-29 Asm Ip Holding B.V. System for treatment of deposition reactor
KR102326396B1 (ko) 2013-09-27 2021-11-12 레르 리키드 쏘시에떼 아노님 뿌르 레드 에렉스뿔라따시옹 데 프로세데 조르즈 클로드 아민 치환된 트리실릴아민 및 트리디실릴아민 화합물
JP5847783B2 (ja) 2013-10-21 2016-01-27 株式会社日立国際電気 半導体装置の製造方法、基板処理装置、プログラム及び記録媒体
US10683571B2 (en) 2014-02-25 2020-06-16 Asm Ip Holding B.V. Gas supply manifold and method of supplying gases to chamber using same
US10167557B2 (en) 2014-03-18 2019-01-01 Asm Ip Holding B.V. Gas distribution system, reactor including the system, and methods of using the same
US11015245B2 (en) 2014-03-19 2021-05-25 Asm Ip Holding B.V. Gas-phase reactor and system having exhaust plenum and components thereof
US10858737B2 (en) 2014-07-28 2020-12-08 Asm Ip Holding B.V. Showerhead assembly and components thereof
US9890456B2 (en) 2014-08-21 2018-02-13 Asm Ip Holding B.V. Method and system for in situ formation of gas-phase compounds
US9657845B2 (en) 2014-10-07 2017-05-23 Asm Ip Holding B.V. Variable conductance gas distribution apparatus and method
US10941490B2 (en) 2014-10-07 2021-03-09 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
US9469109B2 (en) * 2014-11-03 2016-10-18 Stmicroelectronics S.R.L. Microfluid delivery device and method for manufacturing the same
CN104498909A (zh) * 2014-12-05 2015-04-08 信利(惠州)智能显示有限公司 一种cvd成膜方法
KR102263121B1 (ko) 2014-12-22 2021-06-09 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 및 그 제조 방법
US10529542B2 (en) 2015-03-11 2020-01-07 Asm Ip Holdings B.V. Cross-flow reactor and method
US10276355B2 (en) 2015-03-12 2019-04-30 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
US9777025B2 (en) 2015-03-30 2017-10-03 L'Air Liquide, Société pour l'Etude et l'Exploitation des Procédés Georges Claude Si-containing film forming precursors and methods of using the same
US10458018B2 (en) 2015-06-26 2019-10-29 Asm Ip Holding B.V. Structures including metal carbide material, devices including the structures, and methods of forming same
US10600673B2 (en) 2015-07-07 2020-03-24 Asm Ip Holding B.V. Magnetic susceptor to baseplate seal
US9960072B2 (en) 2015-09-29 2018-05-01 Asm Ip Holding B.V. Variable adjustment for precise matching of multiple chamber cavity housings
US10211308B2 (en) 2015-10-21 2019-02-19 Asm Ip Holding B.V. NbMC layers
JP2016034043A (ja) * 2015-11-25 2016-03-10 株式会社日立国際電気 半導体装置の製造方法、基板処理装置、プログラムおよび記録媒体
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
US10468251B2 (en) 2016-02-19 2019-11-05 Asm Ip Holding B.V. Method for forming spacers using silicon nitride film for spacer-defined multiple patterning
US10529554B2 (en) 2016-02-19 2020-01-07 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
JP6529927B2 (ja) * 2016-04-15 2019-06-12 株式会社Kokusai Electric 半導体装置の製造方法、基板処理装置、およびプログラム
US10190213B2 (en) 2016-04-21 2019-01-29 Asm Ip Holding B.V. Deposition of metal borides
US10865475B2 (en) 2016-04-21 2020-12-15 Asm Ip Holding B.V. Deposition of metal borides and silicides
US10032628B2 (en) 2016-05-02 2018-07-24 Asm Ip Holding B.V. Source/drain performance through conformal solid state doping
US10367080B2 (en) 2016-05-02 2019-07-30 Asm Ip Holding B.V. Method of forming a germanium oxynitride film
US11453943B2 (en) 2016-05-25 2022-09-27 Asm Ip Holding B.V. Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor
US10388509B2 (en) 2016-06-28 2019-08-20 Asm Ip Holding B.V. Formation of epitaxial layers via dislocation filtering
US9859151B1 (en) 2016-07-08 2018-01-02 Asm Ip Holding B.V. Selective film deposition method to form air gaps
US10612137B2 (en) 2016-07-08 2020-04-07 Asm Ip Holdings B.V. Organic reactants for atomic layer deposition
US10714385B2 (en) 2016-07-19 2020-07-14 Asm Ip Holding B.V. Selective deposition of tungsten
KR102532607B1 (ko) 2016-07-28 2023-05-15 에이에스엠 아이피 홀딩 비.브이. 기판 가공 장치 및 그 동작 방법
US9887082B1 (en) 2016-07-28 2018-02-06 Asm Ip Holding B.V. Method and apparatus for filling a gap
US9812320B1 (en) 2016-07-28 2017-11-07 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10395919B2 (en) 2016-07-28 2019-08-27 Asm Ip Holding B.V. Method and apparatus for filling a gap
KR20180034798A (ko) * 2016-09-28 2018-04-05 삼성전자주식회사 유전막 형성 방법 및 반도체 장치의 제조 방법
US10410943B2 (en) 2016-10-13 2019-09-10 Asm Ip Holding B.V. Method for passivating a surface of a semiconductor and related systems
US10643826B2 (en) 2016-10-26 2020-05-05 Asm Ip Holdings B.V. Methods for thermally calibrating reaction chambers
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
US10435790B2 (en) 2016-11-01 2019-10-08 Asm Ip Holding B.V. Method of subatmospheric plasma-enhanced ALD using capacitively coupled electrodes with narrow gap
US10714350B2 (en) 2016-11-01 2020-07-14 ASM IP Holdings, B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10229833B2 (en) 2016-11-01 2019-03-12 Asm Ip Holding B.V. Methods for forming a transition metal nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10134757B2 (en) 2016-11-07 2018-11-20 Asm Ip Holding B.V. Method of processing a substrate and a device manufactured by using the method
KR102546317B1 (ko) 2016-11-15 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기체 공급 유닛 및 이를 포함하는 기판 처리 장치
US10340135B2 (en) 2016-11-28 2019-07-02 Asm Ip Holding B.V. Method of topologically restricted plasma-enhanced cyclic deposition of silicon or metal nitride
KR20180068582A (ko) 2016-12-14 2018-06-22 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11447861B2 (en) 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
US11581186B2 (en) 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
KR20180070971A (ko) 2016-12-19 2018-06-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10269558B2 (en) 2016-12-22 2019-04-23 Asm Ip Holding B.V. Method of forming a structure on a substrate
US10867788B2 (en) 2016-12-28 2020-12-15 Asm Ip Holding B.V. Method of forming a structure on a substrate
US11390950B2 (en) 2017-01-10 2022-07-19 Asm Ip Holding B.V. Reactor system and method to reduce residue buildup during a film deposition process
US10655221B2 (en) 2017-02-09 2020-05-19 Asm Ip Holding B.V. Method for depositing oxide film by thermal ALD and PEALD
US10468261B2 (en) 2017-02-15 2019-11-05 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
US10529563B2 (en) 2017-03-29 2020-01-07 Asm Ip Holdings B.V. Method for forming doped metal oxide films on a substrate by cyclical deposition and related semiconductor device structures
KR102457289B1 (ko) 2017-04-25 2022-10-21 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10892156B2 (en) 2017-05-08 2021-01-12 Asm Ip Holding B.V. Methods for forming a silicon nitride film on a substrate and related semiconductor device structures
US10770286B2 (en) 2017-05-08 2020-09-08 Asm Ip Holdings B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
US10446393B2 (en) 2017-05-08 2019-10-15 Asm Ip Holding B.V. Methods for forming silicon-containing epitaxial layers and related semiconductor device structures
US10504742B2 (en) 2017-05-31 2019-12-10 Asm Ip Holding B.V. Method of atomic layer etching using hydrogen plasma
US10886123B2 (en) 2017-06-02 2021-01-05 Asm Ip Holding B.V. Methods for forming low temperature semiconductor layers and related semiconductor device structures
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
US10685834B2 (en) 2017-07-05 2020-06-16 Asm Ip Holdings B.V. Methods for forming a silicon germanium tin layer and related semiconductor device structures
KR20190009245A (ko) 2017-07-18 2019-01-28 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 구조물 형성 방법 및 관련된 반도체 소자 구조물
US11018002B2 (en) 2017-07-19 2021-05-25 Asm Ip Holding B.V. Method for selectively depositing a Group IV semiconductor and related semiconductor device structures
US11374112B2 (en) 2017-07-19 2022-06-28 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US10541333B2 (en) 2017-07-19 2020-01-21 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US10590535B2 (en) 2017-07-26 2020-03-17 Asm Ip Holdings B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US10605530B2 (en) 2017-07-26 2020-03-31 Asm Ip Holding B.V. Assembly of a liner and a flange for a vertical furnace as well as the liner and the vertical furnace
US10692741B2 (en) 2017-08-08 2020-06-23 Asm Ip Holdings B.V. Radiation shield
US10770336B2 (en) 2017-08-08 2020-09-08 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11139191B2 (en) 2017-08-09 2021-10-05 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US10249524B2 (en) 2017-08-09 2019-04-02 Asm Ip Holding B.V. Cassette holder assembly for a substrate cassette and holding member for use in such assembly
USD900036S1 (en) 2017-08-24 2020-10-27 Asm Ip Holding B.V. Heater electrical connector and adapter
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
KR102491945B1 (ko) 2017-08-30 2023-01-26 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
US11056344B2 (en) 2017-08-30 2021-07-06 Asm Ip Holding B.V. Layer forming method
US10607895B2 (en) 2017-09-18 2020-03-31 Asm Ip Holdings B.V. Method for forming a semiconductor device structure comprising a gate fill metal
KR102630301B1 (ko) 2017-09-21 2024-01-29 에이에스엠 아이피 홀딩 비.브이. 침투성 재료의 순차 침투 합성 방법 처리 및 이를 이용하여 형성된 구조물 및 장치
US10844484B2 (en) 2017-09-22 2020-11-24 Asm Ip Holding B.V. Apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US10658205B2 (en) 2017-09-28 2020-05-19 Asm Ip Holdings B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
US10403504B2 (en) 2017-10-05 2019-09-03 Asm Ip Holding B.V. Method for selectively depositing a metallic film on a substrate
US10319588B2 (en) 2017-10-10 2019-06-11 Asm Ip Holding B.V. Method for depositing a metal chalcogenide on a substrate by cyclical deposition
US10923344B2 (en) 2017-10-30 2021-02-16 Asm Ip Holding B.V. Methods for forming a semiconductor structure and related semiconductor structures
KR102443047B1 (ko) 2017-11-16 2022-09-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 방법 및 그에 의해 제조된 장치
US10910262B2 (en) 2017-11-16 2021-02-02 Asm Ip Holding B.V. Method of selectively depositing a capping layer structure on a semiconductor device structure
US11022879B2 (en) 2017-11-24 2021-06-01 Asm Ip Holding B.V. Method of forming an enhanced unexposed photoresist layer
JP7214724B2 (ja) 2017-11-27 2023-01-30 エーエスエム アイピー ホールディング ビー.ブイ. バッチ炉で利用されるウェハカセットを収納するための収納装置
US11639811B2 (en) 2017-11-27 2023-05-02 Asm Ip Holding B.V. Apparatus including a clean mini environment
US10872771B2 (en) 2018-01-16 2020-12-22 Asm Ip Holding B. V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
US11482412B2 (en) 2018-01-19 2022-10-25 Asm Ip Holding B.V. Method for depositing a gap-fill layer by plasma-assisted deposition
TW202325889A (zh) 2018-01-19 2023-07-01 荷蘭商Asm 智慧財產控股公司 沈積方法
USD903477S1 (en) 2018-01-24 2020-12-01 Asm Ip Holdings B.V. Metal clamp
US11018047B2 (en) 2018-01-25 2021-05-25 Asm Ip Holding B.V. Hybrid lift pin
US10535516B2 (en) 2018-02-01 2020-01-14 Asm Ip Holdings B.V. Method for depositing a semiconductor structure on a surface of a substrate and related semiconductor structures
USD880437S1 (en) 2018-02-01 2020-04-07 Asm Ip Holding B.V. Gas supply plate for semiconductor manufacturing apparatus
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
CN111699278B (zh) 2018-02-14 2023-05-16 Asm Ip私人控股有限公司 通过循环沉积工艺在衬底上沉积含钌膜的方法
US10896820B2 (en) 2018-02-14 2021-01-19 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
US10731249B2 (en) 2018-02-15 2020-08-04 Asm Ip Holding B.V. Method of forming a transition metal containing film on a substrate by a cyclical deposition process, a method for supplying a transition metal halide compound to a reaction chamber, and related vapor deposition apparatus
KR102636427B1 (ko) 2018-02-20 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 장치
US10658181B2 (en) 2018-02-20 2020-05-19 Asm Ip Holding B.V. Method of spacer-defined direct patterning in semiconductor fabrication
US10975470B2 (en) 2018-02-23 2021-04-13 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
US11629406B2 (en) 2018-03-09 2023-04-18 Asm Ip Holding B.V. Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate
US11114283B2 (en) 2018-03-16 2021-09-07 Asm Ip Holding B.V. Reactor, system including the reactor, and methods of manufacturing and using same
KR102646467B1 (ko) 2018-03-27 2024-03-11 에이에스엠 아이피 홀딩 비.브이. 기판 상에 전극을 형성하는 방법 및 전극을 포함하는 반도체 소자 구조
US11230766B2 (en) 2018-03-29 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
US10510536B2 (en) 2018-03-29 2019-12-17 Asm Ip Holding B.V. Method of depositing a co-doped polysilicon film on a surface of a substrate within a reaction chamber
US11088002B2 (en) 2018-03-29 2021-08-10 Asm Ip Holding B.V. Substrate rack and a substrate processing system and method
KR102501472B1 (ko) 2018-03-30 2023-02-20 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
TW202344708A (zh) 2018-05-08 2023-11-16 荷蘭商Asm Ip私人控股有限公司 藉由循環沉積製程於基板上沉積氧化物膜之方法及相關裝置結構
TW202349473A (zh) 2018-05-11 2023-12-16 荷蘭商Asm Ip私人控股有限公司 用於基板上形成摻雜金屬碳化物薄膜之方法及相關半導體元件結構
KR102596988B1 (ko) 2018-05-28 2023-10-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 그에 의해 제조된 장치
US11270899B2 (en) 2018-06-04 2022-03-08 Asm Ip Holding B.V. Wafer handling chamber with moisture reduction
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
US11286562B2 (en) 2018-06-08 2022-03-29 Asm Ip Holding B.V. Gas-phase chemical reactor and method of using same
KR102568797B1 (ko) 2018-06-21 2023-08-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 시스템
US10797133B2 (en) 2018-06-21 2020-10-06 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
KR20210027265A (ko) 2018-06-27 2021-03-10 에이에스엠 아이피 홀딩 비.브이. 금속 함유 재료를 형성하기 위한 주기적 증착 방법 및 금속 함유 재료를 포함하는 막 및 구조체
CN112292478A (zh) 2018-06-27 2021-01-29 Asm Ip私人控股有限公司 用于形成含金属的材料的循环沉积方法及包含含金属的材料的膜和结构
US10612136B2 (en) 2018-06-29 2020-04-07 ASM IP Holding, B.V. Temperature-controlled flange and reactor system including same
KR20200002519A (ko) 2018-06-29 2020-01-08 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10755922B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10388513B1 (en) 2018-07-03 2019-08-20 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10767789B2 (en) 2018-07-16 2020-09-08 Asm Ip Holding B.V. Diaphragm valves, valve components, and methods for forming valve components
US10483099B1 (en) 2018-07-26 2019-11-19 Asm Ip Holding B.V. Method for forming thermally stable organosilicon polymer film
US11053591B2 (en) 2018-08-06 2021-07-06 Asm Ip Holding B.V. Multi-port gas injection system and reactor system including same
US10883175B2 (en) 2018-08-09 2021-01-05 Asm Ip Holding B.V. Vertical furnace for processing substrates and a liner for use therein
US10829852B2 (en) 2018-08-16 2020-11-10 Asm Ip Holding B.V. Gas distribution device for a wafer processing apparatus
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
KR20200030162A (ko) 2018-09-11 2020-03-20 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
US11024523B2 (en) 2018-09-11 2021-06-01 Asm Ip Holding B.V. Substrate processing apparatus and method
US11049751B2 (en) 2018-09-14 2021-06-29 Asm Ip Holding B.V. Cassette supply system to store and handle cassettes and processing apparatus equipped therewith
CN110970344A (zh) 2018-10-01 2020-04-07 Asm Ip控股有限公司 衬底保持设备、包含所述设备的系统及其使用方法
US11232963B2 (en) 2018-10-03 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102592699B1 (ko) 2018-10-08 2023-10-23 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 박막 증착 장치와 기판 처리 장치
US10847365B2 (en) 2018-10-11 2020-11-24 Asm Ip Holding B.V. Method of forming conformal silicon carbide film by cyclic CVD
US10811256B2 (en) 2018-10-16 2020-10-20 Asm Ip Holding B.V. Method for etching a carbon-containing feature
KR102546322B1 (ko) 2018-10-19 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
KR102605121B1 (ko) 2018-10-19 2023-11-23 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
USD948463S1 (en) 2018-10-24 2022-04-12 Asm Ip Holding B.V. Susceptor for semiconductor substrate supporting apparatus
US10381219B1 (en) 2018-10-25 2019-08-13 Asm Ip Holding B.V. Methods for forming a silicon nitride film
US11087997B2 (en) 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
KR20200051105A (ko) 2018-11-02 2020-05-13 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 기판 처리 장치
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US11031242B2 (en) 2018-11-07 2021-06-08 Asm Ip Holding B.V. Methods for depositing a boron doped silicon germanium film
US10818758B2 (en) 2018-11-16 2020-10-27 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US10847366B2 (en) 2018-11-16 2020-11-24 Asm Ip Holding B.V. Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process
US10559458B1 (en) 2018-11-26 2020-02-11 Asm Ip Holding B.V. Method of forming oxynitride film
US11217444B2 (en) 2018-11-30 2022-01-04 Asm Ip Holding B.V. Method for forming an ultraviolet radiation responsive metal oxide-containing film
KR102636428B1 (ko) 2018-12-04 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치를 세정하는 방법
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
TW202037745A (zh) 2018-12-14 2020-10-16 荷蘭商Asm Ip私人控股有限公司 形成裝置結構之方法、其所形成之結構及施行其之系統
TWI819180B (zh) 2019-01-17 2023-10-21 荷蘭商Asm 智慧財產控股公司 藉由循環沈積製程於基板上形成含過渡金屬膜之方法
KR20200091543A (ko) 2019-01-22 2020-07-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
CN111524788B (zh) 2019-02-01 2023-11-24 Asm Ip私人控股有限公司 氧化硅的拓扑选择性膜形成的方法
US11482533B2 (en) 2019-02-20 2022-10-25 Asm Ip Holding B.V. Apparatus and methods for plug fill deposition in 3-D NAND applications
KR102626263B1 (ko) 2019-02-20 2024-01-16 에이에스엠 아이피 홀딩 비.브이. 처리 단계를 포함하는 주기적 증착 방법 및 이를 위한 장치
TW202104632A (zh) 2019-02-20 2021-02-01 荷蘭商Asm Ip私人控股有限公司 用來填充形成於基材表面內之凹部的循環沉積方法及設備
KR102638425B1 (ko) 2019-02-20 2024-02-21 에이에스엠 아이피 홀딩 비.브이. 기판 표면 내에 형성된 오목부를 충진하기 위한 방법 및 장치
TW202100794A (zh) 2019-02-22 2021-01-01 荷蘭商Asm Ip私人控股有限公司 基材處理設備及處理基材之方法
KR20200108243A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOC 층을 포함한 구조체 및 이의 형성 방법
KR20200108248A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOCN 층을 포함한 구조체 및 이의 형성 방법
KR20200108242A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. 실리콘 질화물 층을 선택적으로 증착하는 방법, 및 선택적으로 증착된 실리콘 질화물 층을 포함하는 구조체
JP2020167398A (ja) 2019-03-28 2020-10-08 エーエスエム・アイピー・ホールディング・ベー・フェー ドアオープナーおよびドアオープナーが提供される基材処理装置
KR20200116855A (ko) 2019-04-01 2020-10-13 에이에스엠 아이피 홀딩 비.브이. 반도체 소자를 제조하는 방법
KR20200123380A (ko) 2019-04-19 2020-10-29 에이에스엠 아이피 홀딩 비.브이. 층 형성 방법 및 장치
KR20200125453A (ko) 2019-04-24 2020-11-04 에이에스엠 아이피 홀딩 비.브이. 기상 반응기 시스템 및 이를 사용하는 방법
KR20200130118A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 비정질 탄소 중합체 막을 개질하는 방법
KR20200130121A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 딥 튜브가 있는 화학물질 공급원 용기
KR20200130652A (ko) 2019-05-10 2020-11-19 에이에스엠 아이피 홀딩 비.브이. 표면 상에 재료를 증착하는 방법 및 본 방법에 따라 형성된 구조
JP2020188255A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
USD935572S1 (en) 2019-05-24 2021-11-09 Asm Ip Holding B.V. Gas channel plate
USD922229S1 (en) 2019-06-05 2021-06-15 Asm Ip Holding B.V. Device for controlling a temperature of a gas supply unit
KR20200141002A (ko) 2019-06-06 2020-12-17 에이에스엠 아이피 홀딩 비.브이. 배기 가스 분석을 포함한 기상 반응기 시스템을 사용하는 방법
KR20200143254A (ko) 2019-06-11 2020-12-23 에이에스엠 아이피 홀딩 비.브이. 개질 가스를 사용하여 전자 구조를 형성하는 방법, 상기 방법을 수행하기 위한 시스템, 및 상기 방법을 사용하여 형성되는 구조
USD944946S1 (en) 2019-06-14 2022-03-01 Asm Ip Holding B.V. Shower plate
USD931978S1 (en) 2019-06-27 2021-09-28 Asm Ip Holding B.V. Showerhead vacuum transport
KR20210005515A (ko) 2019-07-03 2021-01-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치용 온도 제어 조립체 및 이를 사용하는 방법
JP2021015791A (ja) 2019-07-09 2021-02-12 エーエスエム アイピー ホールディング ビー.ブイ. 同軸導波管を用いたプラズマ装置、基板処理方法
CN112216646A (zh) 2019-07-10 2021-01-12 Asm Ip私人控股有限公司 基板支撑组件及包括其的基板处理装置
KR20210010307A (ko) 2019-07-16 2021-01-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210010816A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 라디칼 보조 점화 플라즈마 시스템 및 방법
KR20210010820A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 실리콘 게르마늄 구조를 형성하는 방법
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
CN112242296A (zh) 2019-07-19 2021-01-19 Asm Ip私人控股有限公司 形成拓扑受控的无定形碳聚合物膜的方法
TW202113936A (zh) 2019-07-29 2021-04-01 荷蘭商Asm Ip私人控股有限公司 用於利用n型摻雜物及/或替代摻雜物選擇性沉積以達成高摻雜物併入之方法
CN112309900A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
CN112309899A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
KR20210018759A (ko) 2019-08-05 2021-02-18 에이에스엠 아이피 홀딩 비.브이. 화학물질 공급원 용기를 위한 액체 레벨 센서
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
JP2021031769A (ja) 2019-08-21 2021-03-01 エーエスエム アイピー ホールディング ビー.ブイ. 成膜原料混合ガス生成装置及び成膜装置
KR20210024423A (ko) 2019-08-22 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 홀을 구비한 구조체를 형성하기 위한 방법
USD949319S1 (en) 2019-08-22 2022-04-19 Asm Ip Holding B.V. Exhaust duct
USD930782S1 (en) 2019-08-22 2021-09-14 Asm Ip Holding B.V. Gas distributor
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
USD940837S1 (en) 2019-08-22 2022-01-11 Asm Ip Holding B.V. Electrode
KR20210024420A (ko) 2019-08-23 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 비스(디에틸아미노)실란을 사용하여 peald에 의해 개선된 품질을 갖는 실리콘 산화물 막을 증착하기 위한 방법
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
KR20210029090A (ko) 2019-09-04 2021-03-15 에이에스엠 아이피 홀딩 비.브이. 희생 캡핑 층을 이용한 선택적 증착 방법
KR20210029663A (ko) 2019-09-05 2021-03-16 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
CN112593212B (zh) 2019-10-02 2023-12-22 Asm Ip私人控股有限公司 通过循环等离子体增强沉积工艺形成拓扑选择性氧化硅膜的方法
TW202129060A (zh) 2019-10-08 2021-08-01 荷蘭商Asm Ip控股公司 基板處理裝置、及基板處理方法
TW202115273A (zh) 2019-10-10 2021-04-16 荷蘭商Asm Ip私人控股有限公司 形成光阻底層之方法及包括光阻底層之結構
KR20210045930A (ko) 2019-10-16 2021-04-27 에이에스엠 아이피 홀딩 비.브이. 실리콘 산화물의 토폴로지-선택적 막의 형성 방법
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
KR20210047808A (ko) 2019-10-21 2021-04-30 에이에스엠 아이피 홀딩 비.브이. 막을 선택적으로 에칭하기 위한 장치 및 방법
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
KR20210054983A (ko) 2019-11-05 2021-05-14 에이에스엠 아이피 홀딩 비.브이. 도핑된 반도체 층을 갖는 구조체 및 이를 형성하기 위한 방법 및 시스템
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
KR20210062561A (ko) 2019-11-20 2021-05-31 에이에스엠 아이피 홀딩 비.브이. 기판의 표면 상에 탄소 함유 물질을 증착하는 방법, 상기 방법을 사용하여 형성된 구조물, 및 상기 구조물을 형성하기 위한 시스템
US11450529B2 (en) 2019-11-26 2022-09-20 Asm Ip Holding B.V. Methods for selectively forming a target film on a substrate comprising a first dielectric surface and a second metallic surface
CN112951697A (zh) 2019-11-26 2021-06-11 Asm Ip私人控股有限公司 基板处理设备
CN112885692A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
CN112885693A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
JP2021090042A (ja) 2019-12-02 2021-06-10 エーエスエム アイピー ホールディング ビー.ブイ. 基板処理装置、基板処理方法
KR20210070898A (ko) 2019-12-04 2021-06-15 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
CN112992667A (zh) 2019-12-17 2021-06-18 Asm Ip私人控股有限公司 形成氮化钒层的方法和包括氮化钒层的结构
US11527403B2 (en) 2019-12-19 2022-12-13 Asm Ip Holding B.V. Methods for filling a gap feature on a substrate surface and related semiconductor structures
KR20210095050A (ko) 2020-01-20 2021-07-30 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법 및 박막 표면 개질 방법
TW202130846A (zh) 2020-02-03 2021-08-16 荷蘭商Asm Ip私人控股有限公司 形成包括釩或銦層的結構之方法
KR20210100010A (ko) 2020-02-04 2021-08-13 에이에스엠 아이피 홀딩 비.브이. 대형 물품의 투과율 측정을 위한 방법 및 장치
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
TW202146715A (zh) 2020-02-17 2021-12-16 荷蘭商Asm Ip私人控股有限公司 用於生長磷摻雜矽層之方法及其系統
KR20210116249A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 록아웃 태그아웃 어셈블리 및 시스템 그리고 이의 사용 방법
KR20210116240A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 조절성 접합부를 갖는 기판 핸들링 장치
CN113394086A (zh) 2020-03-12 2021-09-14 Asm Ip私人控股有限公司 用于制造具有目标拓扑轮廓的层结构的方法
KR20210124042A (ko) 2020-04-02 2021-10-14 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법
TW202146689A (zh) 2020-04-03 2021-12-16 荷蘭商Asm Ip控股公司 阻障層形成方法及半導體裝置的製造方法
TW202145344A (zh) 2020-04-08 2021-12-01 荷蘭商Asm Ip私人控股有限公司 用於選擇性蝕刻氧化矽膜之設備及方法
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
TW202146831A (zh) 2020-04-24 2021-12-16 荷蘭商Asm Ip私人控股有限公司 垂直批式熔爐總成、及用於冷卻垂直批式熔爐之方法
KR20210132600A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐, 질소 및 추가 원소를 포함한 층을 증착하기 위한 방법 및 시스템
KR20210132576A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐 나이트라이드 함유 층을 형성하는 방법 및 이를 포함하는 구조
KR20210134226A (ko) 2020-04-29 2021-11-09 에이에스엠 아이피 홀딩 비.브이. 고체 소스 전구체 용기
KR20210134869A (ko) 2020-05-01 2021-11-11 에이에스엠 아이피 홀딩 비.브이. Foup 핸들러를 이용한 foup의 빠른 교환
KR20210141379A (ko) 2020-05-13 2021-11-23 에이에스엠 아이피 홀딩 비.브이. 반응기 시스템용 레이저 정렬 고정구
KR20210143653A (ko) 2020-05-19 2021-11-29 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210145078A (ko) 2020-05-21 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 다수의 탄소 층을 포함한 구조체 및 이를 형성하고 사용하는 방법
TW202201602A (zh) 2020-05-29 2022-01-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202218133A (zh) 2020-06-24 2022-05-01 荷蘭商Asm Ip私人控股有限公司 形成含矽層之方法
TW202217953A (zh) 2020-06-30 2022-05-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
KR20220010438A (ko) 2020-07-17 2022-01-25 에이에스엠 아이피 홀딩 비.브이. 포토리소그래피에 사용하기 위한 구조체 및 방법
TW202204662A (zh) 2020-07-20 2022-02-01 荷蘭商Asm Ip私人控股有限公司 用於沉積鉬層之方法及系統
US11725280B2 (en) 2020-08-26 2023-08-15 Asm Ip Holding B.V. Method for forming metal silicon oxide and metal silicon oxynitride layers
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
TW202229613A (zh) 2020-10-14 2022-08-01 荷蘭商Asm Ip私人控股有限公司 於階梯式結構上沉積材料的方法
TW202217037A (zh) 2020-10-22 2022-05-01 荷蘭商Asm Ip私人控股有限公司 沉積釩金屬的方法、結構、裝置及沉積總成
TW202223136A (zh) 2020-10-28 2022-06-16 荷蘭商Asm Ip私人控股有限公司 用於在基板上形成層之方法、及半導體處理系統
TW202235675A (zh) 2020-11-30 2022-09-16 荷蘭商Asm Ip私人控股有限公司 注入器、及基板處理設備
US11946137B2 (en) 2020-12-16 2024-04-02 Asm Ip Holding B.V. Runout and wobble measurement fixtures
TW202231903A (zh) 2020-12-22 2022-08-16 荷蘭商Asm Ip私人控股有限公司 過渡金屬沉積方法、過渡金屬層、用於沉積過渡金屬於基板上的沉積總成
JP2022115397A (ja) 2021-01-28 2022-08-09 東京エレクトロン株式会社 成膜方法及び処理装置
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
USD1023959S1 (en) 2021-05-11 2024-04-23 Asm Ip Holding B.V. Electrode for substrate processing apparatus
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate

Citations (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH01195277A (ja) * 1988-01-28 1989-08-07 Fujitsu Ltd 薄膜の形成方法
JPH0293071A (ja) * 1988-09-29 1990-04-03 Toshiba Corp 薄膜の形成方法
JPH0442931A (ja) * 1990-06-06 1992-02-13 Fujitsu Ltd 半導体装置の製造方法
JPH06275608A (ja) * 1993-03-18 1994-09-30 Tokyo Electron Ltd 成膜方法
JP2001168092A (ja) * 1999-01-08 2001-06-22 Toshiba Corp 半導体装置およびその製造方法
JP2002305242A (ja) * 2001-04-05 2002-10-18 Canon Sales Co Inc 半導体装置の製造方法

Family Cites Families (21)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
DE2557079C2 (de) * 1975-12-18 1984-05-24 Ibm Deutschland Gmbh, 7000 Stuttgart Verfahren zum Herstellen einer Maskierungsschicht
US4158717A (en) * 1977-02-14 1979-06-19 Varian Associates, Inc. Silicon nitride film and method of deposition
US4395438A (en) * 1980-09-08 1983-07-26 Amdahl Corporation Low pressure chemical vapor deposition of silicon nitride films
JPS61117841A (ja) * 1984-11-14 1986-06-05 Hitachi Ltd シリコン窒化膜の形成方法
US5052339A (en) * 1990-10-16 1991-10-01 Air Products And Chemicals, Inc. Radio frequency plasma enhanced chemical vapor deposition process and reactor
US5932286A (en) * 1993-03-16 1999-08-03 Applied Materials, Inc. Deposition of silicon nitride thin films
EP0653501B1 (en) * 1993-11-11 1998-02-04 Nissin Electric Company, Limited Plasma-CVD method and apparatus
US6228453B1 (en) * 1995-06-07 2001-05-08 Lanxide Technology Company, Lp Composite materials comprising two jonal functions and methods for making the same
US5935873A (en) * 1997-09-29 1999-08-10 Siemens Aktiengesellschaft Deposition of carbon into nitride layer for improved selectivity of oxide to nitride etchrate for self aligned contact etching
US5874368A (en) * 1997-10-02 1999-02-23 Air Products And Chemicals, Inc. Silicon nitride from bis(tertiarybutylamino)silane
KR20000013654A (ko) * 1998-08-12 2000-03-06 윤종용 원자층 증착 방법으로 형성한 알루미나/알루미늄나이트라이드복합 유전체막을 갖는 캐패시터와 그제조 방법
US6504233B1 (en) * 1999-06-28 2003-01-07 General Electric Company Semiconductor processing component
US6482733B2 (en) * 2000-05-15 2002-11-19 Asm Microchemistry Oy Protective layers prior to alternating layer deposition
US6844273B2 (en) * 2001-02-07 2005-01-18 Tokyo Electron Limited Precleaning method of precleaning a silicon nitride film forming system
US6391803B1 (en) * 2001-06-20 2002-05-21 Samsung Electronics Co., Ltd. Method of forming silicon containing thin films by atomic layer deposition utilizing trisdimethylaminosilane
US6638879B2 (en) * 2001-12-06 2003-10-28 Macronix International Co., Ltd. Method for forming nitride spacer by using atomic layer deposition
JP4382750B2 (ja) * 2003-01-24 2009-12-16 東京エレクトロン株式会社 被処理基板上にシリコン窒化膜を形成するcvd方法
US20060019032A1 (en) * 2004-07-23 2006-01-26 Yaxin Wang Low thermal budget silicon nitride formation for advance transistor fabrication
US20060182885A1 (en) * 2005-02-14 2006-08-17 Xinjian Lei Preparation of metal silicon nitride films via cyclic deposition
JP4258518B2 (ja) * 2005-03-09 2009-04-30 東京エレクトロン株式会社 成膜方法、成膜装置及び記憶媒体
JP4929932B2 (ja) * 2006-09-01 2012-05-09 東京エレクトロン株式会社 成膜方法、成膜装置及び記憶媒体

Patent Citations (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH01195277A (ja) * 1988-01-28 1989-08-07 Fujitsu Ltd 薄膜の形成方法
JPH0293071A (ja) * 1988-09-29 1990-04-03 Toshiba Corp 薄膜の形成方法
JPH0442931A (ja) * 1990-06-06 1992-02-13 Fujitsu Ltd 半導体装置の製造方法
JPH06275608A (ja) * 1993-03-18 1994-09-30 Tokyo Electron Ltd 成膜方法
JP2001168092A (ja) * 1999-01-08 2001-06-22 Toshiba Corp 半導体装置およびその製造方法
JP2002305242A (ja) * 2001-04-05 2002-10-18 Canon Sales Co Inc 半導体装置の製造方法

Cited By (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR100894596B1 (ko) 2001-11-30 2009-04-24 레르 리키드 쏘시에떼 아노님 뿌르 레드 에렉스뿔라따시옹 데 프로세데 조르즈 클로드 헥사키스(모노히드로카르빌아미노)디실란 및 그의 제조 방법
US7964241B2 (en) * 2006-09-01 2011-06-21 Tokyo Electron Limited Film formation method and apparatus for semiconductor process
US8591989B2 (en) 2007-02-16 2013-11-26 Tokyo Electron Limited SiCN film formation method and apparatus
CN107527840A (zh) * 2017-08-18 2017-12-29 大连佳峰自动化股份有限公司 扇出型封装固化、钝化组合装置
CN107527840B (zh) * 2017-08-18 2020-06-02 大连佳峰自动化股份有限公司 扇出型封装固化、钝化组合装置

Also Published As

Publication number Publication date
US7462376B2 (en) 2008-12-09
TWI343951B (en) 2011-06-21
KR20060015708A (ko) 2006-02-20
KR20090037984A (ko) 2009-04-16
JP2005012168A (ja) 2005-01-13
JP4403824B2 (ja) 2010-01-27
KR100956468B1 (ko) 2010-05-07
US20060286817A1 (en) 2006-12-21
TW200500485A (en) 2005-01-01

Similar Documents

Publication Publication Date Title
WO2004105115A1 (ja) シリコン窒化膜を形成するcvd方法
KR101141870B1 (ko) 반도체 처리용 성막 방법 및 성막 장치
KR101140069B1 (ko) 반도체 처리용의 성막 방법 및 장치 및 컴퓨터로 판독가능한 매체
KR101323088B1 (ko) 반도체 장치의 제조 방법, 클리닝 방법 및 기판 처리 장치
KR101503725B1 (ko) 성막 방법 및 성막 장치
JP6671262B2 (ja) 窒化膜の形成方法および形成装置
KR101086588B1 (ko) 반도체 처리용의 성막 방법 및 장치와, 컴퓨터에서 판독가능한 매체
US9005459B2 (en) Film deposition method and film deposition apparatus
US7351668B2 (en) Film formation method and apparatus for semiconductor process
US9390912B2 (en) Film forming method
JP6086942B2 (ja) 半導体装置の製造方法、基板処理装置およびプログラム
WO2004066377A1 (ja) 被処理基板上にシリコン窒化膜を形成するcvd方法
JP2008227460A (ja) 成膜方法及び成膜装置
KR20060050163A (ko) 실리콘 산화막 형성 방법 및 장치
US20090117743A1 (en) Film formation apparatus and method for using same
US10074535B2 (en) Method of manufacturing semiconductor device, substrate processing apparatus, and recording medium
KR102288228B1 (ko) 반도체 장치의 제조 방법, 기판 처리 장치 및 프로그램
WO2016140166A1 (ja) クリーニング方法、半導体装置の製造方法、基板処理装置および記録媒体
US10854449B2 (en) Method and apparatus for forming silicon film
JP3915697B2 (ja) 成膜方法及び成膜装置
TWI831204B (zh) 半導體裝置之製造方法、基板處理方法、基板處理裝置及程式
US11965240B2 (en) Cleaning method, method of manufacturing semiconductor device, and substrate processing apparatus
TW202338990A (zh) 基板處理裝置、基板處理方法、半導體裝置之製造方法及程式

Legal Events

Date Code Title Description
AK Designated states

Kind code of ref document: A1

Designated state(s): AE AG AL AM AT AU AZ BA BB BG BR BW BY BZ CA CH CN CO CR CU CZ DE DK DM DZ EC EE EG ES FI GB GD GE GH GM HR HU ID IL IN IS KE KG KP KR KZ LC LK LR LS LT LU LV MA MD MG MK MN MW MX MZ NA NI NO NZ OM PG PH PL PT RO RU SC SD SE SG SK SL SY TJ TM TN TR TT TZ UA UG US UZ VC VN YU ZA ZM ZW

AL Designated countries for regional patents

Kind code of ref document: A1

Designated state(s): BW GH GM KE LS MW MZ NA SD SL SZ TZ UG ZM ZW AM AZ BY KG KZ MD RU TJ TM AT BE BG CH CY CZ DE DK EE ES FI FR GB GR HU IE IT LU MC NL PL PT RO SE SI SK TR BF BJ CF CG CI CM GA GN GQ GW ML MR NE SN TD TG

121 Ep: the epo has been informed by wipo that ep was designated in this application
WWE Wipo information: entry into national phase

Ref document number: 1020057001829

Country of ref document: KR

WWE Wipo information: entry into national phase

Ref document number: 2006286817

Country of ref document: US

Ref document number: 10558217

Country of ref document: US

WWP Wipo information: published in national office

Ref document number: 1020057001829

Country of ref document: KR

122 Ep: pct application non-entry in european phase
WWP Wipo information: published in national office

Ref document number: 10558217

Country of ref document: US