KR20060050163A - 실리콘 산화막 형성 방법 및 장치 - Google Patents

실리콘 산화막 형성 방법 및 장치 Download PDF

Info

Publication number
KR20060050163A
KR20060050163A KR1020050063650A KR20050063650A KR20060050163A KR 20060050163 A KR20060050163 A KR 20060050163A KR 1020050063650 A KR1020050063650 A KR 1020050063650A KR 20050063650 A KR20050063650 A KR 20050063650A KR 20060050163 A KR20060050163 A KR 20060050163A
Authority
KR
South Korea
Prior art keywords
gas
processing region
oxide film
silicon oxide
oxidizing gas
Prior art date
Application number
KR1020050063650A
Other languages
English (en)
Other versions
KR100861851B1 (ko
Inventor
가즈히데 하세베
Original Assignee
도쿄 엘렉트론 가부시키가이샤
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 도쿄 엘렉트론 가부시키가이샤 filed Critical 도쿄 엘렉트론 가부시키가이샤
Publication of KR20060050163A publication Critical patent/KR20060050163A/ko
Application granted granted Critical
Publication of KR100861851B1 publication Critical patent/KR100861851B1/ko

Links

Images

Classifications

    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/40Oxides
    • C23C16/401Oxides containing silicon
    • C23C16/402Silicon dioxide
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • CCHEMISTRY; METALLURGY
    • C03GLASS; MINERAL OR SLAG WOOL
    • C03CCHEMICAL COMPOSITION OF GLASSES, GLAZES OR VITREOUS ENAMELS; SURFACE TREATMENT OF GLASS; SURFACE TREATMENT OF FIBRES OR FILAMENTS MADE FROM GLASS, MINERALS OR SLAGS; JOINING GLASS TO GLASS OR OTHER MATERIALS
    • C03C17/00Surface treatment of glass, not in the form of fibres or filaments, by coating
    • C03C17/22Surface treatment of glass, not in the form of fibres or filaments, by coating with other inorganic material
    • C03C17/23Oxides
    • C03C17/245Oxides by deposition from the vapour phase
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45544Atomic layer deposition [ALD] characterized by the apparatus
    • C23C16/45546Atomic layer deposition [ALD] characterized by the apparatus specially adapted for a substrate stack in the ALD reactor
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/52Controlling or regulating the coating process
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/02164Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material being a silicon oxide, e.g. SiO2
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/0228Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition deposition by cyclic CVD, e.g. ALD, ALE, pulsed CVD
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02518Deposited layers
    • H01L21/02521Materials
    • H01L21/02551Group 12/16 materials
    • H01L21/02554Oxides
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/314Inorganic layers
    • H01L21/3141Deposition using atomic layer deposition techniques [ALD]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/314Inorganic layers
    • H01L21/316Inorganic layers composed of oxides or glassy oxides or oxide based glass
    • H01L21/31604Deposition from a gas or vapour
    • H01L21/31608Deposition of SiO2
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67017Apparatus for fluid treatment
    • CCHEMISTRY; METALLURGY
    • C03GLASS; MINERAL OR SLAG WOOL
    • C03CCHEMICAL COMPOSITION OF GLASSES, GLAZES OR VITREOUS ENAMELS; SURFACE TREATMENT OF GLASS; SURFACE TREATMENT OF FIBRES OR FILAMENTS MADE FROM GLASS, MINERALS OR SLAGS; JOINING GLASS TO GLASS OR OTHER MATERIALS
    • C03C2217/00Coatings on glass
    • C03C2217/20Materials for coating a single layer on glass
    • C03C2217/21Oxides
    • C03C2217/213SiO2
    • CCHEMISTRY; METALLURGY
    • C03GLASS; MINERAL OR SLAG WOOL
    • C03CCHEMICAL COMPOSITION OF GLASSES, GLAZES OR VITREOUS ENAMELS; SURFACE TREATMENT OF GLASS; SURFACE TREATMENT OF FIBRES OR FILAMENTS MADE FROM GLASS, MINERALS OR SLAGS; JOINING GLASS TO GLASS OR OTHER MATERIALS
    • C03C2218/00Methods for coating glass
    • C03C2218/10Deposition methods
    • C03C2218/15Deposition methods from the vapour phase
    • C03C2218/152Deposition methods from the vapour phase by cvd
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02205Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition
    • H01L21/02208Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si
    • H01L21/02211Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si the compound being a silane, e.g. disilane, methylsilane or chlorosilane

Landscapes

  • Chemical & Material Sciences (AREA)
  • Engineering & Computer Science (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Materials Engineering (AREA)
  • General Chemical & Material Sciences (AREA)
  • Organic Chemistry (AREA)
  • Power Engineering (AREA)
  • General Physics & Mathematics (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Computer Hardware Design (AREA)
  • Manufacturing & Machinery (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Metallurgy (AREA)
  • Mechanical Engineering (AREA)
  • Inorganic Chemistry (AREA)
  • Life Sciences & Earth Sciences (AREA)
  • Geochemistry & Mineralogy (AREA)
  • Chemical Vapour Deposition (AREA)
  • Formation Of Insulating Films (AREA)
  • Internal Circuitry In Semiconductor Integrated Circuit Devices (AREA)

Abstract

Si 함유 가스와 산화성 가스와 환원성 가스를 선택적으로 공급 가능한 처리 영역 내에서 금속 표면을 갖는 피처리 기판 상에 CVD에 의해 실리콘 산화막을 형성한다. 이 성막 방법은 제1 내지 제4 공정을 교대로 구비한다. 제1 공정에서는 처리 영역에 대한 Si 함유 가스의 공급을 행하는 한편, 처리 영역에 대한 산화성 가스 및 환원성 가스의 공급을 정지한다. 제2 공정에서는 처리 영역에 대한 Si 함유 가스, 산화성 가스 및 환원성 가스의 공급을 정지한다. 제3 공정에서는 처리 영역에 대한 산화성 가스 및 환원성 가스의 공급을 동시에 행하는 한편, 처리 영역에 대한 Si 함유 가스의 공급을 정지한다. 제4 공정에서는 처리 영역에 대한 Si 함유 가스, 산화성 가스 및 환원성 가스의 공급을 정지한다.
성막 장치, 처리 용기, 웨이퍼 보트, 덮개부, 밀봉부, 히터

Description

실리콘 산화막 형성 방법 및 장치{METHOD AND APPARATUS FOR FORMING SILICON OXIDE FILM}
도1은 본 발명의 실시 형태에 관한 종형 성막 장치(CVD 장치)를 도시하는 구성도.
도2는 본 발명의 제1 실시 형태에 관한 성막 방법에 있어서의 가스의 공급을 나타내는 타이밍차트.
도3은 본 발명의 제2 실시 형태에 관한 성막 방법에 있어서의 가스의 공급을 나타내는 타이밍차트.
도4는 게이트 전극 구조를 도시하는 개략 단면도.
도5는 주제어부의 구성의 개략을 나타내는 블럭도.
도6은 종래의 종형 성막 장치(CVD 장치)를 개략적으로 도시하는 구성도.
<도면의 주요 부분에 대한 부호의 설명>
20 : 성막 장치
22 : 처리 용기
24 : 웨이퍼 보트
25 : 매니폴드
26 : 덮개부
27 : 밀봉 부재
30 : 보온통
32 : 테이블
34 : 회전축
36 : 밀봉부
38 : 승강 기구
42 : 히터
54 : 배기구
70 : 배기계
98 : 실리콘 산화막
본 발명은 금속 표면을 갖는 피처리 기판 상에 CVD에 의해 실리콘 산화막을 형성하기 위한 방법 및 장치에 관한 것으로, 특히 반도체 처리에 이용되는 성막 기술에 관한 것이다. 여기서, 반도체 처리라 함은, 웨이퍼나 LCD(Liquid Crystal Display)나 FPD (Flat Panel Display)용 유리 기판 등의 피처리 기판 상에 반도체층, 절연층, 도전층 등을 소정의 패턴으로 형성함으로써, 상기 피처리 기판 상에 반도체 디바이스나, 반도체 디바이스에 접속되는 배선, 전극 등을 포함하는 구조물을 제조하기 위해 실시되는 다양한 처리를 의미한다.
반도체 집적 회로를 구성하는 반도체 디바이스의 제조에 있어서는, 피처리 기판, 예를 들어 반도체 웨이퍼에 성막, 산화, 확산, 개질(改質), 어닐링, 에칭 등의 각종 처리가 실시된다. 상기 각종 처리 중에서, 예를 들어 성막 처리를 행하기 위해 다수매의 웨이퍼를 한번에 처리하는 배치식 종형 열처리 장치가 알려져 있다(예를 들어, 일본 특허 공개 평9-246257호 공보, 일본 특허 공개 제2002-9009호 공보 참조 ).
도6은 종래의 종형 성막 장치(CVD 장치)를 개략적으로 도시하는 구성도이다. 도6에 도시한 바와 같이, 종형의 처리 용기(2)의 처리 영역 내에 피처리 기판인 반도체 웨이퍼(W)가 웨이퍼 보트(4)에 의해 수평으로 또한 서로 간격을 두고 적층된 상태에서 보유 지지된다. 웨이퍼(W)는 처리 용기(2)를 포함하는 히터(6)에 의해 소정의 온도, 예를 들어 600 내지 700 ℃ 정도로 가열된다. 또한, 성막용 가스 공급부(8)로부터 Si 함유 가스, 예를 들어 모노실란이나 디클로로실란(DCS)과, 산화성 가스, 예를 들어 O2나 N2O 가스가 처리 영역의 하방으로부터 공급된다. 이와 함께, 처리 용기(2)의 천정부에 설치한 배기구(10)로부터 진공 배기계(12)에서 처리 용기(2) 내가 진공화된다. 이와 같이 하여, 처리 영역이 소정의 압력 및 온도로 유지된 상태에서 웨이퍼(W) 상에 CVD에 의해 실리콘 산화막이 형성된다.
웨이퍼(W) 상에 금속 전극, 금속 배선, 금속 게이트 전극 등의 금속 재료의 표면(금속 표면)이 노출되어 있는 경우가 있다. 이 경우, 실리콘 산화막 등의 산화막을 웨이퍼 상에 형성할 때에, 금속 표면이 산화되어 전기적 특성이 열화된다. 이로 인해, 종래는 이와 같은 경우에는 금속 표면 상에 우선 배리어층, 예를 들어 실리콘 질화막이나 금속 질화막을 형성한다. 이와 같이, 금속 표면을 배리어층으로 피복함으로써 산화막을 형성할 때에 금속 표면이 산화되는 것을 억제할 수 있다.
한편, 성막용 가스를 간헐적으로 처리 용기 내로 공급함으로써, 산화막을 원자층 레벨 혹은 분자층 레벨로 적층하는 방법이 있다. 이러한 종류의 성막 방법은 이른바 ALD(Atomic Layer Deposition) 혹은 MLD(Molecular Layer Deposition)라 불리운다. ALD나 MLD에 있어서도, 금속 표면을 갖는 웨이퍼 상에 산화막을 형성하는 경우에는, 우선 금속 표면을 배리어층으로 피복하는 것이 필요하게 된다.
이와 같이, 종래의 성막 방법에 있어서는, 금속 표면을 갖는 웨이퍼 상에 실리콘 산화막을 형성하는 경우, 미리 배리어층을 형성한다. 이로 인해, 이 배리어층을 형성하기 위한 공정이 여분으로 더해져 제조 공정 수가 증가한다. 또한, 상기 배리어층은 예를 들어 실리콘 질화막 등의 질화물로 형성되고, 이러한 종류의 질화물막은 그 인장 응력(스트레스)이 상당히 높다. 이로 인해, 이 응력에 기인하여 반도체 디바이스의 전기적 특성이 열화되는 등의 문제도 있다.
본 발명의 목적은 금속 표면을 갖는 피처리 기판 상에 CVD에 의해 실리콘 산화막을 형성할 때에, 금속 표면의 산화를 억제하는 동시에 생산성을 향상시키는 것이 가능한 성막 방법 및 장치를 제공하는 것에 있다.
본 발명의 제1 시점은, Si 함유 가스와 산화성 가스와 환원성 가스를 선택적으로 공급 가능한 처리 영역 내에서 금속 표면을 갖는 피처리 기판 상에 CVD에 의해 실리콘 산화막을 형성하는 방법이며,
상기 처리 영역에 대한 상기 Si 함유 가스의 공급을 행하는 한편, 상기 처리 영역에 대한 상기 산화성 가스 및 상기 환원성 가스의 공급을 정지하는 제1 공정과,
상기 처리 영역에 대한 상기 Si 함유 가스, 상기 산화성 가스 및 상기 환원성 가스의 공급을 정지하는 제2 공정과,
상기 처리 영역에 대한 상기 산화성 가스 및 상기 환원성 가스의 공급을 동시에 행하는 한편, 상기 처리 영역에 대한 상기 Si 함유 가스의 공급을 정지하는 제3 공정과,
상기 처리 영역에 대한 상기 Si 함유 가스, 상기 산화성 가스 및 상기 환원성 가스의 공급을 정지하는 제4 공정을 교대로 구비한다.
본 발명의 제2 시점은, 금속 표면을 갖는 피처리 기판 상에 CVD에 의해 실리콘 산화막을 형성하는 장치이며,
상기 피처리 기판을 수용하는 처리 영역을 갖는 처리 용기와,
상기 처리 영역에 대해 Si 함유 가스를 공급하는 Si 함유 가스 공급계와,
상기 처리 영역에 대해 산화성 가스를 공급하는 산화성 가스 공급계와,
상기 처리 영역에 대해 환원성 가스를 공급하는 환원성 가스 공급계와,
상기 장치의 동작을 제어하는 제어부를 구비하고, 상기 제어부는 상기 금속 표면 상에 실리콘 산화막을 형성하기 위해,
상기 처리 영역에 대한 상기 Si 함유 가스의 공급을 행하는 한편, 상기 처리 영역에 대한 상기 산화성 가스 및 상기 환원성 가스의 공급을 정지하는 제1 공정과,
상기 처리 영역에 대한 상기 Si 함유 가스, 상기 산화성 가스 및 상기 환원성 가스의 공급을 정지하는 제2 공정과,
상기 처리 영역에 대한 상기 산화성 가스 및 상기 환원성 가스의 공급을 동시에 행하는 한편, 상기 처리 영역에 대한 상기 Si 함유 가스의 공급을 정지하는 제3 공정과,
상기 처리 영역에 대한 상기 Si 함유 가스, 상기 산화성 가스 및 상기 환원성 가스의 공급을 정지하는 제4 공정을 교대로 실행한다.
본 발명의 제3 시점은, 프로세서 상에서 실행하기 위한 프로그램 지령을 포함하는 컴퓨터로 판독 가능한 매체이며,
상기 프로그램 지령은 프로세서에 의해 실행될 때, Si 함유 가스와 산화성 가스와 환원성 가스를 선택적으로 공급 가능한 처리 영역 내에서 금속 표면을 갖는 피처리 기판 상에 CVD에 의해 실리콘 산화막을 형성하므로, 처리 장치에,
상기 처리 영역에 대한 상기 Si 함유 가스의 공급을 행하는 한편, 상기 처리 영역에 대한 상기 산화성 가스 및 상기 환원성 가스의 공급을 정지하는 제1 공정과,
상기 처리 영역에 대한 상기 Si 함유 가스, 상기 산화성 가스 및 상기 환원 성 가스의 공급을 정지하는 제2 공정과,
상기 처리 영역에 대한 상기 산화성 가스 및 상기 환원성 가스의 공급을 동시에 행하는 한편, 상기 처리 영역에 대한 상기 Si 함유 가스의 공급을 정지하는 제3 공정과,
상기 처리 영역에 대한 상기 Si 함유 가스, 상기 산화성 가스 및 상기 환원성 가스의 공급을 정지하는 제4 공정을 교대로 실행시킨다.
이하에, 본 발명의 실시 형태에 대해 도면을 참조하여 설명한다. 또, 이하의 설명에 있어서, 대략 동일한 기능 및 구성을 갖는 구성 요소에 대해서는 동일 부호를 붙여 중복 설명은 필요한 경우에만 행한다.
도1은 본 발명의 실시 형태에 관한 종형 성막 장치(CVD 장치)를 도시하는 구성도이다. 도1에 도시한 바와 같이, 성막 장치(20)는 하단부가 개방된 원통체 형상으로 이루어진 종형의 처리 용기(22)를 갖는다. 처리 용기(22)는 예를 들어 내열성이 높은 석영으로 이루어진다. 처리 용기(22)의 천정부에는 개구된 배기구(54)가 형성된다. 배기구(54)에 예를 들어 직각으로 횡방향으로 굴곡된 배기 라인(70A)이 연속 설치된다. 배기 라인(70A)에는 개폐 밸브(70B), 버터플라이 밸브와 같은 압력 제어 밸브(70C) 및 진공 펌프(70D) 등이 개재 설치된 진공 배기계(70)가 접속된다. 배기계(70)에 의해 처리 용기(22) 내의 분위기를 진공 배기할 수 있다.
처리 용기(22)의 하단부는 예를 들어 스테인레스 스틸로 된 통체 형상의 매니폴드(25)에 의해 지지된다. 처리 용기(22)의 하단부와 매니폴드(25)의 상단부 사이에는 O링 등의 밀봉 부재(27)가 개재되어, 이 부분의 기밀성이 유지된다. 매 니폴드(25)의 하단부에는 개구부가 형성되고, 이를 통해 웨이퍼 보트(24)가 로드 및 언로드된다. 웨이퍼 보트(24)는 석영제로, 반도체 웨이퍼(W)를 다단으로 소정의 피치로 적재하는 보유 지지 수단으로서 기능한다. 본 실시 형태의 경우에 있어서, 웨이퍼 보트(24)에는 예를 들어 50매 내지 100매 정도의 직경이 300 ㎜인 웨이퍼(W)를 대략 등피치로 다단으로 지지 가능하게 된다. 매니폴드(25)의 부분을 석영에 의해 처리 용기(22)측과 일체 성형할 수도 있다.
웨이퍼 보트(24)는 석영으로 된 보온통(30)을 거쳐서 테이블(32) 상에 적재된다. 테이블(32)은 매니폴드(25)의 하단부 개구부를 개폐하는 덮개부(26)를 관통하는 회전축(34)의 상단부에 지지된다. 회전축(34)의 관통부에는, 예를 들어 자성유체 밀봉부(36)가 개재 설치되어, 회전축(34)을 기밀하게 밀봉하면서 회전 가능하게 지지한다. 덮개부(26)의 주변부와 매니폴드(25)의 하단부 사이에는, 예를 들어 O링 등으로 이루어지는 밀봉 부재(28)가 개재 설치되어, 처리 용기(22) 내의 밀봉성을 유지한다.
회전축(34)은 예를 들어 보트 엘리베이터 등의 승강 기구(38)에 지지된 아암(40)의 선단부에 부착된다. 승강 기구(38)에 의해 웨이퍼 보트(24) 및 덮개부(26) 등이 일체적으로 승강된다. 또, 테이블(32)을 덮개부(26)측에 고정하여 설치하여, 웨이퍼 보트(24)를 회전시키는 일 없이 웨이퍼(W)의 처리를 행하도록 해도 좋다.
처리 용기(22)의 측부에는 이를 둘러싸도록 카본 와이어로 된 히터(42)가 배치된다. 히터(42)에 의해 처리 용기(22) 내의 처리 영역(23)의 분위기가 가열되고, 따라서 처리 영역(23) 내의 반도체 웨이퍼(W)가 가열된다. 히터(42)의 외주에 는 단열재(40)가 배치되어 열적 안정성이 확보된다. 매니폴드(25)에는 각종 가스를 처리 용기(22) 내로 도입하여 공급하기 위한 각종 가스 공급계가 배치된다.
구체적으로는, 매니폴드(26)에는 Si 함유 가스 공급계(60), 산화성 가스 공급계(62), 환원성 가스 공급계(64) 및 불활성 가스 공급계(66)가 접속된다. Si 함유 가스 공급계(60)는 처리 용기(14) 내로 성막용 Si 함유 가스를 공급한다. 산화성 가스 공급계(62)는 처리 용기(14) 내로 산화성 가스를 공급한다. 환원성 가스 공급계(64)는 처리 용기(14) 내로 환원성 가스를 공급한다. 불활성 가스 공급계(66)는 처리 용기(14) 내로 질소(N2) 등의 불활성 가스를 공급한다. 본 실시 형태에서는, Si 함유 가스로서 Si 함유 가스인 DCS(디클로로실란) 가스가 이용된다. 산화성 가스로서는 N2O가 이용되고, 환원성 가스로서는 H2 가스가 이용된다. 불활성 가스로서는, 질소 대신에 Ar이나 He 등도 이용할 수 있다.
각 가스 공급계(60, 62, 64, 66)는 가스 노즐(46, 48, 50, 52)을 각각 갖는다. 가스 노즐(46, 48, 50, 52)의 각각은 매니폴드(26)의 측벽을 관통하는 동시에, 그 선단부가 처리 영역(23)의 하방에 위치한다. 각 가스 노즐(46, 48, 50, 52)은 가스 통로(60A, 62A, 64A, 66A)를 거쳐서 각 가스원(60S, 62S, 64S, 66S)에 접속된다. 가스 통로(60A, 62A, 64A, 66A)에는 매스플로우 컨트롤러와 같은 유량 제어기(60B, 62B, 64B, 66B)와 개폐 밸브(60C, 62C, 64C, 66C)를 조합하여 이루어지는 가스 제어 유닛이 각각 배치된다. 각 가스 제어 유닛은 각 가스의 공급, 정지 및 유량을 제어하기 위해 마이크로 컴퓨터 등으로 이루어지는 가스 공급 제어부 (68)에 의해 제어된다.
또한, 성막 장치(20)는 가스 공급 제어부(68)를 포함하는 장치 전체의 동작을 제어하는 컴퓨터 등으로 이루어지는 주제어부(80)를 구비한다. 주제어부(80)는 이에 부수되는 기억부에 미리 기억된 성막 처리의 처리 레시피, 예를 들어 형성되는 막의 막 두께나 조성에 따라서 후술하는 성막 처리를 행한다. 이 기억부에는 또한 처리 가스 유량과 막의 막 두께나 조성과의 관계가 미리 제어 데이터로서 기억된다. 따라서, 주제어부(80)는 이들의 기억된 처리 레시피나 제어 데이터를 기초로 하여 가스 공급 제어부(68), 배기계(70), 승강 기구(38), 히터(42) 등을 제어할 수 있다.
즉, 후술하는 실시 형태에 관한 성막 방법은 처리 프로그램을 기초로 하여 주제어부(80)의 제어하에서 실행된다. 도5는 주제어부(80)의 구성의 개략을 나타내는 블럭도이다. 주제어부(80)는 CPU(210)를 갖고, 여기에 기억부(212), 입력부(214), 출력부(216) 등이 접속된다. 기억부(212)에는 처리 프로그램이나 프로세스 레시피가 기억된다. 입력부(214)는 사용자와 대화하기 위한 입력 장치, 예를 들어 키보드나 포인팅 디바이스 및 기억 매체의 드라이브 등을 포함한다. 출력부(216)는 처리 장치의 각 기기를 제어하기 위한 제어 신호를 출력한다. 도5는 또한 컴퓨터에 착탈 가능한 기억 매체(218)도 더불어 도시한다.
또한, 후술하는 실시 형태에 관한 성막 방법은 프로세서 상에서 실행하기 위한 프로그램 지령으로서, 컴퓨터로 판독 가능한 기억 매체에 기입하여 각종 반도체 처리 장치에 적용할 수 있다. 혹은, 이러한 종류의 프로그램 지령은 통신 매체에 의해 전송하여 각종 반도체 처리 장치에 적용할 수 있다. 기억 매체는 예를 들어 자기 디스크{가요성 디스크, 하드디스크[일예는 기억부(212)에 포함되는 하드디스크] 등}, 광디스크(CD, DVD 등), 마그넷 옵티컬 디스크(MO 등), 반도체 메모리 등이다. 반도체 처리 장치의 동작을 제어하는 컴퓨터는 기억 매체에 기억된 프로그램 지령을 판독하고, 이를 프로세서 상에서 실행함으로써 상술하는 방법을 실행한다.
다음에, 상술한 바와 같이 구성된 성막 장치(20)를 이용하여 행해지는 실시 형태에 관한 성막 방법에 대해 설명한다. 이 성막 방법에서는, Si 함유 가스와 산화성 가스와 환원성 가스를 선택적으로 공급 가능한 처리 영역(23) 내에서 노출 금속 표면을 갖는 피처리 기판 상에 CVD에 의해 실리콘 산화막이 형성된다. 피처리 기판은, 예를 들어 실리콘 웨이퍼로 이루어지고, 금속 표면은 예를 들어 텅스텐층의 표면으로 이루어진다.
우선, 성막 장치(20)가 웨이퍼를 로드하지 않은 대기 상태에 있을 때에는, 처리 용기(22)는 처리 온도보다 낮은 온도로 유지된다. 한편, 다수매, 예를 들어 50매의 웨이퍼(W)를 탑재한 상온의 웨이퍼 보트(24)를 처리 용기(22) 내에 그 하방으로부터 상승시켜 로드한다. 그리고, 덮개부(26)로 매니폴드(25)의 하단부 개구부를 폐쇄함으로써 처리 용기(22) 내를 밀폐한다.
다음에, 처리 용기(22) 내를 진공화하여 소정의 처리 압력으로 유지한다. 이와 함께, 히터(42)에의 공급 전력을 증대시킴으로써 웨이퍼 온도를 상승시키고, 성막용 처리 온도까지 승온하여 안정되게 한다. 다음에, 각 처리 공정을 행할 때 마다 필요해지는 소정의 처리 가스를 유량 제어하면서 각 가스 공급계(60, 62, 64, 66)의 가스 노즐(46, 48, 50, 52)로부터 처리 용기(22) 내로 공급한다. 상술한 바와 같이, 각종 가스의 공급은 간헐적으로(펄스 형상으로) 행하고, 이들 각종 가스의 공급 및 공급의 정지는 가스 공급 제어부(68)에 의해 각 개폐 밸브(60C, 62C, 64C, 66C) 등을 제어함으로써 행한다.
<제1 실시 형태>
도2는 본 발명의 제1 실시 형태에 관한 성막 방법에 있어서의 가스의 공급을 도시하는 타이밍차트이다. 도2에 도시한 바와 같이 제1 실시 형태에 관한 성막 방법에 있어서는, 제1 내지 제4 공정(T1 내지 T4)을 교대로 반복한다. 즉, 제1 내지 제4 공정(T1 내지 T4)으로 이루어지는 사이클을 다수회 반복하고, 사이클마다 형성되는 실리콘 산화막의 박막을 적층함으로써 최종적인 두께의 실리콘 산화막을 얻게 된다. 또, Si 함유 가스로서 DCS(디클로로실란) 가스가 이용되고, 산화성 가스로서 N2O가 이용되고, 환원성 가스로서 H2 가스가 이용된다.
구체적으로는, 제1 공정(T1)에서는 처리 영역(23)에 대한 Si 함유 가스(도2에서는 DCS라 표시)의 공급을 행하는 한편, 처리 영역(23)에 대한 산화성 가스(도2에서는 N2O로 표시) 및 환원성 가스(도2에서는 H2로 표시)의 공급을 정지한다(Si 함유 가스 공급 공정). 제2 공정(T2)에서는 처리 영역(23)에 대한 Si 함유 가스, 산화성 가스 및 환원성 가스의 공급을 정지한다. 제3 공정(T3)에서는 처리 영역(23)에 대한 산화성 가스 및 환원성 가스의 공급을 동시에 행하는 한편, 처리 영역(23) 에 대한 Si 함유 가스의 공급을 정지한다(산화 환원 가스 공급 공정). 제4 공정(T4)에서는 Si 함유 가스, 산화성 가스 및 환원성 가스의 공급을 정지한다.
제2 및 제4 공정(T2, T4)은 처리 용기(22) 내에 잔류하는 가스를 배제하는 퍼지 공정으로서 사용된다. 여기서 퍼지라 함은, N2 가스 등의 불활성을 흐르게 하면서 처리 용기(22) 내를 진공 배기하는 것, 혹은 모든 가스의 공급을 정지하여 처리 용기(22) 내를 진공 배기함으로써 처리 용기(22) 내의 잔류 가스를 제거하는 것을 의미한다. 또, 제1 및 제3 공정(T1, T3)에 있어서, 처리 가스(Si 함유 가스, 산화성 가스 및 환원성 가스)를 공급할 때에는 처리 용기(22) 내의 진공 배기를 정지할 수 있다. 그러나, 처리 가스의 공급을 처리 용기(22) 내를 진공 배기하면서 행하는 경우에는 제1 내지 제4 공정(T1 내지 T4)의 전체에 걸쳐서 처리 용기(22) 내의 진공 배기를 계속하게 할 수 있다.
도2에 있어서, 제1 내지 제4 공정(T1 내지 T4)의 각 시간은 예를 들어 약 10초로 설정된다. 따라서, 1 사이클에 필요한 시간은 예를 들어 40초이다. 단, 각 공정의 시간은 단순히 일예를 나타낸 것에 지나지 않고, 이 수치에 한정되지 않는다. 처리 용기(22)의 용량 등에 의존하여 변경되지만, 예를 들어 제1 내지 제4 공정(T1 내지 T4)의 각 시간은, 바람직하게는 약 1 내지 160초로 설정된다.
제1 공정(Si 함유 가스 공급 공정)(T1)에서는 성막 조건에 의존하여 분자 상태의 DCS 가스 혹은 가스 분해에 의해 얻게 된 Si 원자가 웨이퍼 표면 전체에 흡착한다. 제3 공정(산화 환원 가스 공급 공정)(T3)에서는 환원성 가스인 H2 가스의 작 용으로 금속 표면 자체의 산화가 억제된다. 또한, 이와 동시에, 산화성 가스인 N2O 가스의 작용으로 웨이퍼 표면에 흡착하는 DCS 가스 분자나 Si 원자가 산화된다. 이에 의해, 웨이퍼 상에 얇은 실리콘 산화막(SiO2막)이 원자층 레벨, 혹은 분자층 레벨로 퇴적된다. 이와 같은 1 사이클의 성막 조작으로, 예를 들어 0.1 ㎚ 정도의 두께의 실리콘 산화막이 형성된다. 따라서, 이 사이클을 다수회 반복하여 실리콘 산화막의 박막을 적층함으로써, 최종적인 두께의 실리콘 산화막을 얻게 된다.
제3 공정(산화 환원 가스 공급 공정)(T3)에 있어서, 환원성 가스인 H2 가스는 산화성 가스인 N2O 가스에 대해 공급량이 많은 상태, 즉 수소가 풍부한 상태로 설정된다. 이에 의해, 금속 표면 자체의 산화를 억제하면서 이에 흡착하고 있는 Si 함유 가스 혹은 Si 원자의 산화를 촉진시킬 수 있다.
제1 실시 형태에 있어서, DCS 가스의 유량은 500 sccm, N2O 가스의 유량은 5 sccm, H2 가스의 유량은 1000 sccm으로 설정된다. 이 경우, 가스 유량비[N2O/H2]는 1/200이 된다. 이 가스 유량비[N2O/H2]는 1/1 내지 2/1000 정도, 바람직하게는 1/10 내지 1/500로 설정된다. 이 비가「1/1」보다도 커지면, 산화성 가스의 작용이 커져 금속 재료 표면이 산화되어 바람직하지 않다. 이 비가「2/1000」보다도 작아지면, 산화성 가스가 적어져 산화막이 형성되어 없어진다.
제1 내지 제4 공정(T1 내지 T4)에 있어서의 처리 용기(22) 내의 압력은 도2의 (d)에 나타낸 바와 같은 형태로 변화한다. 예를 들어, 제1 및 제3 공정(T1, T3)에서는 약 1 Torr(133 ㎩)이고, 제2 및 제4 공정(퍼지 공정)(T2, T4)에서는 최저 압력을 나타낸다. 제1 및 제3 공정(T1, T3)에 있어서, 처리 압력은 예를 들어 13.3 ㎩(0.1 Torr) 내지 66500 ㎩(500 Torr)로 설정되고, 처리 온도는 예를 들어 50 내지 1000 ℃로 설정된다. 또한, 제1 공정(T1)에 있어서, DCS 가스를 웨이퍼 표면에 흡착시키는 것이 아니라, CVD 반응 영역이 되는 처리 조건을 설정하여 Si 막을 CVD 반응에 의해 퇴적시키도록 해도 좋다.
상술한 바와 같이, 제1 실시 형태에 관한 성막 방법에 따르면, 처리 영역(23)에 대한 Si 함유 가스의 공급을 행하는 공정과, 처리 영역(23)에 대한 산화성 가스 및 환원성 가스의 공급을 동시에 행하는 공정을 간헐적으로 교대로 반복한다. 이에 의해, 금속 표면의 산화를 억제하면서 웨이퍼 상에 실리콘 산화막을 퇴적시킬 수 있다. 즉, 금속 표면을 갖는 웨이퍼 상에 실리콘 산화막을 형성하는 경우, 미리 배리어층을 형성할 필요가 없어진다. 이로 인해, 반도체 디바이스의 제조 공정수를 삭감할 수 있는 동시에, 배리어층에 기인하는 반도체 디바이스의 전기적 특성의 열화도 방지할 수 있다.
또, 상술한 바와 같이 제1 내지 제4 공정(T1 내지 T4)을 복수회 반복하여 소정 두께의 제1 실리콘 산화막을 형성한 후, 성막률이 높은 통상의 CVD 처리로 절환하여, 최종 목표의 두께의 실리콘 산화막을 얻을 수도 있다. 이 경우, 제1 실리콘 산화막을 형성한 후, 처리 영역(23)에 대한 Si 함유 가스 및 산화성 가스의 공급을 행하면서, 통상의 CVD에 의해 제2 실리콘 산화막을 형성한다. 여기서, 제2 실리콘 산화막을 형성하기 위해 사용되는 Si 함유 가스 및 산화성 가스는 제1 실리콘 산화 막을 형성하기 위해 사용되는 Si 함유 가스 및 산화성 가스와 각각 동일한 가스로 할 수 있다(단, 캐리어 가스의 유량은 변경될 가능성이 있음).
예를 들어, 우선 제1 내지 제4 공정(T1 내지 T4)을 10 사이클 행하여 1 ㎚의 제1 실리콘 산화막을 형성한다. 이 때, 처리 온도는 약 200 내지 300 ℃로 한다. 다음에, 처리 영역(23)에 대한 DCS 가스 및 N2O 가스의 공급을 행하면서, 통상의 CVD에 의해 6 ㎚의 제2 실리콘 산화막을 형성한다. 이 때, 처리 온도는 보다 높은 약 300 내지 400 ℃로 한다. 이에 의해, 최종 목표의 두께가 7 ㎚인 실리콘 산화막을 얻을 수 있다.
<제2 실시 형태>
도3은 본 발명의 제2 실시 형태에 관한 성막 방법에 있어서의 가스의 공급을 나타내는 타이밍차트이다. 도3에 도시한 바와 같이, 제2 실시 형태에 관한 성막 방법에 있어서도, 제1 내지 제4 공정(T11 내지 T14)을 교대로 반복한다. 즉, 제1 내지 제4 공정(T11 내지 T14)으로 이루어지는 사이클을 다수회 반복하여 사이클마다 형성되는 실리콘 산화막의 박막을 적층함으로써 최종적인 두께의 실리콘 산화막을 얻을 수 있다. 또, Si 함유 가스로서 DCS(디클로로실란) 가스가 이용되고, 산화성 가스로서 O2가 이용되고, 환원성 가스로서 H2 가스가 이용된다.
구체적으로는, 제1 공정(T11)에서는 처리 영역(23)에 대한 Si 함유 가스(도3에서는 DCS로 표시)의 공급을 행하는 한편, 처리 영역(23)에 대한 산화성 가스(도3에서는 O2로 표시) 및 환원성 가스(도3에서는 H2로 표시)의 공급을 정지한다(Si 함 유 가스 공급 공정). 제2 공정(T12)에서는 처리 영역(23)에 대한 Si 함유 가스, 산화성 가스 및 환원성 가스의 공급을 정지하는 동시에, 처리 영역(23)에 대한 퍼지 가스(도3에서는 N2로 표시)의 공급을 행한다. 제3 공정(T13)에서는 처리 영역(23)에 대한 산화성 가스 및 환원성 가스의 공급을 동시에 행하는 한편, 처리 영역(23)에 대한 Si 함유 가스의 공급을 정지한다(산화 환원 가스 공급 공정). 제4 공정(T14)에서는 Si 함유 가스, 산화성 가스 및 환원성 가스의 공급을 정지하는 동시에, 처리 영역(23)에 대한 퍼지 가스의 공급을 행한다.
또한, 제2 실시 형태에 관한 성막 방법에 있어서는, 제3 공정(T13)의 전후에 처리 영역(23)에 대한 환원성 가스의 공급만을 행하는 기간(환원성 가스 공급 공정)이 설정된다. 구체적으로는, 제2 및 제3 공정(T12, T13) 사이에 서브 공정(T13a)이 실시된다. 서브 공정(T13a)에서는 처리 영역(23)에 대한 환원성 가스의 공급을 행하는 한편, 처리 영역(23)에 대한 Si 함유 가스 및 산화성 가스의 공급을 정지한다. 또한, 제3 및 제4 공정(T13, T14) 사이에 서브 공정(T13b)이 실시된다. 서브 공정(T13b)에서도 처리 영역(23)에 대한 환원성 가스의 공급을 행하는 한편, 처리 영역(23)에 대한 Si 함유 가스 및 산화성 가스의 공급을 정지한다.
공정(T13a, T13, T13b)에 있어서의 조작의 흐름은 다음과 같은 것이 된다. 즉, 제2 공정(퍼지 공정)(T12) 후에, 우선 환원성 가스인 H2 가스의 공급만을 소정 시간[서브 공정(T13a)의 길이]만큼 행하여 충분한 환원성 가스 분압을 얻는다. 다음에, 산화성 가스인 O2 가스의 공급도 개시하여, 제3 공정(T13)으로서 산화성 가스 및 환원성 가스의 공급을 동시에 행한다. 다음에, O2 가스의 공급만을 정지하고, H2 가스의 공급만을 소정 시간[서브 공정(T13b)의 길이]만큼 행한 후, H2 가스의 공급도 정지한다.
상술한 바와 같이, 제2 및 제4 공정(T12, T14)은 처리 용기(22) 내에 잔류하는 가스를 배제하는 퍼지 공정으로서 사용된다. 이 때, 제1 실시 형태에 있어서 서술한 바와 같이, 모든 가스의 공급을 정지하여[즉 불활성(N2 가스)도 흐르지 않고)] 처리 용기(22) 내를 진공 배기함으로써, 처리 용기(22) 내의 잔류 가스를 제거할 수도 있다. 또, 제1 및 제3 공정(T11, T13) 및 서브 공정(T13a, T13b)에 있어서, 처리 가스(Si 함유 가스, 산화성 가스 및 환원성 가스)를 공급할 때에는 처리 용기(22) 내의 진공 배기를 정지할 수 있다. 그러나, 처리 가스의 공급을 처리 용기(22) 내를 진공 배기하면서 행하는 경우에는, 제1 내지 제4 공정(T11 내지 T14)의 전체에 걸쳐서 처리 용기(22) 내의 진공 배기를 계속하게 할 수 있다.
도3에 있어서, 제1, 제2, 및 제4 공정(T11, T12, T14)의 각 시간은 예를 들어 약 10초로 설정된다. 또한, 예를 들어 서브 공정(T13a)은 약 1 내지 100초, 제3 공정(T13)은 약 1 내지 100초, 서브 공정(T13b)은 약 0 내지 100초로 설정된다. 즉, 제3 공정(T13) 후의 서브 공정(T13b)은 생략할 수 있다. 단, 각 공정 시간은 단순히 일예를 나타낸 것에 지나지 않고, 이 수치에 한정되지 않는다. 또한, 제2 실시 형태에 관한 성막 방법에 있어서의 각 공정간의 압력 관계는 제1 실시 형태인 경우와 동일하다.
상술한 바와 같이 제2 실시 형태에 관한 성막 방법에 있어서는, 제3 공정(산화 환원 가스 공급 공정)(T13)의 직전에 서브 공정(환원 가스 공급 공정)(T13a)을 행한다. 이와 같이 하여, 처리 영역(23) 내를 환원성 분위기로 채움으로써, 웨이퍼 표면에 노출되어 있는 금속막의 표면이 산화하는 것을 보다 확실하게 방지할 수 있다. 이 결과, 최종적으로 만들어지는 반도체 디바이스의 전기적 특성을 보다 향상시킬 수 있다.
또한, 제2 실시 형태에 관한 성막 방법에 있어서는, 제3 공정(산화 환원 가스 공급 공정)(T13)의 직후에 서브 공정(환원 가스 공급 공정)(T13b)을 행한다. 이와 같이 하여, 처리 영역(23) 내를 환원성 분위기로 채움으로써, 실리콘 산화막을 그 분위기 내에서 어닐링할 수 있다. 이 결과, 실리콘 산화막이 개질되어, 최종적으로 만들어지는 반도체 디바이스의 전기적 특성을 보다 향상시킬 수 있다.
<제1 및 제2 실시 형태에 공통의 사항>
DRAM이나 플래시 메모리에서는 폴리실리콘층과 금속층을 적층한 게이트 전극 구조가 사용된다. 제1 및 제2 실시 형태에 관한 성막 방법은 이와 같은 게이트 전극 구조의 측벽을 형성하므로 적용 가능해진다. 도4는 제1 및 제2 실시 형태에 관한 성막 방법에 있어서, 처리 대상이 되는 구조의 일예를 나타내는 단면도이다.
도4에 도시한 바와 같이, 실리콘 웨이퍼(W)의 표면 내에 한 쌍의 소스/드레인 영역(86)이 형성된다. 소스/드레인 영역(86) 사이의 채널 영역(88) 상에 게이트 절연막(90)을 거쳐서 인도핑의 폴리실리콘층(92)이 배치된다. 폴리실리콘층(92) 상에 또한 질화 텅스텐(WN)층(94) 및 텅스텐층(96)이 배치된다. 이와 같은 게이트 전극 구조의 측벽을 형성하기 위해, 상술한 성막 방법에 의해 피처리 기판 상에 실리콘 산화막(98)이 퇴적된다. 즉, 이러한 구조의 경우, 실리콘 산화막(98)을 형성할 때에 피처리 기판 상에는 텅스텐층의 표면으로 이루어지는 금속 표면이 노출된다.
제1 및 제2 실시 형태에 있어서, Si 함유 가스로서 DCS 가스가 예시된다. 이 점에 관한 것으로, Si 함유 가스는 디클로로실란(DCS), 모노실란[SiH4], 디실란[Si2H6], 헥사클로로디실란[Si2Cl6](HCD), 헥사메틸디실라잔(HMDS), 테트라클로로실란[SiHCl3](TCS), 디실릴아민(DSA), 트리실릴아민(TSA), 비스터셜부틸아미노실란(BTBAS), 트리메틸실란[(CH3)3SiH], 트리메틸실릴라자이드[(CH3)3SiN3], [SiF4], [SiCl3F], [SiI4], [Si2F6]로 이루어지는 군에서 선택된 하나 이상의 가스로 할 수 있다.
제1 및 제2 실시 형태에 있어서, 산화성 가스로서 N2O 가스, O2 가스가 각각 예시된다. 이 점에 관한 것으로, 산화성 가스는 N2O, H2O, O2, O3, O*(활성종), NO, NO2, CO2, CO로 이루어지는 군에서 선택된 하나 이상의 가스로 할 수 있다. 이 경우, 활성종이나 오존은 이오나이저나 리모트 플라즈마 기구 등으로 형성할 수 있다.
제1 및 제2 실시 형태에 있어서, 환원성 가스로서 H2 가스가 예시된다. 이 점에 관한 것으로, 환원성 가스는 H2, NH3로 이루어지는 군에서 선택된 하나 이상의 가스로 할 수 있다.
제1 및 제2 실시 형태에 있어서, 금속 표면을 규정하는 금속으로서 텅스텐이 예시된다. 이 점에 관한 것으로, 금속 표면은 텅스텐, 알루미늄, 니켈, 코발트, 구리, 철 및 이들 금속의 실리사이드(예를 들어, NiSi, CoSi2, WSi2)로 이루어지는 군에서 선택된 재료로 이루어지는 층의 표면으로 할 수 있다.
성막 장치의 처리 용기(22)로서는, 도1에 도시한 바와 같은 단일관 구조인 것에 한정되지 않고, 예를 들어 2관 구조인 것이라도 좋다. 또한, 배치식 성막 장치가 아닌, 웨이퍼를 1매씩 처리하는 낱장식 성막 장치라도 좋다. 피처리 기판으로서는, 반도체 웨이퍼에 한정되지 않고, LCD 기판, 유리 기판 등의 다른 기판이라도 좋다.
본 발명에 따르면, 금속 표면을 갖는 피처리 기판 상에 CVD에 의해 실리콘 산화막을 형성할 때에, 금속 표면의 산화를 억제하는 동시에 생산성을 향상시키는 것이 가능한 성막 방법 및 장치를 제공할 수 있다.

Claims (20)

  1. Si 함유 가스와 산화성 가스와 환원성 가스를 선택적으로 공급 가능한 처리 영역 내에서 금속 표면을 갖는 피처리 기판 상에 CVD에 의해 실리콘 산화막을 형성하는 실리콘 산화막 형성 방법이며,
    상기 처리 영역에 대한 상기 Si 함유 가스의 공급을 행하는 한편, 상기 처리 영역에 대한 상기 산화성 가스 및 상기 환원성 가스의 공급을 정지하는 제1 공정과,
    상기 처리 영역에 대한 상기 Si 함유 가스, 상기 산화성 가스 및 상기 환원성 가스의 공급을 정지하는 제2 공정과,
    상기 처리 영역에 대한 상기 산화성 가스 및 상기 환원성 가스의 공급을 동시에 행하는 한편, 상기 처리 영역에 대한 상기 Si 함유 가스의 공급을 정지하는 제3 공정과,
    상기 처리 영역에 대한 상기 Si 함유 가스, 상기 산화성 가스 및 상기 환원성 가스의 공급을 정지하는 제4 공정을 교대로 구비하는 실리콘 산화막 형성 방법.
  2. 제1항에 있어서, 상기 제2 및 제3 공정 사이에서 상기 처리 영역에 대한 상기 환원성 가스의 공급을 행하는 한편, 상기 처리 영역에 대한 상기 Si 함유 가스 및 상기 산화성 가스의 공급을 정지하는 공정을 더 구비하는 실리콘 산화막 형성 방법.
  3. 제1항에 있어서, 상기 제3 및 제4 공정 사이에서 상기 처리 영역에 대한 상기 환원성 가스의 공급을 행하는 한편, 상기 처리 영역에 대한 상기 Si 함유 가스 및 상기 산화성 가스의 공급을 정지하는 공정을 더 구비하는 실리콘 산화막 형성 방법.
  4. 제1항에 있어서, 상기 제2 및 제4 공정의 각각은 상기 처리 영역을 배기하는 기간을 구비하는 실리콘 산화막 형성 방법.
  5. 제4항에 있어서, 상기 제2 및 제4 공정의 각각은 상기 처리 영역에 대한 퍼지 가스의 공급을 행하는 기간을 구비하는 실리콘 산화막 형성 방법.
  6. 제4항에 있어서, 상기 제1 내지 제4 공정 중, 상기 처리 영역 내의 배기를 계속하는 실리콘 산화막 형성 방법.
  7. 제1항에 있어서, 상기 제3 공정에 있어서, 상기 환원성 가스의 유량은 상기 산화성 가스의 유량보다도 큰 실리콘 산화막 형성 방법.
  8. 제7항에 있어서, 상기 제3 공정에 있어서, 상기 환원성 가스의 유량에 대한 상기 산화성 가스의 유량의 비는 1/10 내지 1/500로 설정되는 실리콘 산화막 형성 방법.
  9. 제1항에 있어서, 상기 금속 표면은 텅스텐, 알루미늄, 니켈, 코발트, 구리, 철 및 이들 금속의 실리사이드로 이루어지는 군에서 선택된 재료로 이루어지는 층의 표면인 실리콘 산화막 형성 방법.
  10. 제1항에 있어서, 상기 실리콘 산화막은 게이트 전극 구조의 측벽을 형성하기 위해 상기 피처리 기판 상에 퇴적되는 실리콘 산화막 형성 방법.
  11. 제1항에 있어서, 상기 제1 및 제3 공정의 각각에 있어서, 상기 처리 영역의 압력은 13.3 ㎩(0.1 Torr) 내지 66500 ㎩(500 Torr) 범위 내로 설정되는 실리콘 산화막 형성 방법.
  12. 제1항에 있어서, 상기 Si 함유 가스는 디클로로실란(DCS), 모노실란[SiH4], 디실란[Si2H6], 헥사클로로디실란[Si2Cl6](HCD), 헥사메틸디실라잔(HMDS), 테트라클로로실란[SiHCl3](TCS), 디실릴아민(DSA), 트리실릴아민(TSA), 비스터셜부틸아미노실란(BTBAS), 트리메틸실란[(CH3)3SiH], 트리메틸실릴라자이드[(CH3)3SiN3], [SiF4], [SiCl3F], [SiI4], [Si2F6]로 이루어지는 군에서 선택된 하나 이상의 가스인 실리콘 산화막 형성 방법.
  13. 제1항에 있어서, 상기 산화성 가스는 N2O, H2O, O2, O3, O*(활성종), NO, NO2, CO2, CO로 이루어지는 군에서 선택된 하나 이상의 가스인 실리콘 산화막 형성 방법.
  14. 제1항에 있어서, 상기 환원성 가스는 H2, NH3로 이루어지는 군에서 선택된 하나 이상의 가스인 실리콘 산화막 형성 방법.
  15. 제1항에 있어서, 상기 제1 내지 제4 공정을 복수회 반복하여 소정 두께의 제1 실리콘 산화막을 형성한 후, 상기 처리 영역에 대한 Si 함유 가스 및 산화성 가스의 공급을 행하면서, CVD에 의해 상기 제1 실리콘 산화막 상에 상기 소정의 두께보다도 두꺼운 제2 실리콘 산화막을 형성하는 공정을 더 구비하는 실리콘 산화막 형성 방법.
  16. 제15항에 있어서, 상기 제2 실리콘 산화막을 형성하기 위해 사용되는 상기 Si 함유 가스 및 상기 산화성 가스는 상기 제1 실리콘 산화막을 형성하기 위해 사용되는 상기 Si 함유 가스 및 상기 산화성 가스와 각각 동일한 가스인 실리콘 산화막 형성 방법.
  17. 금속 표면을 갖는 피처리 기판 상에 CVD에 의해 실리콘 산화막을 형성하는 실리콘 산화막 형성 장치이며,
    상기 피처리 기판을 수용하는 처리 영역을 갖는 처리 용기와,
    상기 처리 영역에 대해 Si 함유 가스를 공급하는 Si 함유 가스 공급계와,
    상기 처리 영역에 대해 산화성 가스를 공급하는 산화성 가스 공급계와,
    상기 처리 영역에 대해 환원성 가스를 공급하는 환원성 가스 공급계와,
    상기 장치의 동작을 제어하는 제어부를 구비하고,
    상기 제어부는 상기 금속 표면 상에 실리콘 산화막을 형성하기 위해,
    상기 처리 영역에 대한 상기 Si 함유 가스의 공급을 행하는 한편, 상기 처리 영역에 대한 상기 산화성 가스 및 상기 환원성 가스의 공급을 정지하는 제1 공정과,
    상기 처리 영역에 대한 상기 Si 함유 가스, 상기 산화성 가스 및 상기 환원성 가스의 공급을 정지하는 제2 공정과,
    상기 처리 영역에 대한 상기 산화성 가스 및 상기 환원성 가스의 공급을 동시에 행하는 한편, 상기 처리 영역에 대한 상기 Si 함유 가스의 공급을 정지하는 제3 공정과,
    상기 처리 영역에 대한 상기 Si 함유 가스, 상기 산화성 가스 및 상기 환원성 가스의 공급을 정지하는 제4 공정을 교대로 실행하는 실리콘 산화막 형성 장치.
  18. 제17항에 있어서, 상기 제어부는 상기 제1 내지 제4 공정을 복수회 반복하여 소정 두께의 제1 실리콘 산화막을 형성한 후, 상기 처리 영역에 대한 Si 함유 가스 및 산화성 가스의 공급을 행하면서 CVD에 의해 상기 제1 실리콘 산화막 상에 상기 소정의 두께보다도 두꺼운 제2 실리콘 산화막을 형성하는 공정을 더 실행하는 실리콘 산화막 형성 장치.
  19. 제17항에 있어서, 상기 처리 영역은 간격을 두고 적층된 복수의 피처리 기판을 수납하도록 구성되는 실리콘 산화막 형성 장치.
  20. 프로세서 상에서 실행하기 위한 프로그램 지령을 포함하는 컴퓨터로 판독 가능한 매체이며,
    상기 프로그램 지령은 프로세서에 의해 실행될 때, Si 함유 가스와 산화성 가스와 환원성 가스를 선택적으로 공급 가능한 처리 영역 내에서 금속 표면을 갖는 피처리 기판 상에 CVD에 의해 실리콘 산화막을 형성하기 위해, 처리 장치에,
    상기 처리 영역에 대한 상기 Si 함유 가스의 공급을 행하는 한편, 상기 처리 영역에 대한 상기 산화성 가스 및 상기 환원성 가스의 공급을 정지하는 제1 공정과,
    상기 처리 영역에 대한 상기 Si 함유 가스, 상기 산화성 가스 및 상기 환원성 가스의 공급을 정지하는 제2 공정과,
    상기 처리 영역에 대한 상기 산화성 가스 및 상기 환원성 가스의 공급을 동시에 행하는 한편, 상기 처리 영역에 대한 상기 Si 함유 가스의 공급을 정지하는 제3 공정과,
    상기 처리 영역에 대한 상기 Si 함유 가스, 상기 산화성 가스 및 상기 환원성 가스의 공급을 정지하는 제4 공정을 교대로 실행시키는 컴퓨터로 판독 가능한 매체.
KR1020050063650A 2004-07-15 2005-07-14 실리콘 산화막 형성 방법 및 장치 KR100861851B1 (ko)

Applications Claiming Priority (4)

Application Number Priority Date Filing Date Title
JP2004209048 2004-07-15
JPJP-P-2004-00209048 2004-07-15
JP2005181282A JP4595702B2 (ja) 2004-07-15 2005-06-21 成膜方法、成膜装置及び記憶媒体
JPJP-P-2005-00181282 2005-06-21

Publications (2)

Publication Number Publication Date
KR20060050163A true KR20060050163A (ko) 2006-05-19
KR100861851B1 KR100861851B1 (ko) 2008-10-07

Family

ID=35798795

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1020050063650A KR100861851B1 (ko) 2004-07-15 2005-07-14 실리콘 산화막 형성 방법 및 장치

Country Status (5)

Country Link
US (1) US7651730B2 (ko)
JP (1) JP4595702B2 (ko)
KR (1) KR100861851B1 (ko)
CN (1) CN100426475C (ko)
TW (1) TWI336108B (ko)

Cited By (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
WO2008118531A2 (en) * 2007-01-30 2008-10-02 Aviza Technology, Inc. Oxide-containing film formed from silicon
KR100938528B1 (ko) * 2006-01-17 2010-01-25 가부시키가이샤 히다치 고쿠사이 덴키 반도체 장치의 제조 방법
KR20100106789A (ko) * 2009-03-24 2010-10-04 삼성전자주식회사 무기계 실리콘 전구체를 이용한 실리콘 산화막의 형성 방법및 이를 이용한 반도체 장치의 제조 방법
KR101131645B1 (ko) * 2006-09-06 2012-03-28 도쿄엘렉트론가부시키가이샤 반도체 처리용의 성막 방법 및 장치
KR20210010650A (ko) * 2016-06-28 2021-01-27 어플라이드 머티어리얼스, 인코포레이티드 3d nand 메모리 디바이스들을 위한 cvd 기반 산화물-금속 다중 구조물

Families Citing this family (105)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP4694209B2 (ja) * 2005-01-05 2011-06-08 株式会社日立国際電気 基板処理装置及び半導体装置の製造方法
WO2006087893A1 (ja) * 2005-02-17 2006-08-24 Hitachi Kokusai Electric Inc. 基板処理方法および基板処理装置
JP2006261434A (ja) * 2005-03-17 2006-09-28 L'air Liquide Sa Pour L'etude & L'exploitation Des Procede S Georges Claude シリコン酸化膜の形成方法
JP4624207B2 (ja) * 2005-08-03 2011-02-02 東京エレクトロン株式会社 成膜方法及び成膜装置
US7875312B2 (en) * 2006-05-23 2011-01-25 Air Products And Chemicals, Inc. Process for producing silicon oxide films for organoaminosilane precursors
JP4899744B2 (ja) * 2006-09-22 2012-03-21 東京エレクトロン株式会社 被処理体の酸化装置
TWI462179B (zh) * 2006-09-28 2014-11-21 Tokyo Electron Ltd 用以形成氧化矽膜之成膜方法與裝置
JP5258229B2 (ja) * 2006-09-28 2013-08-07 東京エレクトロン株式会社 成膜方法および成膜装置
JP4476313B2 (ja) * 2007-07-25 2010-06-09 東京エレクトロン株式会社 成膜方法、成膜装置、および記憶媒体
JP4924395B2 (ja) 2007-12-07 2012-04-25 東京エレクトロン株式会社 処理装置及び処理方法
JP5575582B2 (ja) * 2007-12-26 2014-08-20 株式会社日立国際電気 半導体装置の製造方法、基板処理方法および基板処理装置
JP5616591B2 (ja) * 2008-06-20 2014-10-29 株式会社日立国際電気 半導体装置の製造方法及び基板処理装置
JP5383332B2 (ja) 2008-08-06 2014-01-08 株式会社日立国際電気 基板処理装置、基板処理方法及び半導体装置の製造方法
KR101521998B1 (ko) 2008-09-03 2015-05-21 삼성전자주식회사 상변화막 형성방법
JP5797255B2 (ja) * 2008-10-29 2015-10-21 株式会社日立国際電気 半導体装置の製造方法、基板処理方法および基板処理装置
JP5665289B2 (ja) 2008-10-29 2015-02-04 株式会社日立国際電気 半導体装置の製造方法、基板処理方法および基板処理装置
JP5564311B2 (ja) * 2009-05-19 2014-07-30 株式会社日立国際電気 半導体装置の製造方法、基板処理装置及び基板の製造方法
JP5408483B2 (ja) * 2009-07-03 2014-02-05 ルネサスエレクトロニクス株式会社 半導体装置の製造方法
JP2011023576A (ja) * 2009-07-16 2011-02-03 Hitachi Kokusai Electric Inc 半導体装置の製造方法及び基板処理装置
JP5467007B2 (ja) 2009-09-30 2014-04-09 株式会社日立国際電気 半導体装置の製造方法および基板処理装置
JP5616737B2 (ja) 2009-11-20 2014-10-29 株式会社日立国際電気 半導体装置の製造方法、基板処理方法および基板処理装置
JP5813303B2 (ja) * 2009-11-20 2015-11-17 株式会社日立国際電気 半導体装置の製造方法、基板処理方法および基板処理装置
WO2011111498A1 (ja) 2010-03-08 2011-09-15 株式会社日立国際電気 半導体装置の製造方法及び基板処理装置
US8728956B2 (en) 2010-04-15 2014-05-20 Novellus Systems, Inc. Plasma activated conformal film deposition
US9257274B2 (en) 2010-04-15 2016-02-09 Lam Research Corporation Gapfill of variable aspect ratio features with a composite PEALD and PECVD method
US8637411B2 (en) 2010-04-15 2014-01-28 Novellus Systems, Inc. Plasma activated conformal dielectric film deposition
US9611544B2 (en) 2010-04-15 2017-04-04 Novellus Systems, Inc. Plasma activated conformal dielectric film deposition
US9390909B2 (en) 2013-11-07 2016-07-12 Novellus Systems, Inc. Soft landing nanolaminates for advanced patterning
US9892917B2 (en) 2010-04-15 2018-02-13 Lam Research Corporation Plasma assisted atomic layer deposition of multi-layer films for patterning applications
US9997357B2 (en) 2010-04-15 2018-06-12 Lam Research Corporation Capped ALD films for doping fin-shaped channel regions of 3-D IC transistors
US9076646B2 (en) 2010-04-15 2015-07-07 Lam Research Corporation Plasma enhanced atomic layer deposition with pulsed plasma exposure
US9287113B2 (en) 2012-11-08 2016-03-15 Novellus Systems, Inc. Methods for depositing films on sensitive substrates
US9373500B2 (en) 2014-02-21 2016-06-21 Lam Research Corporation Plasma assisted atomic layer deposition titanium oxide for conformal encapsulation and gapfill applications
US8956983B2 (en) 2010-04-15 2015-02-17 Novellus Systems, Inc. Conformal doping via plasma activated atomic layer deposition and conformal film deposition
JP5573772B2 (ja) 2010-06-22 2014-08-20 東京エレクトロン株式会社 成膜方法及び成膜装置
JP5457287B2 (ja) * 2010-06-24 2014-04-02 株式会社日立国際電気 基板処理装置、基板処理方法及び半導体デバイスの製造方法
JP5204809B2 (ja) * 2010-07-02 2013-06-05 株式会社日立国際電気 基板処理装置、基板処理方法及び半導体デバイスの製造方法
CN102345111B (zh) * 2010-07-29 2015-03-04 东京毅力科创株式会社 成膜方法和成膜装置
JP5541223B2 (ja) * 2010-07-29 2014-07-09 東京エレクトロン株式会社 成膜方法及び成膜装置
US9685320B2 (en) 2010-09-23 2017-06-20 Lam Research Corporation Methods for depositing silicon oxide
US9348339B2 (en) * 2010-09-29 2016-05-24 Mks Instruments, Inc. Method and apparatus for multiple-channel pulse gas delivery system
US8997686B2 (en) 2010-09-29 2015-04-07 Mks Instruments, Inc. System for and method of fast pulse gas delivery
US7994070B1 (en) 2010-09-30 2011-08-09 Tokyo Electron Limited Low-temperature dielectric film formation by chemical vapor deposition
WO2012066977A1 (ja) 2010-11-19 2012-05-24 株式会社日立国際電気 半導体装置の製造方法、基板処理方法および基板処理装置
US9460913B2 (en) 2010-12-27 2016-10-04 Tokyo Electron Limited Film-forming method for forming silicon oxide film on tungsten film or tungsten oxide film
JP2012138500A (ja) * 2010-12-27 2012-07-19 Tokyo Electron Ltd タングステン膜又は酸化タングステン膜上への酸化シリコン膜の成膜方法及び成膜装置
US9466476B2 (en) 2010-12-27 2016-10-11 Tokyo Electron Limited Film-forming method for forming silicon oxide film on tungsten film or tungsten oxide film
US10126760B2 (en) 2011-02-25 2018-11-13 Mks Instruments, Inc. System for and method of fast pulse gas delivery
US10031531B2 (en) * 2011-02-25 2018-07-24 Mks Instruments, Inc. System for and method of multiple channel fast pulse gas delivery
US10353408B2 (en) * 2011-02-25 2019-07-16 Mks Instruments, Inc. System for and method of fast pulse gas delivery
JP5792972B2 (ja) * 2011-03-22 2015-10-14 株式会社日立国際電気 半導体装置の製造方法及び基板処理装置
WO2012128044A1 (ja) 2011-03-23 2012-09-27 株式会社日立国際電気 半導体装置の製造方法、基板処理方法および基板処理装置
US8647993B2 (en) 2011-04-11 2014-02-11 Novellus Systems, Inc. Methods for UV-assisted conformal film deposition
US20120298998A1 (en) * 2011-05-25 2012-11-29 Semiconductor Energy Laboratory Co., Ltd. Method for forming oxide semiconductor film, semiconductor device, and method for manufacturing semiconductor device
KR101509453B1 (ko) 2011-06-03 2015-04-07 가부시키가이샤 히다치 고쿠사이 덴키 반도체 장치의 제조 방법, 기판 처리 방법 및 기판 처리 장치
US20130025786A1 (en) * 2011-07-28 2013-01-31 Vladislav Davidkovich Systems for and methods of controlling time-multiplexed deep reactive-ion etching processes
WO2013027549A1 (ja) 2011-08-25 2013-02-28 株式会社日立国際電気 半導体装置の製造方法、基板処理方法、基板処理装置および記録媒体
JP5687587B2 (ja) * 2011-09-14 2015-03-18 株式会社東芝 膜形成方法および膜形成装置
US8592328B2 (en) 2012-01-20 2013-11-26 Novellus Systems, Inc. Method for depositing a chlorine-free conformal sin film
KR102029286B1 (ko) * 2012-03-09 2019-10-07 버슘머트리얼즈 유에스, 엘엘씨 디스플레이 디바이스를 위한 배리어 물질
JP5959907B2 (ja) * 2012-04-12 2016-08-02 株式会社日立国際電気 半導体装置の製造方法、基板処理方法、基板処理装置およびプログラム
CN102820219A (zh) * 2012-07-03 2012-12-12 上海华力微电子有限公司 低温二氧化硅薄膜的形成方法
CN102800569B (zh) * 2012-09-11 2015-11-04 上海华力微电子有限公司 基于硅烷的二氧化硅膜形成方法以及半导体器件制造方法
JP5922542B2 (ja) 2012-09-19 2016-05-24 東京エレクトロン株式会社 積層膜の形成方法およびその形成装置
TWI595112B (zh) 2012-10-23 2017-08-11 蘭姆研究公司 次飽和之原子層沉積及保形膜沉積
SG2013083241A (en) 2012-11-08 2014-06-27 Novellus Systems Inc Conformal film deposition for gapfill
JP5925704B2 (ja) * 2013-01-17 2016-05-25 東京エレクトロン株式会社 シリコン膜の形成方法およびその形成装置
JP6230809B2 (ja) 2013-04-22 2017-11-15 株式会社日立国際電気 半導体装置の製造方法、基板処理装置およびプログラム
JP6128969B2 (ja) 2013-06-03 2017-05-17 株式会社日立国際電気 基板処理装置、半導体装置の製造方法およびプログラム
WO2015009811A1 (en) 2013-07-16 2015-01-22 3M Innovative Properties Company Sheet coating method
JP2015056632A (ja) * 2013-09-13 2015-03-23 東京エレクトロン株式会社 シリコン酸化膜の製造方法
JP6211941B2 (ja) * 2014-01-28 2017-10-11 東京エレクトロン株式会社 成膜方法および成膜装置
US9214334B2 (en) 2014-02-18 2015-12-15 Lam Research Corporation High growth rate process for conformal aluminum nitride
US9478411B2 (en) 2014-08-20 2016-10-25 Lam Research Corporation Method to tune TiOx stoichiometry using atomic layer deposited Ti film to minimize contact resistance for TiOx/Ti based MIS contact scheme for CMOS
US9478438B2 (en) 2014-08-20 2016-10-25 Lam Research Corporation Method and apparatus to deposit pure titanium thin film at low temperature using titanium tetraiodide precursor
US9214333B1 (en) 2014-09-24 2015-12-15 Lam Research Corporation Methods and apparatuses for uniform reduction of the in-feature wet etch rate of a silicon nitride film formed by ALD
US9564312B2 (en) * 2014-11-24 2017-02-07 Lam Research Corporation Selective inhibition in atomic layer deposition of silicon-containing films
US9589790B2 (en) 2014-11-24 2017-03-07 Lam Research Corporation Method of depositing ammonia free and chlorine free conformal silicon nitride film
US10566187B2 (en) 2015-03-20 2020-02-18 Lam Research Corporation Ultrathin atomic layer deposition film accuracy thickness control
US9502238B2 (en) 2015-04-03 2016-11-22 Lam Research Corporation Deposition of conformal films by atomic layer deposition and atomic layer etch
CN104911562A (zh) * 2015-05-07 2015-09-16 武汉新芯集成电路制造有限公司 Ald机台腔体的净化方法
US10526701B2 (en) 2015-07-09 2020-01-07 Lam Research Corporation Multi-cycle ALD process for film uniformity and thickness profile modulation
JP6578243B2 (ja) * 2015-07-17 2019-09-18 株式会社Kokusai Electric ガス供給ノズル、基板処理装置、半導体装置の製造方法およびプログラム
US9601693B1 (en) 2015-09-24 2017-03-21 Lam Research Corporation Method for encapsulating a chalcogenide material
JP6545093B2 (ja) 2015-12-14 2019-07-17 株式会社Kokusai Electric 半導体装置の製造方法、基板処理装置およびプログラム
US9773643B1 (en) 2016-06-30 2017-09-26 Lam Research Corporation Apparatus and method for deposition and etch in gap fill
US10062563B2 (en) 2016-07-01 2018-08-28 Lam Research Corporation Selective atomic layer deposition with post-dose treatment
JP6613213B2 (ja) * 2016-07-26 2019-11-27 株式会社Kokusai Electric 半導体装置の製造方法、基板処理装置、およびプログラム
US10629435B2 (en) 2016-07-29 2020-04-21 Lam Research Corporation Doped ALD films for semiconductor patterning applications
US10074543B2 (en) 2016-08-31 2018-09-11 Lam Research Corporation High dry etch rate materials for semiconductor patterning applications
US10037884B2 (en) 2016-08-31 2018-07-31 Lam Research Corporation Selective atomic layer deposition for gapfill using sacrificial underlayer
US9865455B1 (en) 2016-09-07 2018-01-09 Lam Research Corporation Nitride film formed by plasma-enhanced and thermal atomic layer deposition process
US10454029B2 (en) 2016-11-11 2019-10-22 Lam Research Corporation Method for reducing the wet etch rate of a sin film without damaging the underlying substrate
US10832908B2 (en) 2016-11-11 2020-11-10 Lam Research Corporation Self-aligned multi-patterning process flow with ALD gapfill spacer mask
US10134579B2 (en) 2016-11-14 2018-11-20 Lam Research Corporation Method for high modulus ALD SiO2 spacer
US10319582B2 (en) * 2017-04-27 2019-06-11 Lam Research Corporation Methods and apparatus for depositing silicon oxide on metal layers
WO2019012797A1 (ja) 2017-07-13 2019-01-17 株式会社Kokusai Electric 半導体装置の製造方法、基板処理装置およびプログラム
JP6840051B2 (ja) * 2017-08-02 2021-03-10 東京エレクトロン株式会社 タングステン膜上へシリコン酸化膜を形成する方法および装置
US10269559B2 (en) 2017-09-13 2019-04-23 Lam Research Corporation Dielectric gapfill of high aspect ratio features utilizing a sacrificial etch cap layer
JP6777614B2 (ja) 2017-09-26 2020-10-28 株式会社Kokusai Electric 半導体装置の製造方法、基板処理装置、およびプログラム
US10872762B2 (en) * 2017-11-08 2020-12-22 Taiwan Semiconductor Manufacturing Company, Ltd. Methods of forming silicon oxide layer and semiconductor structure
US11075076B2 (en) * 2017-12-01 2021-07-27 Tokyo Electron Limited Method for manufacturing a semiconductor device and film deposition apparatus
WO2019169335A1 (en) 2018-03-02 2019-09-06 Lam Research Corporation Selective deposition using hydrolysis
FR3086705B1 (fr) * 2018-09-27 2020-10-23 Pfeiffer Vacuum Pompe a vide primaire de type seche et procede de controle de l'injection d'un gaz de purge
US20220230855A1 (en) * 2021-01-19 2022-07-21 Changxin Memory Technologies, Inc. Process apparatus and process method

Family Cites Families (22)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2980340B2 (ja) * 1990-04-28 1999-11-22 科学技術振興事業団 Cvd方法
JPH04349629A (ja) * 1991-05-28 1992-12-04 Hitachi Ltd 半導体装置及びその製造方法
JP3256708B2 (ja) * 1993-03-26 2002-02-12 川崎マイクロエレクトロニクス株式会社 半導体装置の製造方法
JP3337876B2 (ja) * 1994-06-21 2002-10-28 株式会社東芝 半導体装置の製造方法
US5721155A (en) * 1995-02-13 1998-02-24 Lg Semicon Co., Ltd. Method for forming a via contact of a semiconductor device
JP3417751B2 (ja) * 1995-02-13 2003-06-16 株式会社東芝 半導体装置の製造方法
JP3105770B2 (ja) * 1995-09-29 2000-11-06 日本電気株式会社 半導体装置の製造方法
JPH09246257A (ja) 1996-03-08 1997-09-19 Hitachi Ltd 半導体製造装置およびガス排出方法
JP3440698B2 (ja) * 1996-06-24 2003-08-25 ソニー株式会社 半導体装置の製造方法
JP3610745B2 (ja) * 1996-11-28 2005-01-19 ソニー株式会社 層間絶縁膜の形成方法
JP2000114395A (ja) * 1998-10-09 2000-04-21 Sony Corp 半導体装置およびその製造方法
JP3644880B2 (ja) 2000-06-20 2005-05-11 東京エレクトロン株式会社 縦型熱処理装置
JP2003218106A (ja) * 2002-01-23 2003-07-31 Hitachi Kokusai Electric Inc 半導体装置の製造方法
US6846516B2 (en) * 2002-04-08 2005-01-25 Applied Materials, Inc. Multiple precursor cyclical deposition system
JP2005533390A (ja) * 2002-07-18 2005-11-04 アヴィザ テクノロジー インコーポレイテッド 混合成分を有する薄膜の分子層蒸着
TW200422424A (en) * 2002-08-18 2004-11-01 Asml Us Inc Low temperature deposition of silicon oxides and oxynitrides
US6779378B2 (en) * 2002-10-30 2004-08-24 Asm International N.V. Method of monitoring evaporation rate of source material in a container
US7097886B2 (en) * 2002-12-13 2006-08-29 Applied Materials, Inc. Deposition process for high aspect ratio trenches
KR100470973B1 (ko) * 2003-02-26 2005-03-10 삼성전자주식회사 고밀도 플라즈마 화학기상증착 공정
US7399388B2 (en) * 2003-07-25 2008-07-15 Applied Materials, Inc. Sequential gas flow oxide deposition technique
US20050054612A1 (en) * 2003-09-08 2005-03-10 Monahan Sean D. Delivery by labile hydrophobic modification of drugs
US20050181633A1 (en) * 2004-02-17 2005-08-18 Hochberg Arthur K. Precursors for depositing silicon-containing films and processes thereof

Cited By (10)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR100938528B1 (ko) * 2006-01-17 2010-01-25 가부시키가이샤 히다치 고쿠사이 덴키 반도체 장치의 제조 방법
US7767594B2 (en) 2006-01-17 2010-08-03 Hitachi Kokusai Electric Inc. Semiconductor device producing method
US8058184B2 (en) 2006-01-17 2011-11-15 Hitachi Kokusai Electric Inc. Semiconductor device producing method
KR101131645B1 (ko) * 2006-09-06 2012-03-28 도쿄엘렉트론가부시키가이샤 반도체 처리용의 성막 방법 및 장치
US8168270B2 (en) 2006-09-06 2012-05-01 Tokyo Electron Limited Film formation method and apparatus for semiconductor process
WO2008118531A2 (en) * 2007-01-30 2008-10-02 Aviza Technology, Inc. Oxide-containing film formed from silicon
WO2008118531A3 (en) * 2007-01-30 2009-05-07 Aviza Tech Inc Oxide-containing film formed from silicon
KR20100106789A (ko) * 2009-03-24 2010-10-04 삼성전자주식회사 무기계 실리콘 전구체를 이용한 실리콘 산화막의 형성 방법및 이를 이용한 반도체 장치의 제조 방법
KR20210010650A (ko) * 2016-06-28 2021-01-27 어플라이드 머티어리얼스, 인코포레이티드 3d nand 메모리 디바이스들을 위한 cvd 기반 산화물-금속 다중 구조물
US11817320B2 (en) 2016-06-28 2023-11-14 Applied Materials, Inc. CVD based oxide-metal multi structure for 3D NAND memory devices

Also Published As

Publication number Publication date
TWI336108B (en) 2011-01-11
KR100861851B1 (ko) 2008-10-07
CN100426475C (zh) 2008-10-15
US7651730B2 (en) 2010-01-26
TW200625444A (en) 2006-07-16
JP4595702B2 (ja) 2010-12-08
CN1734726A (zh) 2006-02-15
US20060032442A1 (en) 2006-02-16
JP2006054432A (ja) 2006-02-23

Similar Documents

Publication Publication Date Title
KR100861851B1 (ko) 실리콘 산화막 형성 방법 및 장치
KR101514867B1 (ko) 성막 방법 및 성막 장치
KR101247828B1 (ko) 반도체 처리용 성막 방법 및 성막 장치와, 컴퓨터로 판독 가능한 매체
KR100890684B1 (ko) 반도체 처리용 성막 방법
US7825039B2 (en) Vertical plasma processing method for forming silicon containing film
JP5190307B2 (ja) 成膜方法、成膜装置及び記憶媒体
US7906168B2 (en) Film formation method and apparatus for forming silicon oxide film
TWI516631B (zh) 半導體處理用之批次化學氣相沉積方法及設備
JP4285184B2 (ja) 成膜方法及び成膜装置
KR100954243B1 (ko) 반도체 처리용 성막 장치 및 방법과 컴퓨터로 판독 가능한 매체
KR101503725B1 (ko) 성막 방법 및 성막 장치
KR101434345B1 (ko) 성막 방법 및 성막 장치
US8168270B2 (en) Film formation method and apparatus for semiconductor process
CN110581067A (zh) 蚀刻方法及蚀刻装置
CN109671611B (zh) 半导体器件的制造方法、衬底处理装置及记录介质
JP2011254063A (ja) 薄膜の形成方法及び成膜装置
KR100860683B1 (ko) 성막 방법 및 열처리 장치
JP2006190787A (ja) 基板処理装置及び半導体デバイスの製造方法
JP2007035740A (ja) 成膜方法、成膜装置及び記憶媒体
KR100980126B1 (ko) 성막 방법, 성막 장치 및 기억매체

Legal Events

Date Code Title Description
A201 Request for examination
E902 Notification of reason for refusal
E701 Decision to grant or registration of patent right
GRNT Written decision to grant
FPAY Annual fee payment

Payment date: 20120907

Year of fee payment: 5

FPAY Annual fee payment

Payment date: 20130903

Year of fee payment: 6

FPAY Annual fee payment

Payment date: 20140901

Year of fee payment: 7

FPAY Annual fee payment

Payment date: 20150827

Year of fee payment: 8

FPAY Annual fee payment

Payment date: 20160831

Year of fee payment: 9

FPAY Annual fee payment

Payment date: 20170830

Year of fee payment: 10

FPAY Annual fee payment

Payment date: 20180920

Year of fee payment: 11

FPAY Annual fee payment

Payment date: 20190919

Year of fee payment: 12