JP4189394B2 - 縦型cvd装置を使用するcvd方法 - Google Patents

縦型cvd装置を使用するcvd方法 Download PDF

Info

Publication number
JP4189394B2
JP4189394B2 JP2005178009A JP2005178009A JP4189394B2 JP 4189394 B2 JP4189394 B2 JP 4189394B2 JP 2005178009 A JP2005178009 A JP 2005178009A JP 2005178009 A JP2005178009 A JP 2005178009A JP 4189394 B2 JP4189394 B2 JP 4189394B2
Authority
JP
Japan
Prior art keywords
gas
processing chamber
processed
supply
reaction
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Active
Application number
JP2005178009A
Other languages
English (en)
Other versions
JP2006013490A (ja
Inventor
廣行 松浦
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Tokyo Electron Ltd
Original Assignee
Tokyo Electron Ltd
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Tokyo Electron Ltd filed Critical Tokyo Electron Ltd
Publication of JP2006013490A publication Critical patent/JP2006013490A/ja
Application granted granted Critical
Publication of JP4189394B2 publication Critical patent/JP4189394B2/ja
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Classifications

    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/36Carbonitrides
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/40Oxides
    • C23C16/405Oxides of refractory metals or yttrium
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45527Atomic layer deposition [ALD] characterized by the ALD cycle, e.g. different flows or temperatures during half-reactions, unusual pulsing sequence, use of precursor mixtures or auxiliary reactants or activations
    • C23C16/45531Atomic layer deposition [ALD] characterized by the ALD cycle, e.g. different flows or temperatures during half-reactions, unusual pulsing sequence, use of precursor mixtures or auxiliary reactants or activations specially adapted for making ternary or higher compositions
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45544Atomic layer deposition [ALD] characterized by the apparatus
    • C23C16/45546Atomic layer deposition [ALD] characterized by the apparatus specially adapted for a substrate stack in the ALD reactor
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/0217Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material being a silicon nitride not containing oxygen, e.g. SixNy or SixByNz
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02172Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides
    • H01L21/02175Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides characterised by the metal
    • H01L21/02178Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides characterised by the metal the material containing aluminium, e.g. Al2O3
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02172Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides
    • H01L21/02175Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides characterised by the metal
    • H01L21/02181Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides characterised by the metal the material containing hafnium, e.g. HfO2
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02172Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides
    • H01L21/02175Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides characterised by the metal
    • H01L21/02183Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides characterised by the metal the material containing tantalum, e.g. Ta2O5
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02205Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition
    • H01L21/02208Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si
    • H01L21/02211Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si the compound being a silane, e.g. disilane, methylsilane or chlorosilane
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/0228Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition deposition by cyclic CVD, e.g. ALD, ALE, pulsed CVD
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/314Inorganic layers
    • H01L21/316Inorganic layers composed of oxides or glassy oxides or oxide based glass
    • H01L21/31604Deposition from a gas or vapour
    • H01L21/31637Deposition of Tantalum oxides, e.g. Ta2O5
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/314Inorganic layers
    • H01L21/318Inorganic layers composed of nitrides
    • H01L21/3185Inorganic layers composed of nitrides of siliconnitrides

Landscapes

  • Chemical & Material Sciences (AREA)
  • General Chemical & Material Sciences (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Engineering & Computer Science (AREA)
  • Materials Engineering (AREA)
  • Mechanical Engineering (AREA)
  • Metallurgy (AREA)
  • Organic Chemistry (AREA)
  • Inorganic Chemistry (AREA)
  • Chemical Vapour Deposition (AREA)
  • Formation Of Insulating Films (AREA)

Description

本発明は、半導体処理システムにおける縦型CVD(Chemical Vapor Deposition)装置及び同装置を使用するCVD方法に関する。ここで、半導体処理とは、ウエハやLCD(Liquid crystal display)やFPD(Flat Panel Display)用のガラス基板などの被処理基板上に半導体層、絶縁層、導電層などを所定のパターンで形成することにより、該被処理基板上に半導体デバイスや、半導体デバイスに接続される配線、電極などを含む構造物を製造するために実施される種々の処理を意味する。
半導体デバイスの製造において、半導体ウエハに対してCVD処理やパターンエッチング処理が繰り返し施される。半導体デバイスが高密度化及び高集積化するに伴って、CVD処理に求められる仕様は年々厳しくなっている。例えばキャパシタの絶縁膜やゲート絶縁膜のように非常に薄い酸化膜などに対しても更なる薄膜化と、高い絶縁性とが要求されている。
CVD処理に関して、形成される膜の質を向上させるための技術として、所謂ALD(Atomic Layer Deposition)が知られている。ALDでは、少なくとも2つの反応ガスをパルス状に交互に供給することにより、一方の反応ガスをウエハに吸着させる工程と、他方の反応ガスを吸着反応ガスに対して作用させる工程とを繰り返す。このようにして、各工程サイクルで形成される薄い層を積層することにより、所定の厚さの膜を形成する。
一方、半導体デバイスの製造において、被処理基板、例えば半導体ウエハに、半導体処理を施す装置として、多数枚のウエハを一度に熱処理する縦型熱処理装置が知られている。通常、縦型熱処理装置は、ウエハを収納するための気密な縦型の処理室(反応管)を有する。処理室の底部にはロードポートが形成され、これはエレベータによって昇降される蓋体によって選択的に開放及び閉鎖される。
処理室内おいてウエハはウエハボートと呼ばれる保持具により、水平に且つ互いに間隔をあけて積重ねた状態で保持される。ウエハボートは、ウエハを搭載すると共に蓋体上に支持された状態で、エレベータによってロードポートを通して処理室内にロード及びアンロードされる。
特許文献1は、ALD型のCVD処理を行う縦型熱処理装置の1つの例を開示する。この公報に開示の装置は、内筒及び外筒からなる2重管構造の処理室を有する。交互供給される2つの反応ガスの夫々は、内筒の底部から供給され、積重ねられたウエハの間を通過した後、内筒の頂部から排出路に流される。
特許文献2及び特許文献3は、ALD型のCVD処理を行う縦型熱処理装置の別の例を開示する。これらの公報の夫々に開示の装置は、単管構造の処理室を有し、その内部に、2つの反応ガスに共通のバッファ室が垂直方向に延びるように形成される。バッファ室は、積重ねられたウエハの実質的に全体に亘るように配列された供給孔を有する。2つの反応ガスは交互にバッファ室内に供給され、供給孔から基板に対して供給される。
米国特許第6,585,823B1公報 特開2003−45864号公報 特開2003−297818号公報
後述するように、本発明者によれば、上記従来の装置では、ウエハ上に形成された膜の質及び厚さ等の特性に関して面間均一性(ウエハ間の均一性)が悪い、或いは反応ガスを効率よく交換することができないために生産性が低下する、などの問題があることが見出されている。本発明は、これらの問題の少なくとも1つを解消することができる、半導体処理システムにおける縦型CVD装置及び同装置を使用するCVD方法を提供することを目的とする。
本発明の第1の視点は、複数の被処理基板に対して一緒にCVD処理を施すための縦型CVD装置であって、
前記被処理基板を収納する気密な処理室と、
前記処理室内で前記被処理基板を互いに間隔をあけて積重ねた状態で保持する保持具と、
前記処理室の内部雰囲気を加熱するヒータと、
前記処理室内を排気する排気系と、
前記処理室内に処理ガスを供給する供給系と、前記供給系は、第1反応ガスを供給する第1反応ガスラインに接続された複数の第1供給孔と、第2反応ガスを供給する第2反応ガスラインに接続された複数の第2供給孔とを具備することと、前記第1供給孔のセット及び前記第2供給孔のセットの夫々は、積重ねられた前記被処理基板の実質的に全体に亘るように前記被処理基板のエッジの横で垂直方向に配列されることと、
第1及び第2工程を複数繰り返し実行することにより、前記第1及び第2反応ガスに由来する薄膜を前記被処理基板上に形成するように、前記装置の動作を制御する制御部と、前記第1工程は、前記第1及び第2反応ガスの一方のガスを供給すると共に他方のガスを停止することにより、前記被処理基板の表面に前記一方のガスを吸着させることと、前記第2工程は、前記他方のガスを供給すると共に前記一方のガスを停止することにより、前記被処理基板の表面に吸着する前記一方のガスに、前記他方のガスを作用させることと、
を具備する。
本発明の第2の視点は、複数の被処理基板に対して一緒にCVD処理を施すための縦型CVD装置であって、
前記被処理基板を収納する気密な処理室と、
前記処理室内で前記被処理基板を互いに間隔をあけて積重ねた状態で保持する保持具と、
前記処理室の内部雰囲気を加熱するヒータと、
前記処理室内を排気する排気系と、
前記処理室内に処理ガスを供給する供給系と、前記供給系は、第1反応ガスを供給する第1反応ガスラインに接続された第1供給孔と、第2反応ガスを供給する第2反応ガスラインに接続された複数の第2供給孔とを具備することと、前記第1供給孔は、前記処理室の実質的に底部に配置されることと、前記第2供給孔は、積重ねられた前記被処理基板の実質的に全体に亘るように前記被処理基板のエッジの横で垂直方向に配列されることと、
第1及び第2工程を複数繰り返し実行することにより、前記第1及び第2反応ガスに由来する薄膜を前記被処理基板上に形成するように、前記装置の動作を制御する制御部と、前記第1工程は、前記第1及び第2反応ガスの一方のガスを供給すると共に他方のガスを停止することにより、前記被処理基板の表面に前記一方のガスを吸着させることと、前記第2工程は、前記他方のガスを供給すると共に前記一方のガスを停止することにより、前記被処理基板の表面に吸着する前記一方のガスに、前記他方のガスを作用させることと、
を具備する。
本発明の第3の視点は、縦型CVD装置において複数の被処理基板に対して一緒にCVD処理を施す方法であって、
前記装置は、
前記被処理基板を収納する気密な処理室と、
前記処理室内で前記被処理基板を互いに間隔をあけて積重ねた状態で保持する保持具と、
前記処理室の内部雰囲気を加熱するヒータと、
前記処理室内を排気する排気系と、
前記処理室内に処理ガスを供給する供給系と、
を具備し、
前記方法は、
第1及び第2反応ガスの一方のガスを供給すると共に他方のガスを停止することにより、前記被処理基板の表面に前記一方のガスを吸着させる第1工程と、
前記他方のガスを供給すると共に前記一方のガスを停止することにより、前記被処理基板の表面に吸着する前記一方のガスに、前記他方のガスを作用させる第2工程と、
を具備し、
前記第1及び第2工程を複数繰り返し実行することにより、前記第1及び第2反応ガスに由来する薄膜を前記被処理基板上に形成し、
前記第1反応ガスは、積重ねられた前記被処理基板の実質的に全体に亘るように前記被処理基板のエッジの横で垂直方向に配列された複数の第1供給孔から供給し、前記第2反応ガスは、積重ねられた前記被処理基板の実質的に全体に亘るように前記被処理基板のエッジの横で垂直方向に配列された複数の第2供給孔から供給する。
本発明の第4の視点は、縦型CVD装置において複数の被処理基板に対して一緒にCVD処理を施す方法であって、
前記装置は、
前記被処理基板を収納する気密な処理室と、
前記処理室内で前記被処理基板を互いに間隔をあけて積重ねた状態で保持する保持具と、
前記処理室の内部雰囲気を加熱するヒータと、
前記処理室内を排気する排気系と、
前記処理室内に処理ガスを供給する供給系と、
を具備し、
前記方法は、
第1及び第2反応ガスの一方のガスを供給すると共に他方のガスを停止することにより、前記被処理基板の表面に前記一方のガスを吸着させる第1工程と、
前記他方のガスを供給すると共に前記一方のガスを停止することにより、前記被処理基板の表面に吸着する前記一方のガスに、前記他方のガスを作用させる第2工程と、
を具備し、
前記第1及び第2工程を複数繰り返し実行することにより、前記第1及び第2反応ガスに由来する薄膜を前記被処理基板上に形成し、
前記第1反応ガスは、前記処理室の実質的に底部に配置された第1供給孔から供給し、前記第2反応ガスは、積重ねられた前記被処理基板の実質的に全体に亘るように前記被処理基板のエッジの横で垂直方向に配列された複数の第2供給孔から供給する。
更に、本発明に係る実施の形態には種々の段階の発明が含まれており、開示される複数の構成要件における適宜な組み合わせにより種々の発明が抽出され得る。例えば、実施の形態に示される全構成要件から幾つかの構成要件が省略されることで発明が抽出された場合、その抽出された発明を実施する場合には省略部分が周知慣用技術で適宜補われるものである。
本発明によれば、被処理基板上に形成された膜の特性に関して面間均一性を向上させる、或いは反応ガスを効率よく交換することができるようになる。
本発明者は、本発明の開発の過程で、ALD型のCVD処理を行う縦型熱処理装置における問題点について研究した。その結果、本発明等は、以下に述べるような知見を得た。
特許文献1に開示の装置の場合、処理条件(温度、ガス流量、圧力、時間)によるが、反応ガスの導入側(底部)に近いウエハの方が処理ガスの分子の吸着量が多くなりやすい。このため、ウエハの垂直方向の位置に依存して、膜質や膜厚が異なってしまう(面間均一性が悪い)。また、ウエハ間に反応ガスが滞留しやすく、反応ガスを効率よく交換することができない。このため、各反応ガスの供給パルス間のパージに時間が掛り、生産性が低下する。
特許文献2及び特許文献3に開示の装置の場合、2つの反応ガスが交互に一旦供給される共通のバッファ室内に反応ガスが残留しやすい。このため、反応副生成物がバッファ室内に堆積する或いは供給孔を部分的に塞ぐという問題が生じ、設計通りのガス供給ができなくなる。この問題を解消するには、各反応ガスの供給パルス間のパージに時間を掛ける必要があり、生産性が低下する。
以下に、このような知見に基づいて構成された本発明の実施の形態について図面を参照して説明する。なお、以下の説明において、略同一の機能及び構成を有する構成要素については、同一符号を付し、重複説明は必要な場合にのみ行う。
<第1実施形態>
図1は、本発明の第1実施形態に係るCVD装置を示す断面図である。図2は、図1に示す装置の平面図である。図3は、図1に示す装置の処理室の上部を拡大してガスの流れを示す図である。このCVD装置2は、シラン系ガス(シリコンソースガス)から実質的になる第1ガスと、窒化ガスから実質的になる第2ガスと、炭化水素ガスから実質的になる第3ガスと、を交互に供給し、シリコン窒化膜を形成するように構成される。一例として、例えばジクロロシラン(DCS:SiHCl)とNHを用いてシリコン窒化膜を堆積する際に、炭化水素ガスを供給して膜中に炭素成分を含有させる。
図1に示すように、CVD装置2は、筒体状の石英製の内筒4と、その外側に所定の間隙10を介して同心円状に配置した石英製の外筒6と、よりなる2重管構造の処理室8を有する。処理室8の外側は、加熱ヒータ等の加熱手段12と断熱材14とを備えた加熱カバー16により覆われる。加熱手段12は断熱材14の内面に全面に亘って配設される。なお、本実施の形態において、処理室8の内筒4の内径は240mm程度、高さは1300mm程度の大きさであり、処理室8の容積は略110リットル程度である。
処理室8の下端は、例えばステンレススチール製の筒体状のマニホールド18によって支持される。内筒4の下端は、マニホールド18の内壁より内側へ突出させたリング状の支持板18Aにより支持される。被処理基板である半導体ウエハWを多段に載置した石英製のウエハボート20が、マニホールド18の下方から、処理室8に対してロード/アンロードされる。本実施の形態の場合において、ウエバボート20には、例えば100〜150枚程度の直径が200mmのウエハが略等ピッチで多段に支持可能となる。なお、ウエハWのサイズ及び収容枚数はこれに限定されず、例えば直径300mmのウエハに対しても適用できる。
ウエハボート20は、石英製の保温筒22を介して回転テーブル24上に載置される。回転テーブル24は、マニホールド18の下端開口部を開閉する蓋部26を貫通する回転軸28上に支持される。回転軸28の貫通部には、例えば磁性流体シール30が介設され、回転軸28が気密にシールされた状態で回転可能に支持される。また、蓋部26の周辺部とマニホールド18の下端部には、例えばOリング等よりなるシール部材32が介設され、処理室8内のシール性が保持される。
回転軸28は、例えばボートエレベータ等の昇降機構34に支持されたアーム36の先端に取り付けられる。昇降機構34により、ウエハボート20及び蓋部26等が一体的に昇降される。マニホールド18の側部には、内筒4と外筒6との間隙10の底部から処理室8内の雰囲気を排出する排気口38が形成される。排気口38は、真空ポンプ等を有する真空排気部39に接続される。
マニホールド18の側部には、内筒4内に所定の処理ガスを供給するためのガス供給部40が配設される。具体的には、ガス供給部40は、シラン系ガス供給系42と、窒化ガス供給系44と、炭化水素ガス供給系46とを含む。各ガス供給系42、44、46は、水平方向に並んでマニホールド18の側壁を貫通して設けられたガスノズル48、50、52を夫々有する。なお、便宜的に、図1では、ガスノズル48、50、52は、垂直方向に並んでマニホールド18の側壁を貫通するように示される。
各ガスノズル48、50、52は、処理室8の底部で直角に折れ曲がり、ウエハボート20に沿って最上部まで垂直に延在する。ガスノズル48、50、52は、水平方向に並んでマニホールド18の側壁を貫通し、それらの垂直部分も、図2に示すように、ウエハボート20の周囲に沿って並べられる。図3に示すように、各ガスノズル48、50、52の垂直部分には、処理ガスを供給する多数の供給孔53が形成される。供給孔53は、積重ねられたウエハWの実質的に全体に亘るようにウエハWのエッジの横で垂直方向に配列される。
各ガスノズル48、50、52には、マスフローコントローラのような流量制御器54、56、58と、切替え弁55、57、59とを夫々介設したガス流路60、62、64が夫々接続される。ガス流路60、62、64は、シラン系ガス、窒化ガス、炭化水素ガスを夫々流量制御しつつ供給できるように構成される。ここで、例えばシラン系ガスとしてDCSガスが使用され、窒化ガスとしてはNHガスが使用され、そして、炭化水素ガスとしてはエチレン(C)ガスが使用される。
また、ガス供給部40は、不活性ガス(キャリアガス或いはパージガスとして使用される)を供給する不活性ガス供給系72を含む。不活性ガス供給系72は、各ガス流路60、62、64に個々に接続された不活性ガスライン76a、76b、76cを有する。各不活性ガスライン76a、76b、76cには、マスフローコントローラのような流量制御器74a、74b、74cと、切替え弁75a、75b、75cとが夫々介設される。不活性ガスとしては、例えば、N或いはAr等が使用される。
即ち、第1実施形態の装置においては、ガス供給系42、44、46は、夫々が対応の反応ガスと不活性ガスとを選択的に或いは同時に供給可能なガスノズル48、50、52を有する。各ノズル48、50、52には、積重ねられたウエハWの実質的に全体に亘るようにウエハWのエッジの横で垂直方向に配列された供給孔53が形成される。一方、ウエハWの周囲には、内筒4の内面に沿って、積重ねられたウエハWの実質的に全体に亘るようにウエハWのエッジの横で垂直方向に延在する内側排気路9が形成される。内側排気路9は、真空排気部39に接続された内筒4と外筒6との間の間隙(外側排気路)10と、内筒4の上端部で連通する。
次に、以上のように構成された装置を用いて行なわれるCVD方法について説明する。なお、以下に述べる方法(ガスの供給及び停止を含む)は、予めCPU5の記憶部5sに予め記憶された、CVD処理の処理レシピ、例えば、形成されるシリコン窒化膜の膜厚に応じて行うことができる。記憶部5sにはまた、処理ガス流量とシリコン窒化膜の膜厚との関係が予め制御データとして記憶される。従って、CPU5は、これらの記憶された処理レシピや制御データに基づいて、ガス供給部40等を制御することができる。
まず、CVD装置がウエハをロードしていない待機状態にある時は、処理室8内を処理温度、例えば550℃程度に維持する。一方、多数枚、例えば100枚のウエハWを搭載した常温のウエハボート20を、処理室8内にその下方より上昇させて処理室8内にロードする。そして、蓋部26でマニホールド18の下端開口部を閉じることにより処理室8内を密閉する。
次に、処理室8内を真空引きして所定の処理圧力に維持すると共に、ウエハ温度を成膜用の処理温度に上昇させる。温度安定後、所定のシラン系ガスであるDCSガスと、窒化ガスであるアンモニアガスと、炭化水素ガスであるエチレンガスとを、夫々流量制御しつつガス供給部40の各ノズル48、50、52から供給する。この際、以下に述べるようなガス供給パターンを使用し、シリコン窒化膜を形成する。なお、成膜の全期間に亘って処理室8内が真空引きされる。
図4は、本発明の第1実施形態に係るガス供給パターンを示すタイミングチャートである。図4に示すように、3種類の反応ガスの供給時期、即ち供給のタイミングは互いに異なる。即ち、まずDCSガスを供給し(T1)、次にNHガスを供給し(T3)、最後にCガスを供給する(T5)、という1サイクルを複数回連続的に行う。ガス供給期間T1、T3、T5の間に、3種類の反応ガスの全てを停止して不活性ガスでパージを行う間欠期間T2、T4、T6を設ける。
DCSガスの流量は50〜2000sccm、例えば300sccm、NHガスの流量は150〜5000sccm、例えば1000sccm、Cガスの流量は50〜2000sccm、例えば500sccmである。処理温度は450〜600℃、例えば550℃で一定であり、処理圧力は、ガス供給期間T1、T3、T5では13Pa〜1.33kPa、例えば133Pa(1Torr)、間欠期間T2、T4、T6では13〜133Pa、例えば40Pa(0.3Torr)である。1回(1パルス)のガス供給期間T1、T3、T5は15〜60秒で、間欠期間T2、T4、T6は30〜180秒である。例えば、ガス供給期間が30秒で間欠期間が30秒とすると、1サイクルT1〜T6の長さは3分程度である。
各サイクルT1〜T6において、ウエハWの表面上では以下のようにプロセスが進行する。即ち、第1反応ガスであるDCSガスを供給する第1の供給期間T1では、ウエハWの表面にDCSガスが吸着する。第2反応ガスであるNHガスを供給する第2の供給期間T3では、ウエハWの表面に吸着するDCSガスにNHガスが作用し、シリコン窒化物からなる単位層がウエハWの表面上に形成される。そして、第3反応ガスであるCガスを供給する第3の供給期間T5では、CガスのC=C二重結合のうちのπ結合が開裂してシリコン窒化物と反応し、炭素成分がシリコン窒化物からなる単位層中に含有される。このようにして、各サイクルT1〜T6で形成される薄い単位層を積層することにより、所定の厚さの炭素成分含有シリコン窒化膜を形成する。
間欠期間T2、T4、T6では、不活性ガスでパージを行うことにより、ウエハWの表面上から不要なガスを排出する。成膜の全期間T1〜T6に亘って処理室8内が真空引きされるため、3種類のガスの供給を停止すると共に、各ノズル48、50、52の供給孔53から不活性ガスとして例えばNガスのみを供給することにより、パージ処理を行うことができる。なお、不活性ガスを供給せずに、処理室8内の真空引きのみを継続させてもよい。
このように、シリコン窒化膜を成膜する際に、炭化水素ガスとして例えばCガスを処理室8内へ供給することにより、ウエハ表面に形成されるシリコン窒化膜中に炭素成分が含有された状態となる。これにより、従来の成膜温度、例えば760℃程度よりも低い温度、例えば550℃で成膜したにもかかわらず、シリコン窒化膜の表面のクリーニング処理時やエッチング処理時に用いられる希フッ酸に対するエッチングレートを小さくできる。その結果、クリーニング処理時にシリコン窒化膜が過度に削り取られることを防止して、この膜厚の制御性を向上させることが可能となる。また、シリコン窒化膜がエッチングストッパ膜としての機能も十分に果すことができるようになる。
なお、間欠期間T2、T4、T6は、ウエハWの表面上に形成される膜の質を向上させる改質期間として機能する。間欠期間における、改質作用は次のように進行するものと考えられる。即ち、炭素原子を含有するシリコン窒化膜の成膜時には、この薄膜の最表面にDCSガス中の堆積時に脱離できなかったCl原子が活性化状態で結合する。DCSガスの供給が停止される間欠期間において、CガスやNHガス中のC原子やN原子が上記薄膜最表面のCl原子と置換されて膜中のCl成分が減少し、結果的にエッチングレートが低下する。特に、Cガスを用いた場合には、シリコン窒化膜中に取り込まれるC原子の量が増加することとなるのでエッチングレートを一層抑制することが可能となる。
第1実施形態の装置においては、ガス供給期間T1、T3、T5において、3種類のガスの夫々が、対応のノズル48、50、52の供給孔53からウエハW間に概ね水平に強制的に送り込まれる(図3、矢印A1参照)。また、パージ期間として機能する間欠期間T2、T4、T6において、不活性ガスが、対応のノズル48、50、52の供給孔53からウエハW間に概ね水平に強制的に送り込まれる(図3、矢印A1参照)。これらの供給されたガスは、真空排気部39の作用下で、ウエハW間から、ウエハWのエッジに沿って垂直に延びる内側排気路9に沿って上方に排出される(図3、矢印A2参照)。
このようなガスの供給及び排出により、ウエハWの垂直方向の位置に関わらず、全てのウエハWに対して均等に反応ガスを供給することができる。従って、ウエハW上に形成された膜の質及び厚さ等の特性に関して面間均一性(ウエハ間の均一性)が向上する。また、ウエハW間への強制的なガス供給により、ウエハWの表面上での反応ガスの交換を効率よく行うことができる。従って、パージ期間(間欠期間)を短くして、各サイクルT1〜T6を短縮でき、その分生産性が向上する。
また、各ノズル48、50、52を通して不活性ガスを供給するため、各ノズル48、50、52内や供給孔53に副生成物が堆積するのを防止することができる。この観点からは、パージ期間において、不活性ガスを供給するのは、少なくともその直前に反応ガスを供給したノズルからだけでよく、他のノズルからの不活性ガスの供給は任意である。
<第2実施形態>
図5は、本発明の第2実施形態に係るCVD装置の処理室を示す断面図である。図6は、図5に示す装置の処理室の上部を拡大してガスの流れを示す図である。この装置も、シラン系ガスであるDCSガスと、窒化ガスであるアンモニアガスと、炭化水素ガスであるエチレンガスとを交互に供給し、シリコン窒化膜を形成するように構成される。
図5に示す装置は、図1に示す装置と類似するが、排気系に関して異なる構成を有する。図5及び図6に示すように、ガスノズル48、50、52の供給孔53が配列された第1側と対向する第2側で、内筒4Xの壁に複数の排気孔81が形成される。排気孔81は、積重ねられたウエハWの実質的に全体に亘るようにウエハWのエッジの横で垂直方向に配列される。排気孔81は、真空排気部39に接続された内筒4Xと外筒6との間の間隙(外側排気路)10と連通する。なお、内筒4Xの頂部は、ガスが流れ出ないように、天板80により完全に閉鎖される。
図5に示す装置を用いて行なわれるCVD方法は、図1に示す装置を参照して説明したものと概ね同じである。この際の、ガス供給パターンは、図4のタイミングチャートに示すようなものとなる。図5に示す装置においても、ガスノズル48、50、52の供給孔53からのガスは、ウエハW間に概ね水平に強制的に送り込まれる(図6、矢印A5参照)。一方、供給されたガスは、真空排気部39の作用下で、ウエハW間から概ね水平に排気孔81に吸い込まれ、外側排気路10へ排出される(図6、矢印A6参照)。
このようなガスの供給及び排出により、図5に示す装置では、図1に示す装置による効果に加えて次のような効果が得られる。即ち、排気孔81によってウエハW間のガスがウエハWの表面に対して概ね平行に吸い込まれるため、各ウエハWの表面上の一端から他端まで均一な層流が形成されやすくなる。従って、各ウエハW上に形成された膜の質及び厚さ等の特性に関して面内均一性(各ウエハの表面上の均一性)が向上する。また、排気孔81がウエハWのエッジに隣接して配列されるため、ウエハW間からのガスの排出を更に効率よく行うことができる。従って、パージ期間(間欠期間)を短くして、各サイクルT1〜T6を短縮でき、その分生産性が向上する。
<第3実施形態>
図7は、本発明の第3実施形態に係るCVD装置の処理室を示す断面図である。図8は、図7に示す装置の平面図である。この装置も、シラン系ガスであるDCSガスと、窒化ガスであるアンモニアガスと、炭化水素ガスであるエチレンガスとを交互に供給し、シリコン窒化膜を形成するように構成される。
図7に示す装置は、図5に示す装置と類似するが、処理室8Xが内筒を有しておらず、単一の筒からなる。処理室8X内で、垂直に延びるガスノズル48、50、52と、垂直に延びる偏平な排気管85とが、積重ねられたウエハWを挟んで(従って、ウエハボート20を挟んで)対向するように配設される。排気管85は、石英製の筒の内面上に気密に溶接されたケーシング87によって規定され、そのウエハWに対向する壁には複数の排気孔86が形成される。排気孔86は、積重ねられたウエハWの実質的に全体に亘るようにウエハWのエッジの横で垂直方向に配列される。
図7に示す装置は、処理室8Xが単筒構造であるが、図5に示す装置のそれらと概ね同じ作用・効果を得ることができる。
<第4実施形態>
図9は、本発明の第4実施形態に係るCVD装置の処理室を示す断面図である。この装置も、シラン系ガスであるDCSガスと、窒化ガスであるアンモニアガスと、炭化水素ガスであるエチレンガスとを交互に供給し、シリコン窒化膜を形成するように構成される。
図9に示す装置は、図5に示す装置と類似するが、処理室8内の雰囲気を排出する排気口38Xが、外筒6の頂部に形成される。排気口38Xは、加熱カバー16(図1参照)の頂部を貫通する配管を介して、真空ポンプ等を有する真空排気部39に接続される。このように、処理室8の排気口38Xを頂部に配置することにより、装置全体をコンパクトに纏めることができる。その他、図9に示す装置によっても、図5に示す装置のそれらと概ね同じ作用・効果を得ることができる。
<第1乃至第4実施形態の共通事項>
図4のタイミングチャートに示す反応ガスの供給の順番は一例であり、任意に変更することができる。しかし、シリコン表面を有する被処理基板に対しては、Cを含む処理ガスを最初に供給し、シリコン表面にSi−C結合を形成することにより、これを保護することが望ましい。即ち、最初にDCSガスを単独で(或いはCガスと同時でもよい)流し、その後にNHガスを流すことが望ましい。もし、NHガスを先に流すとウエハ面で耐薬品性の弱い(エッチングされ易い)N−Si結合が形成される。これを防止するために、先にDCSガス及び/またはCガスを流すことにより、耐薬品性の強い(エッチングされ難い)Si−C結合を形成する。
シリコン窒化膜に炭素成分を含有させるための炭化水素ガスとしてC(エチレン)が例示される。この点に関し、炭化水素ガスとして、アセチレン、エチレン、メタン、エタン、プロパン、ブタンよりなる群より選択される1または2以上のガスを用いることができる。例えば、炭化水素ガスとしてエタンを用いる場合には、これを500〜1000℃程度に予備加熱した後に処理室8内へ供給するのが好ましい。
なお、炭化水素ガスであるCガスは、シリコン窒化膜の希フッ酸に対するエッチングレートを小さくするために使用される。従って、シリコン窒化膜の用途によっては、炭化水素ガスの供給は不要であり、即ち、炭化水素ガス供給系46(図1参照)は不要となる。この場合、図4のタイミングチャートにおいて、シリコン窒化膜の単位層を形成する1サイクルは、期間T1〜T4によって構成されることとなる。
シリコン窒化膜を形成するためのシラン系ガスとしてジクロロシラン(DCS)が例示される。この点に関し、第1乃至第4実施形態に係る装置に適した、シリコン窒化膜を形成するためのシラン系ガスとして、モノシラン(SiH)、ジシラン(Si)、トリクロロシラン(SiHCl)、テトラクロロシラン(SiCl)、ビスターシャルブチルアミノシラン(BTBAS)からなる群より選択される1または2以上のガスを用いることができる。
第1乃至第4実施形態に係る装置は、シリコン窒化膜以外の膜を形成する場合にも適用することができる。その1例は、アルミナ(Al)膜を形成する場合である。この場合、第1反応ガスとして、アルミニウムを含む有機金属ガス、例えばAl(CHが使用され、第2反応ガスとして、酸化ガス、例えばO、O、HOが使用される。
第1乃至第4実施形態に係る装置では、全てのガスノズル48、50、52が最上部のウエハWまで延びるため、これらに流す反応ガスの種類は考慮しなければならない。即ち、反応ガスが、結合解離エネルギーの低い場合(分解しやすい)、或いは、蒸気圧が低い場合(最上部までガスが均等に供給し難い)は、垂直方向に長いノズルは適さない。かかる観点から、ガスノズル48、50、52で使用するガスは、蒸気圧が2.66kPa以上で、結合解離エネルギーが250kJ/mol以上であることが望ましく、更に、蒸気圧が4kPa以上で、結合解離エネルギーが300kJ/mol以上であることがより望ましい。
<第5実施形態>
図10は、本発明の第5実施形態に係るCVD装置を示す断面図である。図11は、図10に示す装置の処理室の上部を拡大してガスの流れを示す図である。このCVD装置102は、タンタルを含む有機金属ガスから実質的になる第1ガスと、酸化ガスから実質的になる第2ガスとを交互に供給し、タンタル酸化膜を形成するように構成される。一例として、タンタルの金属アルコキシド、例えばTa(OC(ペンタエトキシタンタル:PET)ガスと、HOガス(水蒸気)とを用いてタンタル酸化膜(Ta)を堆積する。
図10に示す装置は、図1に示す装置と類似するが、堆積膜が異なるため、ガス供給部と制御系とが大きく相違する。即ち、マニホールド18の側部には、内筒4内に所定の処理ガスを供給するためのガス供給部140が配設される。具体的には、ガス供給部140は、有機金属ガス供給系142と、酸化ガス供給系144とを含む。各ガス供給系142、144は、水平方向に並んでマニホールド18の側壁を貫通して設けられたガスノズル148、150を夫々有する。なお、便宜的に、図10では、ガスノズル148、150は、垂直方向に並んでマニホールド18の側壁を貫通するように示される。
有機金属ガスとしてPETを供給するガスノズル148は処理室8の底部で上向きに開口する。酸化ガスとして水蒸気を供給するガスノズル150は、処理室8の底部で直角に折れ曲がり、ウエハボート20に沿って最上部まで垂直に延在する。図11に示すように、ガスノズル150の垂直部分には、処理ガスを供給する多数の供給孔153が形成される。供給孔153は、積重ねられたウエハWの実質的に全体に亘るようにウエハWのエッジの横で垂直方向に配列される。
各ガスノズル148、150には、マスフローコントローラのような流量制御器154、156と、切替え弁155、157とを夫々介設したガス流路160、162が夫々接続される。ガス流路160、162は、有機金属ガス、酸化ガスを夫々流量制御しつつ供給できるように構成される。ここで、例えば有機金属ガスとしてPETガスが使用され、酸化ガスとしては水蒸気が使用される。
また、ガス供給部140は、不活性ガス(キャリアガス或いはパージガスとして使用される)を供給する不活性ガス供給系72を含む。不活性ガス供給系72は、各ガス流路160、162に個々に接続された不活性ガスライン76a、76bを有する。各不活性ガスライン76a、76bには、マスフローコントローラのような流量制御器74a、74bと、切替え弁75a、75bとが夫々介設される。不活性ガスとしては、例えば、N或いはAr等が使用される。
即ち、第5実施形態の装置においては、ガス供給系142、144は、夫々が対応の反応ガスと不活性ガスとを選択的に或いは同時に供給可能なガスノズル148、150を有する。ガスノズル148には、処理室8の底部で上向きに開口する供給孔が形成される。ガスノズル150には、積重ねられたウエハWの実質的に全体に亘るようにウエハWのエッジの横で垂直方向に配列された供給孔153が形成される。一方、ウエハWの周囲には、内筒4の内面に沿って、積重ねられたウエハWの実質的に全体に亘るようにウエハWのエッジの横で垂直方向に延在する内側排気路9が形成される。内側排気路9は、真空排気部39に接続された内筒4と外筒6との間の間隙(外側排気路)10と、内筒4の上端部で連通する。
次に、以上のように構成された装置を用いて行なわれるCVD方法について説明する。なお、以下に述べる方法(ガスの供給及び停止を含む)は、予めCPU5の記憶部5sに予め記憶された、CVD処理の処理レシピ、例えば、形成されるタンタル酸化膜の膜厚に応じて行うことができる。記憶部5sにはまた、処理ガス流量とタンタル酸化膜の膜厚との関係が予め制御データとして記憶される。従って、CPU5は、これらの記憶された処理レシピや制御データに基づいて、ガス供給部140等を制御することができる。
まず、CVD装置がウエハをロードしていない待機状態にある時は、処理室8内を処理温度、例えば300℃程度に維持する。一方、多数枚、例えば100枚のウエハWを搭載した常温のウエハボート20を、処理室8内にその下方より上昇させて処理室8内にロードする。そして、蓋部26でマニホールド18の下端開口部を閉じることにより処理室8内を密閉する。
次に、処理室8内を真空引きして所定の処理圧力に維持すると共に、ウエハ温度を成膜用の処理温度に上昇させる。温度安定後、所定の有機金属ガスであるPETガスと、酸化ガスである水蒸気とを、夫々流量制御しつつガス供給部140の各ノズル148、150から供給する。この際、以下に述べるようなガス供給パターンを使用し、タンタル酸化膜を形成する。なお、成膜の全期間に亘って処理室8内が真空引きされる。
図12は、本発明の第5実施形態に係るガス供給パターンを示すタイミングチャートである。図12に示すように、2種類の反応ガスの供給時期、即ち供給のタイミングは互いに異なる。即ち、まず水蒸気を供給し(T11)、次にPETガスを供給する(T13)という1サイクルを複数回連続的に行う。なお、PETガスはNガス等の不活性ガスをキャリアガスとして供給する。ガス供給期間T11、T13の間に、2種類の反応ガスの全てを停止して不活性ガスでパージを行う間欠期間T12、T14を設ける。
水蒸気の流量は10〜1000sccm、PETガスの流量はPETの液体換算で0.05〜5.0ml/min程度、キャリアガスとしてのNガスの流量は1000sccmである。処理温度は200〜450℃で一定であり、処理圧力は、ガス供給期間T11、T13では13〜133Pa、間欠期間T12、T14では13〜133Paである。1回(1パルス)のガス供給期間T11、T13は60〜120秒で間欠期間T12、T14は30〜60秒である。従って、例えば、ガス供給期間が60秒で間欠期間が30秒とすると、1サイクルT11〜T14の長さは3分程度である。
各サイクルT11〜T14において、ウエハWの表面上では以下のようにプロセスが進行する。即ち、第1反応ガスである水蒸気を供給する第1の供給期間T11では、ウエハWの表面に水蒸気が吸着する。第2反応ガスであるPETガスを供給する第2の供給期間T13では、ウエハWの表面に吸着する水蒸気にPETガスが作用し、タンタル酸化物からなる単位層がウエハWの表面上に形成される。このようにして、各サイクルT11〜T14で形成される薄い単位層を積層することにより、所定の厚さのタンタル酸化膜を形成する。
間欠期間T12、T14では、不活性ガスでパージを行うことにより、ウエハWの表面上から不要なガスを排出する。成膜の全期間T11〜T14に亘って処理室8内が真空引きされるため、2種類のガスの供給を停止すると共に、各ノズル148、150の供給孔153から不活性ガスとして例えばNガスのみを供給することにより、パージ処理を行うことができる。なお、不活性ガスを供給せずに、処理室8内の真空引きのみを継続させてもよい。
このように、薄い単位層を積層して成膜することにより、表面性状が良好で且つ電気的特性に優れたタンタル酸化膜を提供することができる。ここで、間欠期間T12、T14は、ウエハWの表面上に形成される膜の質を向上させる改質期間として機能する。
第5実施形態の装置においては、ガス供給期間T11において、水蒸気がノズル150の供給孔153からウエハW間に概ね水平に強制的に送り込まれる(図11、矢印A11参照)。また、パージ期間として機能する間欠期間T12において、不活性ガスがノズル150の供給孔153からウエハW間に概ね水平に強制的に送り込まれる(図11、矢印A11参照)。これらの供給されたガスは、真空排気部39の作用下で、ウエハW間から、ウエハWのエッジに沿って垂直に延びる内側排気路9に沿って上方に排出される(図11、矢印A12参照)。
このようなガスの供給及び排出により、ウエハWの垂直方向の位置に関わらず、全てのウエハWに対して均等に水蒸気を供給することができる。従って、ウエハW上に形成された膜の質及び厚さ等の特性に関して面間均一性(ウエハ間の均一性)が向上する。また、ウエハW間への強制的なガス供給により、ウエハWの表面上での反応ガスの交換を効率よく行うことができる。従って、パージ期間(間欠期間)を短くして、各サイクルT11〜T4を短縮でき、その分生産性が向上する。
一方、結合解離エネルギーが低いPETガスは、処理室8の底部で開口するノズル148の供給孔から供給される。PETガスは、真空排気部39の作用下で上方に移動しながらウエハW間を流れる。ノズル148には、処理室8内の熱の影響を受ける垂直部分が実質的にないため、PETガスがノズル148内で分解する(副生成物堆積の原因となる)可能性は低い。
また、各ノズル148、150を通して不活性ガスを供給するため、各ノズル148、150内や供給孔153に副生成物が堆積するのを防止することができる。この観点からは、パージ期間において、不活性ガスを供給するのは、少なくともその直前に反応ガスを供給したノズルからだけでよく、他のノズルからの不活性ガスの供給は任意である。
<第6実施形態>
図13は、本発明の第6実施形態に係るCVD装置の処理室を示す断面図である。図14は、図13に示す装置の処理室の上部を拡大してガスの流れを示す図である。この装置も、タンタルを含む有機金属ガスであるPETガスと、酸化ガスである水蒸気とを交互に供給し、タンタル酸化膜を形成するように構成される。
図13に示す装置は、図10に示す装置と類似するが、排気系に関して異なる構成を有する。図13及び図14に示すように、処理室8内の雰囲気を排出する排気口38Xが、外筒6の頂部に形成される。排気口38Xは、加熱カバー16(図11参照)の頂部を貫通する配管を介して、真空ポンプ等を有する真空排気部39に接続される。
ガスノズル150の供給孔153が配列された第1側と対向する第2側で、内筒4Xの壁に複数の排気孔81が形成される。排気孔81は、積重ねられたウエハWの実質的に全体に亘るようにウエハWのエッジの横で垂直方向に配列される。排気孔81は、真空排気部39に接続された内筒4Xと外筒6との間の間隙(外側排気路)10と連通する。なお、内筒4Xの頂部は、ガスが流れ出ないように、天板80により完全に閉鎖される。
図13に示す装置を用いて行なわれるCVD方法は、図10に示す装置を参照して説明したものと概ね同じである。この際の、ガス供給パターンは、図12のタイミングチャートに示すようなものとなる。図13に示す装置においても、ガスノズル150の供給孔153からのガスは、ウエハW間に概ね水平に強制的に送り込まれる(図14、矢印A15参照)。また、ノズル148の供給孔からのガスは、処理室8の底部から上方に移動しながらウエハW間を流れる。一方、供給されたガスは、真空排気部39の作用下で、ウエハW間から概ね水平に排気孔81に吸い込まれ、外側排気路10へ排出される(図14、矢印A16参照)。
このようなガスの供給及び排出により、図13に示す装置では、図10に示す装置による効果に加えて次のような効果が得られる。即ち、排気孔81によってウエハW間のガスがウエハWの表面に対して概ね平行に吸い込まれるため、各ウエハWの表面上の一端から他端まで均一な層流が形成されやすくなる。従って、各ウエハW上に形成された膜の質及び厚さ等の特性に関して面内均一性(各ウエハの表面上の均一性)が向上する。また、排気孔81がウエハWのエッジに隣接して配列されるため、ウエハW間からのガスの排出を更に効率よく行うことができる。従って、パージ期間(間欠期間)を短くして、各サイクルT11〜T14を短縮でき、その分生産性が向上する。
<第7実施形態>
図15は、本発明の第7実施形態に係るCVD装置の処理室を示す断面図である。図16は、図15に示す装置の平面図である。この装置も、タンタルを含む有機金属ガスであるPETガスと、酸化ガスである水蒸気とを交互に供給し、タンタル酸化膜を形成するように構成される。
図15に示す装置は、図13に示す装置と類似するが、処理室8Xが内筒を有しておらず、単一の筒からなる。処理室8X内で、垂直に延びるガスノズル150と、垂直に延びる偏平な排気管85とが、積重ねられたウエハWを挟んで(従って、ウエハボート20を挟んで)対向するように配設される。排気管85は、石英製の筒の内面上に気密に溶接されたケーシング87によって規定され、そのウエハWに対向する壁には複数の排気孔86が形成される。排気孔86は、積重ねられたウエハWの実質的に全体に亘るようにウエハWのエッジの横で垂直方向に配列される。
図15に示す装置は、処理室8Xが単筒構造であるが、図13に示す装置のそれらと概ね同じ作用・効果を得ることができる。
<第5乃至第7実施形態の共通事項>
図12のタイミングチャートに示す反応ガスの供給の順番は一例であり、これを逆にしてもよい。タンタル酸化膜を形成するための有機金属ガスとしてPETが例示されるが、他のタンタルを含む有機金属ガス、例えば、TBTDET(tris-diethylamino-tert-butylimino tantalum: (C10N)Ta(NC))を使用することもできる。また、タンタル酸化膜を形成するための酸化ガスとして水蒸気が例示されるが、他の酸化ガス、例えばO、Oを使用することもできる。
第5乃至第7実施形態に係る装置は、タンタル酸化膜以外の膜を形成する場合にも適用することができる。例えば、その1例は、ヘキサクロロジシラン(HCD:SiCl)ガスのような結合解離エネルギーが低いシラン系ガスとNHガスとを供給してシリコン窒化膜を形成する処理である。この場合、ノズル148からシラン系ガスを供給し、ノズル150からNHガスを供給する。他の例は、TDMAH(tetrakis(dimethylamino)hafnium: Hf[N(CH)またはTEMAH(tetrakis(ethylmethylamino)hafnium: Hf[N(CH)(C)])ガスと酸化ガスとを供給してハフニウム酸化(HfOx )膜を形成する処理である。この場合、ノズル148からTDMAHまたはTEMAHガスを供給し、ノズル150から酸化ガスを供給する。
なお、第5乃至第7実施形態に係る装置は、その他の膜を形成する場合にも広く適用することができる。例えば、それらの例は、第1乃至第4実施形態に関して記載した、シラン系ガスと窒化ガスとを供給してシリコン窒化膜を形成する処理、及びアルミニウムを含む有機金属ガスと酸化ガスと供給してアルミナ(Al)膜を形成する処理である。これら場合、分解しやすい或いは蒸気圧の低いガスを短いノズル148から供給することが望ましい。
要約すれば、第5乃至第7実施形態に係る装置は、使用される2つの反応ガスの結合解離エネルギーや蒸気圧等の条件が大きく異なる場合に好適に適用される。即ち、反応ガスが、結合解離エネルギーの低い場合(分解しやすい)、或いは、蒸気圧が低い場合(最上部までガスが均等に供給し難い)は、垂直方向に長いノズル150は適さない。従って、蒸気圧が1.33kPa以下或いは結合解離エネルギーが250kJ/mol以下の反応ガスは短いノズル148から供給する。一方、前述の第1乃至第4実施形態の共通事項で述べたような蒸気圧或いは結合解離エネルギーの条件を満足する反応ガスは長いノズル150から供給する。
その他、本発明の思想の範疇において、当業者であれば、各種の変更例及び修正例に想到し得るものであり、それら変更例及び修正例についても本発明の範囲に属するものと了解される。
本発明の第1実施形態に係るCVD装置を示す断面図。 図1に示す装置の平面図。 図1に示す装置の処理室の上部を拡大してガスの流れを示す図。 本発明の第1実施形態に係るガス供給パターンを示すタイミングチャート。 本発明の第2実施形態に係るCVD装置の処理室を示す断面図。 図5に示す装置の処理室の上部を拡大してガスの流れを示す図。 本発明の第3実施形態に係るCVD装置の処理室を示す断面図。 図7に示す装置の平面図。 本発明の第4実施形態に係るCVD装置の処理室を示す断面図。 本発明の第5実施形態に係るCVD装置を示す断面図。 図10に示す装置の処理室の上部を拡大してガスの流れを示す図。 本発明の第5実施形態に係るガス供給パターンを示すタイミングチャート。 本発明の第6実施形態に係るCVD装置の処理室を示す断面図。 図13に示す装置の処理室の上部を拡大してガスの流れを示す図。 本発明の第7実施形態に係るCVD装置の処理室を示す断面図。 図15に示す装置の平面図。
符号の説明
8、8X…処理室、12…ヒータ、14…断熱材、20…ウエハボート(保持具)、W…ウエハ、39…真空排気部(排気系)、42…シラン系ガス供給系、44…窒化ガス供給系、46…炭化水素ガス供給系、48、50、52…ガスノズル、53…供給孔、142…有機金属ガス供給系、144…酸化ガス供給系、148、150…ガスノズル、153…供給孔。

Claims (12)

  1. 縦型CVD装置において複数の被処理基板に対して一緒にCVD処理を施す方法であって、
    前記装置は、
    前記被処理基板を収納する気密な処理室と、
    前記処理室内で前記被処理基板を互いに間隔をあけて積重ねた状態で保持する保持具と、
    前記処理室の内部雰囲気を加熱するヒータと、
    前記処理室内を排気する排気系と、
    前記処理室内に処理ガスを供給する供給系と、
    を具備し、
    前記方法は、
    第1及び第2反応ガスの一方のガスを供給すると共に他方のガスを停止することにより、前記被処理基板の表面に前記一方のガスを吸着させる第1工程と、
    前記他方のガスを供給すると共に前記一方のガスを停止することにより、前記被処理基板の表面に吸着する前記一方のガスに、前記他方のガスを作用させる第2工程と、
    を具備し、
    前記第1及び第2工程を複数繰り返し実行することにより、前記第1及び第2反応ガスに由来する薄膜を前記被処理基板上に形成し、
    ここで、前記方法は、
    前記第1反応ガスとして1.33kPa以下の蒸気圧または250kJ/mol以下の結合解離エネルギーを有するガスを使用し、前記第2反応ガスとして2.66kPa以上の蒸気圧及び250kJ/mol以上の結合解離エネルギーを有するガスを使用し、
    前記第1反応ガスを、前記処理室の実質的に底部に配置された、前記供給系の第1供給孔から供給すると共に、前記第2反応ガスを前記第1供給孔から供給せず、前記第2反応ガスを、積重ねられた前記被処理基板の実質的に全体に亘るように前記被処理基板のエッジの横で垂直方向に配列された、前記供給系の複数の第2供給孔から供給すると共に、前記第1反応ガスを前記第2供給孔から供給しない、CVD方法。
  2. 前記第2反応ガスとして、4kPa以上の蒸気圧及び300kJ/mol以上の結合解離エネルギーを有するガスを使用する請求項1に記載のCVD方法。
  3. 前記第1反応ガスとして、Ta(OC、(C10N)Ta(NC)からなる群から選択されたガスを使用し、前記第2反応ガスとして、水蒸気、O、Oからなる群から選択されたガス使用する請求項1に記載のCVD方法。
  4. 前記第1反応ガスとして、Hf[N(CH、Hf[N(CH)(C)]からなる群から選択されたガスを使用し、前記第2反応ガスとして、酸化ガスを使用する請求項1に記載のCVD方法。
  5. 前記第1反応ガスとして、アルミニウムを含む有機金属ガスを使用し、前記第2反応ガスとして、酸化ガスを使用する請求項1に記載のCVD方法。
  6. 前記第1反応ガスとして、タンタルを含む有機金属ガスを使用し、前記第2反応ガスとして、酸化ガスを使用する請求項1に記載のCVD方法。
  7. 前記供給系は、積重ねられた前記被処理基板の実質的に全体に亘るように前記被処理基板のエッジの横で垂直方向に延在する供給配管を具備し、前記第2供給孔は前記供給配管に形成された孔を具備する請求項1に記載のCVD方法。
  8. 前記供給系は、前記第1供給孔に接続された第1不活性ガスラインと、前記第2供給孔に接続された第2不活性ガスラインとを具備する請求項1に記載のCVD方法。
  9. 前記方法は、前記第1及び第2工程間で第1パージ工程を実行し、前記第2及び第1工程間で第2パージ工程を実行することと、前記第1パージ工程は、前記第1供給孔から不活性ガスを供給しながら、前記処理室内を排気して、前記第1反応ガスを前記処理室からパージすることと、前記第2パージ工程は、前記第2供給孔から不活性ガスを供給しながら、前記処理室内を排気して、前記第2反応ガスを前記処理室からパージすることと、を具備する請求項8に記載のCVD方法。
  10. 前記方法は、前記第1及び第2工程において、前記排気系によって前記処理室内を排気する請求項1に記載のCVD方法。
  11. 前記第1及び第2供給孔は前記処理室の第1側に配置され、前記排気系は前記第1側に対向する前記処理室の第2側から排気するように構成される請求項10に記載のCVD方法。
  12. 前記排気系は、積重ねられた前記被処理基板の実質的に全体に亘るように前記被処理基板のエッジの横で垂直方向に配列された複数の排気孔を具備し、前記第1及び第2供給孔は前記処理室の第1側に配置され、前記排気孔は前記第1側に対向する前記処理室の第2側に配置され、前記方法は、前記第1及び第2工程において、前記排気孔を通して排気することにより、前記被処理基板間に前記第1及び第2反応ガスの層流を夫々形成する請求項1に記載のCVD方法。
JP2005178009A 2004-06-24 2005-06-17 縦型cvd装置を使用するcvd方法 Active JP4189394B2 (ja)

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
US10/874,371 US20050287806A1 (en) 2004-06-24 2004-06-24 Vertical CVD apparatus and CVD method using the same

Publications (2)

Publication Number Publication Date
JP2006013490A JP2006013490A (ja) 2006-01-12
JP4189394B2 true JP4189394B2 (ja) 2008-12-03

Family

ID=35506460

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2005178009A Active JP4189394B2 (ja) 2004-06-24 2005-06-17 縦型cvd装置を使用するcvd方法

Country Status (5)

Country Link
US (2) US20050287806A1 (ja)
JP (1) JP4189394B2 (ja)
KR (1) KR100980125B1 (ja)
CN (1) CN1712560B (ja)
TW (1) TW200609374A (ja)

Families Citing this family (63)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20050287806A1 (en) * 2004-06-24 2005-12-29 Hiroyuki Matsuura Vertical CVD apparatus and CVD method using the same
US20060019032A1 (en) * 2004-07-23 2006-01-26 Yaxin Wang Low thermal budget silicon nitride formation for advance transistor fabrication
US7966969B2 (en) * 2004-09-22 2011-06-28 Asm International N.V. Deposition of TiN films in a batch reactor
KR100672935B1 (ko) * 2004-12-03 2007-01-24 삼성전자주식회사 금속-절연막-금속 커패시터 및 그 제조방법
JP4434149B2 (ja) * 2006-01-16 2010-03-17 東京エレクトロン株式会社 成膜方法、成膜装置及び記憶媒体
JP4866658B2 (ja) * 2006-05-23 2012-02-01 東京エレクトロン株式会社 半導体製造装置
US7691757B2 (en) 2006-06-22 2010-04-06 Asm International N.V. Deposition of complex nitride films
JP4990594B2 (ja) * 2006-10-12 2012-08-01 東京エレクトロン株式会社 ガス供給装置、ガス供給方法、薄膜形成装置の洗浄方法、薄膜形成方法及び薄膜形成装置
JP4464949B2 (ja) * 2006-11-10 2010-05-19 株式会社日立国際電気 基板処理装置及び選択エピタキシャル膜成長方法
JP4845782B2 (ja) * 2007-03-16 2011-12-28 東京エレクトロン株式会社 成膜原料
JP4476313B2 (ja) * 2007-07-25 2010-06-09 東京エレクトロン株式会社 成膜方法、成膜装置、および記憶媒体
JP5090097B2 (ja) * 2007-07-26 2012-12-05 株式会社日立国際電気 基板処理装置、半導体装置の製造方法及び基板処理方法
JP4918453B2 (ja) * 2007-10-11 2012-04-18 東京エレクトロン株式会社 ガス供給装置及び薄膜形成装置
KR101431197B1 (ko) * 2008-01-24 2014-09-17 삼성전자주식회사 원자층 증착설비 및 그의 원자층 증착방법
TWI415206B (zh) * 2008-01-31 2013-11-11 Hitachi Int Electric Inc A substrate processing apparatus, and a method of manufacturing the semiconductor device
US20090197424A1 (en) * 2008-01-31 2009-08-06 Hitachi Kokusai Electric Inc. Substrate processing apparatus and method for manufacturing semiconductor device
KR101043211B1 (ko) * 2008-02-12 2011-06-22 신웅철 배치형 원자층 증착 장치
JP5284182B2 (ja) * 2008-07-23 2013-09-11 株式会社日立国際電気 基板処理装置および半導体装置の製造方法
JP5222652B2 (ja) 2008-07-30 2013-06-26 株式会社日立国際電気 基板処理装置及び半導体装置の製造方法
JP5423205B2 (ja) * 2008-08-29 2014-02-19 東京エレクトロン株式会社 成膜装置
JP2010073822A (ja) * 2008-09-17 2010-04-02 Tokyo Electron Ltd 成膜装置、成膜方法、プログラム及びコンピュータ可読記憶媒体
JP4638550B2 (ja) 2008-09-29 2011-02-23 東京エレクトロン株式会社 マスクパターンの形成方法、微細パターンの形成方法及び成膜装置
US7833906B2 (en) 2008-12-11 2010-11-16 Asm International N.V. Titanium silicon nitride deposition
JP5088331B2 (ja) * 2009-01-26 2012-12-05 東京エレクトロン株式会社 熱処理装置用の構成部品及び熱処理装置
TW201036090A (en) * 2009-01-30 2010-10-01 Tera Semicon Corp Batch type substrate treatment apparatus
KR101039153B1 (ko) * 2009-04-23 2011-06-07 주식회사 테라세미콘 대면적 기판처리 시스템의 가스 인젝터
JP5658463B2 (ja) * 2009-02-27 2015-01-28 株式会社日立国際電気 基板処理装置及び半導体装置の製造方法
US8372482B2 (en) 2009-02-27 2013-02-12 Goodrich Corporation Methods and apparatus for controlled chemical vapor deposition
JP5730496B2 (ja) * 2009-05-01 2015-06-10 株式会社日立国際電気 熱処理装置、半導体デバイスの製造方法および基板処理方法
JP4927147B2 (ja) * 2009-10-21 2012-05-09 東京エレクトロン株式会社 成膜方法および成膜装置
KR101144284B1 (ko) * 2010-02-05 2012-05-11 주식회사 피에스티 플라즈마를 이용한 게이트 질화막 형성 장치
JP5545061B2 (ja) * 2010-06-18 2014-07-09 東京エレクトロン株式会社 処理装置及び成膜方法
KR101313262B1 (ko) * 2010-07-12 2013-09-30 삼성전자주식회사 화학 기상 증착 장치 및 이를 이용한 반도체 에피 박막의 제조 방법
FR2963024B1 (fr) * 2010-07-26 2016-12-23 Altatech Semiconductor Reacteur de depot chimique en phase gazeuse ameliore
JP5565242B2 (ja) * 2010-09-29 2014-08-06 東京エレクトロン株式会社 縦型熱処理装置
JP5722595B2 (ja) * 2010-11-11 2015-05-20 株式会社日立国際電気 基板処理装置および半導体装置の製造方法
KR101219381B1 (ko) * 2010-12-15 2013-01-21 주식회사 엔씨디 박막 증착방법
KR101173085B1 (ko) * 2010-12-15 2012-08-10 주식회사 엔씨디 박막 증착장치
JP5604289B2 (ja) * 2010-12-22 2014-10-08 東京エレクトロン株式会社 成膜装置
JP5243519B2 (ja) 2010-12-22 2013-07-24 東京エレクトロン株式会社 成膜装置
JP2012195565A (ja) * 2011-02-28 2012-10-11 Hitachi Kokusai Electric Inc 基板処理装置、基板処理方法及び半導体装置の製造方法
KR101408084B1 (ko) * 2011-11-17 2014-07-04 주식회사 유진테크 보조가스공급포트를 포함하는 기판 처리 장치
KR101364701B1 (ko) * 2011-11-17 2014-02-20 주식회사 유진테크 위상차를 갖는 반응가스를 공급하는 기판 처리 장치
CN102560422A (zh) * 2011-12-23 2012-07-11 嘉兴科民电子设备技术有限公司 多片远程等离子体增强原子层沉积腔室
KR101427726B1 (ko) * 2011-12-27 2014-08-07 가부시키가이샤 히다치 고쿠사이 덴키 기판 처리 장치 및 반도체 장치의 제조 방법
US20130243971A1 (en) * 2012-03-14 2013-09-19 Applied Materials, Inc. Apparatus and Process for Atomic Layer Deposition with Horizontal Laser
JP5766647B2 (ja) * 2012-03-28 2015-08-19 東京エレクトロン株式会社 熱処理システム、熱処理方法、及び、プログラム
CN103451624A (zh) * 2012-05-30 2013-12-18 北大方正集团有限公司 一种沉积炉管及沉积薄膜的方法
WO2014017776A1 (ko) * 2012-07-26 2014-01-30 주식회사 메카로닉스 화학기상 순환반복 증착법을 이용한 박막 태양전지의 제조방법
KR102162366B1 (ko) * 2014-01-21 2020-10-06 우범제 퓸 제거 장치
JP6021977B2 (ja) * 2015-03-25 2016-11-09 株式会社日立国際電気 基板処理装置および半導体装置の製造方法
JP6435967B2 (ja) * 2015-03-31 2018-12-12 東京エレクトロン株式会社 縦型熱処理装置
KR101695948B1 (ko) * 2015-06-26 2017-01-13 주식회사 테라세미콘 기판처리 시스템
JP6436886B2 (ja) * 2015-09-28 2018-12-12 株式会社Kokusai Electric 半導体装置の製造方法及びプログラム
JP6541599B2 (ja) * 2016-03-28 2019-07-10 東京エレクトロン株式会社 制御装置、基板処理システム、基板処理方法及びプログラム
JP6095825B2 (ja) * 2016-04-08 2017-03-15 株式会社日立国際電気 基板処理装置および半導体装置の製造方法
JP6749268B2 (ja) * 2017-03-07 2020-09-02 東京エレクトロン株式会社 基板処理装置
JP6820816B2 (ja) * 2017-09-26 2021-01-27 株式会社Kokusai Electric 基板処理装置、反応管、半導体装置の製造方法、及びプログラム
KR102477770B1 (ko) * 2018-05-08 2022-12-14 삼성전자주식회사 막 형성 장치, 막 형성 방법 및 막 형성 장치를 이용한 반도체 장치의 제조 방법
JP6902060B2 (ja) * 2019-02-13 2021-07-14 株式会社Kokusai Electric 基板処理装置、半導体装置の製造方法、およびプログラム
JP7296806B2 (ja) * 2019-07-16 2023-06-23 東京エレクトロン株式会社 RuSi膜の形成方法及び基板処理システム
JP6770617B1 (ja) * 2019-08-09 2020-10-14 株式会社Kokusai Electric 基板処理装置、半導体装置の製造方法及び基板保持具
CN112575312B (zh) * 2019-09-30 2023-08-29 长鑫存储技术有限公司 薄膜制备设备以及薄膜制备方法

Family Cites Families (45)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH0626188B2 (ja) 1987-09-24 1994-04-06 日本電気株式会社 気相成長装置
JPH0642474B2 (ja) * 1988-03-31 1994-06-01 株式会社東芝 半導体製造装置
USRE36328E (en) * 1988-03-31 1999-10-05 Kabushiki Kaisha Toshiba Semiconductor manufacturing apparatus including temperature control mechanism
JP3056241B2 (ja) * 1990-11-20 2000-06-26 東京エレクトロン株式会社 熱処理装置
JP3024449B2 (ja) * 1993-07-24 2000-03-21 ヤマハ株式会社 縦型熱処理炉及び熱処理方法
JP3373990B2 (ja) * 1995-10-30 2003-02-04 東京エレクトロン株式会社 成膜装置及びその方法
US5879459A (en) * 1997-08-29 1999-03-09 Genus, Inc. Vertically-stacked process reactor and cluster tool system for atomic layer deposition
US6673673B1 (en) * 1997-04-22 2004-01-06 Samsung Electronics Co., Ltd. Method for manufacturing a semiconductor device having hemispherical grains
US20030049372A1 (en) * 1997-08-11 2003-03-13 Cook Robert C. High rate deposition at low pressures in a small batch reactor
JPH11345778A (ja) * 1998-05-29 1999-12-14 Tokyo Electron Ltd 成膜装置のクリーニング方法及びそのクリーニング機構
US6383300B1 (en) * 1998-11-27 2002-05-07 Tokyo Electron Ltd. Heat treatment apparatus and cleaning method of the same
JP2000311862A (ja) 1999-04-28 2000-11-07 Kokusai Electric Co Ltd 基板処理装置
KR100624903B1 (ko) * 1999-12-22 2006-09-19 주식회사 하이닉스반도체 반도체 소자의 캐패시터 제조방법
CN1364203A (zh) * 2000-02-18 2002-08-14 G.T.装备技术公司 多晶硅化学气相沉积方法和装置
KR100360401B1 (ko) * 2000-03-17 2002-11-13 삼성전자 주식회사 슬릿형 공정가스 인입부와 다공구조의 폐가스 배출부를포함하는 공정튜브 및 반도체 소자 제조장치
JP2001274107A (ja) * 2000-03-28 2001-10-05 Nec Kyushu Ltd 拡散炉
US6551929B1 (en) * 2000-06-28 2003-04-22 Applied Materials, Inc. Bifurcated deposition process for depositing refractory metal layers employing atomic layer deposition and chemical vapor deposition techniques
US6585823B1 (en) * 2000-07-07 2003-07-01 Asm International, N.V. Atomic layer deposition
KR100385952B1 (ko) * 2001-01-19 2003-06-02 삼성전자주식회사 탄탈륨 산화막을 가진 반도체 커패시터 및 그의 제조방법
US7087482B2 (en) * 2001-01-19 2006-08-08 Samsung Electronics Co., Ltd. Method of forming material using atomic layer deposition and method of forming capacitor of semiconductor device using the same
JP3403181B2 (ja) * 2001-03-30 2003-05-06 東京エレクトロン株式会社 熱処理装置及び熱処理方法
JP2002353208A (ja) * 2001-05-28 2002-12-06 Fujitsu Ltd 半導体装置の製造方法及び製造装置
JP2003045864A (ja) * 2001-08-02 2003-02-14 Hitachi Kokusai Electric Inc 基板処理装置
US6656282B2 (en) * 2001-10-11 2003-12-02 Moohan Co., Ltd. Atomic layer deposition apparatus and process using remote plasma
US20030111013A1 (en) * 2001-12-19 2003-06-19 Oosterlaken Theodorus Gerardus Maria Method for the deposition of silicon germanium layers
KR100452525B1 (ko) * 2001-12-26 2004-10-12 주성엔지니어링(주) Ald 공정에 적합한 가스 인젝터
KR100829327B1 (ko) * 2002-04-05 2008-05-13 가부시키가이샤 히다치 고쿠사이 덴키 기판 처리 장치 및 반응 용기
JP3957549B2 (ja) 2002-04-05 2007-08-15 株式会社日立国際電気 基板処埋装置
KR20030081144A (ko) * 2002-04-11 2003-10-17 가부시키가이샤 히다치 고쿠사이 덴키 종형 반도체 제조 장치
US20040129212A1 (en) * 2002-05-20 2004-07-08 Gadgil Pradad N. Apparatus and method for delivery of reactive chemical precursors to the surface to be treated
US6838114B2 (en) * 2002-05-24 2005-01-04 Micron Technology, Inc. Methods for controlling gas pulsing in processes for depositing materials onto micro-device workpieces
JP3670628B2 (ja) 2002-06-20 2005-07-13 株式会社東芝 成膜方法、成膜装置、および半導体装置の製造方法
US6821347B2 (en) * 2002-07-08 2004-11-23 Micron Technology, Inc. Apparatus and method for depositing materials onto microelectronic workpieces
KR100542736B1 (ko) * 2002-08-17 2006-01-11 삼성전자주식회사 원자층 증착법을 이용한 산화막의 형성방법 및 이를이용한 반도체 장치의 캐패시터 형성방법
KR100474565B1 (ko) * 2002-08-30 2005-03-10 삼성전자주식회사 소스 가스 공급 방법 및 장치
US20040060519A1 (en) * 2002-10-01 2004-04-01 Seh America Inc. Quartz to quartz seal using expanded PTFE gasket material
KR100463633B1 (ko) * 2002-11-12 2004-12-29 주식회사 아이피에스 하프늄 화합물을 이용한 박막증착방법
US7344755B2 (en) * 2003-08-21 2008-03-18 Micron Technology, Inc. Methods and apparatus for processing microfeature workpieces; methods for conditioning ALD reaction chambers
US20050048742A1 (en) * 2003-08-26 2005-03-03 Tokyo Electron Limited Multiple grow-etch cyclic surface treatment for substrate preparation
US7479454B2 (en) * 2003-09-30 2009-01-20 Tokyo Electron Limited Method and processing system for monitoring status of system components
US7094712B2 (en) * 2003-09-30 2006-08-22 Samsung Electronics Co., Ltd. High performance MIS capacitor with HfO2 dielectric
JP2005259841A (ja) 2004-03-10 2005-09-22 Hitachi Kokusai Electric Inc 基板処理装置
US20050252449A1 (en) * 2004-05-12 2005-11-17 Nguyen Son T Control of gas flow and delivery to suppress the formation of particles in an MOCVD/ALD system
US20050287806A1 (en) * 2004-06-24 2005-12-29 Hiroyuki Matsuura Vertical CVD apparatus and CVD method using the same
EP1994555A4 (en) * 2006-03-10 2009-12-16 Advanced Tech Materials PRECURSOR COMPOSITIONS FOR STORING ATOMIC LAYERS AND CHEMICAL PREVENTION OF TITANIUM, LANTHANATE AND DIELECTRIC TANTALATE FILMS

Also Published As

Publication number Publication date
US7927662B2 (en) 2011-04-19
CN1712560A (zh) 2005-12-28
KR100980125B1 (ko) 2010-09-03
US20080213478A1 (en) 2008-09-04
KR20060048480A (ko) 2006-05-18
JP2006013490A (ja) 2006-01-12
TW200609374A (en) 2006-03-16
US20050287806A1 (en) 2005-12-29
CN1712560B (zh) 2011-03-30

Similar Documents

Publication Publication Date Title
JP4189394B2 (ja) 縦型cvd装置を使用するcvd方法
JP5287964B2 (ja) 成膜方法及び成膜装置
KR100954243B1 (ko) 반도체 처리용 성막 장치 및 방법과 컴퓨터로 판독 가능한 매체
US8591989B2 (en) SiCN film formation method and apparatus
JP5775947B2 (ja) 半導体装置の製造方法、基板処理方法、基板処理装置およびプログラム
JP4434149B2 (ja) 成膜方法、成膜装置及び記憶媒体
JP4929932B2 (ja) 成膜方法、成膜装置及び記憶媒体
JP4893729B2 (ja) 成膜方法、成膜装置及び記憶媒体
JP5699980B2 (ja) 成膜方法及び成膜装置
JP5847566B2 (ja) 半導体装置の製造方法、基板処理方法、基板処理装置およびプログラム
JP6671262B2 (ja) 窒化膜の形成方法および形成装置
CN108122736B (zh) 半导体装置的制造方法、基板处理装置以及存储介质
JP5651451B2 (ja) 半導体装置の製造方法、基板処理方法及び基板処理装置
KR20070100125A (ko) 반도체 처리용 종형 플라즈마 처리 장치 및 방법
JP6151335B2 (ja) 半導体装置の製造方法、基板処理装置およびプログラム
JP6604801B2 (ja) 半導体装置の製造方法、基板処理装置およびプログラム
JP7166431B2 (ja) 基板処理方法、半導体装置の製造方法、基板処理装置、およびプログラム
JP5841222B2 (ja) 半導体装置の製造方法、基板処理方法及び基板処理装置
JP7058338B2 (ja) 基板処理装置、基板保持部、半導体装置の製造方法およびプログラム

Legal Events

Date Code Title Description
A977 Report on retrieval

Free format text: JAPANESE INTERMEDIATE CODE: A971007

Effective date: 20080321

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20080401

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20080529

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20080624

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20080814

TRDD Decision of grant or rejection written
A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

Effective date: 20080909

A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

A61 First payment of annual fees (during grant procedure)

Free format text: JAPANESE INTERMEDIATE CODE: A61

Effective date: 20080912

R150 Certificate of patent or registration of utility model

Ref document number: 4189394

Country of ref document: JP

Free format text: JAPANESE INTERMEDIATE CODE: R150

Free format text: JAPANESE INTERMEDIATE CODE: R150

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20110919

Year of fee payment: 3

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20110919

Year of fee payment: 3

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20140919

Year of fee payment: 6

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250