KR100903484B1 - 실리콘 함유 절연막을 형성하는 cvd 방법 및 장치 - Google Patents

실리콘 함유 절연막을 형성하는 cvd 방법 및 장치 Download PDF

Info

Publication number
KR100903484B1
KR100903484B1 KR1020047007468A KR20047007468A KR100903484B1 KR 100903484 B1 KR100903484 B1 KR 100903484B1 KR 1020047007468 A KR1020047007468 A KR 1020047007468A KR 20047007468 A KR20047007468 A KR 20047007468A KR 100903484 B1 KR100903484 B1 KR 100903484B1
Authority
KR
South Korea
Prior art keywords
gas
film
silicon nitride
processing chamber
nitride film
Prior art date
Application number
KR1020047007468A
Other languages
English (en)
Other versions
KR20040081424A (ko
Inventor
구마가이다께시
가또오히또시
이진수
마꾸싱고
Original Assignee
도쿄엘렉트론가부시키가이샤
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 도쿄엘렉트론가부시키가이샤 filed Critical 도쿄엘렉트론가부시키가이샤
Publication of KR20040081424A publication Critical patent/KR20040081424A/ko
Application granted granted Critical
Publication of KR100903484B1 publication Critical patent/KR100903484B1/ko

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45561Gas plumbing upstream of the reaction chamber
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/308Oxynitrides
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/34Nitrides
    • C23C16/345Silicon nitride
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/40Oxides
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/40Oxides
    • C23C16/401Oxides containing silicon
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/448Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for generating reactive gas streams, e.g. by evaporation or sublimation of precursor materials
    • C23C16/452Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for generating reactive gas streams, e.g. by evaporation or sublimation of precursor materials by activating reactive gas streams before their introduction into the reaction chamber, e.g. by ionisation or addition of reactive species
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/0217Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material being a silicon nitride not containing oxygen, e.g. SixNy or SixByNz

Abstract

CVD 장치(2)는 실리콘 산화막, 실리콘 질화막 및 실리콘 산질화막으로 이루어지는 군으로부터 선택된 막으로 이루어지는 절연막을 형성한다. CVD 장치는 피처리 기판(W)을 수납하기 위한 처리실(8)과, 처리실 내로 피처리 기판을 지지하기 위한 지지 부재(20)와, 지지 부재에 지지된 피처리 기판을 가열하는 히터(12)와, 처리실 내를 진공 배기하는 배기부(39)와, 처리실 내에 가스를 공급하는 공급부(40)를 포함한다. 공급부는 실란계 가스로부터 실질적이 되는 제1 가스를 공급하는 제1 공급계(42)와, 산화 가스, 질화 가스 및 산질화 가스로 이루어지는 군으로부터 선택된 가스로부터 실질적이 되는 제2 가스를 공급하는 제2 공급계(44)와, 탄화수소 가스로부터 실질적이 되는 제3 가스를 공급하는 제3 공급계(46)를 포함하고, 제1, 제2 및 제3 가스는 동시에 공급 가능하다.
처리실, 외통, 내통, 웨이퍼 보트, 매니폴드, 덮개부, 노즐

Description

실리콘 함유 절연막을 형성하는 CVD 방법 및 장치{CVD METHOD AND DEVICE FOR FORMING SILICON-CONTAINING INSULATION FILM}
본 발명은, 피처리 기판 상에 실리콘 함유 절연막을 형성하기 위한 CVD 방법 및 장치에 관한 것이다.
반도체 디바이스 중의 절연막으로서, SiO2, PSG(Phospho Silicate Glass), P(플라즈마 CVD로 형성된)-SiO, P(플라즈마 CVD로 형성된)-SiN, SOG(Spin On Glass), Si3N4(실리콘 질화막) 등이 사용된다. 반도체 웨이퍼의 표면에 상술한 바와 같이 실리콘 산화막이나 실리콘 질화막을 형성하는 방법으로서, 실리콘 소스 가스로서 모노실란(SiH4), 디클로로실란(DCS : SiH2Cl2), 헥사클로로디실란(HCD : Si2Cl6), 비스타-샬부틸아미노실란(BTBAS : SiH2(NH(C4H9 ))2 등의 실란계 가스를 실리콘 소스 가스로서 이용하여, 열 CVD(Chemical Vapor Deposition)에 의해 성막하는 방법이 알려져 있다.
구체적으로는, 예를 들어 실리콘 산화막을 퇴적하는 경우 SiH4 + N20, SiH2Cl 2 + N20, 또는 TEOS(테트라에톡시실란) + O2 등의 가스 조합에서 열 CVD에 의해 실리 콘 산화막을 형성한다. 또한, 실리콘 질화막을 퇴적하는 경우 SiH2Cl2 + NH3 혹은 Si2Cl6 + NH3 등의 가스 조합에서 열 CVD에 의해 실리콘 질화막을 형성한다.
반도체 디바이스의 한층 더 고미세화 및 고집적화에 수반하여, 상술한 바와 같이 절연막도 한층 더 박막화가 필요로 되어 있다. 열 CVD의 성막 처리시의 온도에 관해서도, 절연막의 하측에 이미 형성되는 각종 막의 전기적 특성을 유지하는 것이 필요하기 때문에, 보다 저온화가 진행되고 있다. 이 점에 관하여, 예를 들어 실리콘 질화막을 열 CVD에 의해 퇴적하는 경우, 종래는 760 ℃ 정도의 고온에서 이 실리콘 질화막의 퇴적을 행하고 있다. 그러나, 최근 600 ℃ 정도까지 온도를 내려 열 CVD에 의해 퇴적하는 경우도 있다.
반도체 디바이스를 형성하는 경우, 도전막이나 상술한 바와 같은 절연막을 서로 적층하고, 패턴 에칭을 행하면서 다층 구조로 한다. 절연막을 형성한 후에 이 위에 다른 박막을 형성하는 경우, 상기 절연막의 표면이 유기물이나 파티클 등의 오염물이 부착되어 있을 가능성이 있다. 이로 인해, 필요에 따라서 이 오염물을 제거하는 목적으로 클리닝 처리를 행한다. 이 경우, 반도체 웨이퍼를 희불소산 등의 클리닝액에 침지시켜 절연막의 표면을 에칭한다. 이에 의해, 절연막의 표면을 매우 얇게 깎아 내어 오염물을 제거한다.
상기 절연막을 예를 들어 760 ℃ 정도의 고온에서 CVD 성막한 경우, 절연막의 클리닝시의 에칭률은 상당히 작아진다. 이로 인해, 클리닝시에 이 절연막이 지나치게 깎아 내어지는 일 없이, 막 두께의 제어성이 좋은 상태에서 클리닝 처리를 행할 수 있다. 이에 대해, 상기 절연막을 예를 들어 600 ℃ 정도의 낮은 온도로 CVD 성막한 경우, 절연막의 클리닝시 에칭률은 상당히 커진다. 이로 인해, 클리닝시에 이 절연막이 지나치게 깎아 내어지는 경우가 발생되어, 클리닝 처리시 막 두께의 제어성이 떨어져 버린다.
본 발명은, 비교적 저온에서 성막해도 클리닝시의 에칭률을 비교적 작게 할 수 있어, 클리닝시의 막 두께의 제어성을 향상시킬 수 있는 실리콘 함유 절연막을 형성하기 위한 방법 및 장치를 제공하는 것을 목적으로 한다.
본 발명의 제1 시점은, 실리콘 함유 절연막을 형성하는 CVD 방법이며,
피처리 기판을 수납한 처리실 내를 배기하면서, 상기 처리실 내로 성막 가스를 공급하고, 상기 피처리 기판 상에 상기 절연막을 퇴적에 의해 형성하는 공정을 구비하고, 여기에서 상기 성막 가스와 함께 탄화수소 가스를 공급한다.
본 발명의 제2 시점은 실리콘 산화막, 실리콘 질화막 및 실리콘 산질화막으로 이루어지는 군으로부터 선택된 막으로부터 실질적이 되는 절연막을 형성하는 CVD 방법이며,
피처리 기판을 수납한 처리실 내를 가열하는 동시에 배기하면서, 상기 처리실 내에 실란계 가스로부터 실질적이 되는 제1 가스와, 산화 가스, 질화 가스 및 산질화 가스로 이루어지는 군으로부터 선택된 가스로부터 실질적이 되는 제2 가스와, 탄화수소 가스로부터 실질적이 되는 제3 가스를 공급하고, 상기 피처리 기판 상에 상기 절연막을 퇴적에 의해 형성하는 공정을 구비하고, 상기 제1 가스에 대한 상기 제3 가스의 유량비는 10 내지 100이다.
본 발명의 제3 시점은 실리콘 산화막, 실리콘 질화막 및 실리콘 산질화막으로 이루어지는 군으로부터 선택된 막으로부터 실질적이 되는 절연막을 형성하는 CVD 장치이며,
피처리 기판을 수납하기 위한 처리실과,
상기 처리실 내로 상기 피처리 기판을 지지하기 위한 지지 부재와,
상기 지지 부재에 지지된 상기 피처리 기판을 가열하는 히터와,
상기 처리실 내를 진공 배기하는 배기부와,
상기 처리실 내에 가스를 공급하는 공급부를 구비하고, 상기 공급부는 실란계 가스로부터 실질적이 되는 제1 가스를 공급하는 제1 공급계와, 산화 가스, 질화 가스 및 산질화 가스로 이루어지는 군으로부터 선택된 가스로부터 실질적이 되는 제2 가스를 공급하는 제2 공급계와, 탄화수소 가스로부터 실질적이 되는 제3 가스를 공급하는 제3 공급계를 구비하고, 제1, 제2 및 제3 가스는 동시에 공급 가능하다.
도1은 본 발명의 제1 실시 형태에 관한 CVD 장치를 도시하는 단면도.
도2는 제1 실험에 의해 얻어진 C2H6 가스의 유량과, 실리콘 질화막 중의 탄소 성분 농도의 관계를 나타내는 그래프.
도3은 제2 실험에 의해 얻어진 C2H6 가스의 예비 가열 온도와, 실리콘 질화 막 중의 탄소 성분 농도의 관계를 나타내는 그래프.
도4는 제3 실험에 의해 얻어진 실리콘 질화막 중의 탄소 성분 농도와, 희불소산(49% HF : H20 = 1 : 100)에 대한 정규화 에칭률의 관계를 나타내는 그래프.
도5는 제4 실험에 의해 얻어진 C2H6 가스의 예비 가열 온도와, 실리콘 질화막의 희불소산(49% HF : H20 = 1 : 100)에 대한 정규화 에칭률의 관계를 나타내는 그래프.
도6은 제5 실험에 의해 얻어진 C2H6 가스의 유량(예비 가열 있음/없음)과, 실리콘 질화막의 희불소산(49% HF : H20 = 1 : 100)에 대한 정규화 에칭률의 관계를 나타내는 그래프.
도7은 본 발명의 제2 실시 형태에 관한 CVD 장치를 도시하는 단면도.
도8은 제6 실험에 의해 얻어진 탄화수소 가스의 유량과, 실리콘 질화막의 희불소산(49% HF : H20 = 1 : 100)에 대한 정규화 에칭률의 관계를 나타내는 그래프.
도9는 제7 실험에 의해 얻어진 에틸렌 가스의 유량과, 실리콘 질화막의 희불소산(49% HF : H20 = 1 : 100)에 대한 정규화 에칭률의 관계를 나타내는 그래프.
본 발명자들은, 본 발명의 개발 과정에서 실리콘 산화막, 실리콘 질화막 및 실리콘 산질화막 등의 실리콘 함유 절연막을 클리닝할 때의 에칭률에 대해 연구하였다. 그 결과, 절연막 중에 탄소 성분을 적극적으로 함유시킴으로써, 클리닝시의 에칭률을 작게 억제할 수 있다는 지견을 얻었다.
이하에 본 발명의 실시 형태에 대해 도면을 참조하여 설명한다. 또, 이하의 설명에 있어서, 대략 동일한 기능 및 구성을 갖는 구성 요소에 대해서는, 동일 부호를 부여하여 중복 설명은 필요한 경우에만 행한다.
<제1 실시 형태>
도1은 본 발명의 제1 실시 형태에 관한 CVD 장치를 도시하는 단면도이다. 이 CVD 장치(2)는 실란계 가스(실리콘 소스 가스)로부터 실질적이 되는 제1 가스와, 산화 가스, 질화 가스 및 산질화 가스로 이루어지는 군으로부터 선택된 가스로 이루어지는 제2 가스와, 탄화수소 가스로 이루어지는 제3 가스를 동시에 공급하고, 실리콘 산화막, 실리콘 질화막 및 실리콘 산질화막으로 이루어지는 군으로부터 선택된 막으로 이루어지는 절연막을 형성하도록 구성된다. 일예로서, 예를 들어 Si2Cl6와 NH3 가스를 이용하여 실리콘 질화막을 퇴적할 때에 탄화수소 가스를 공급하여 막 중에 탄소 성분을 함유시킨다.
도1에 도시한 바와 같이, CVD 장치(2)는 통 부재형의 석영으로 된 내통(4)과, 그 외측에 소정의 간극(10)을 통해 동심원형으로 배치한 석영으로 된 외통(6)으로 이루어지는 2중관 구조의 처리실(8)을 갖는다. 처리실(8)의 외측은 가열 히터 등의 가열 수단(12)과 단열재(14)를 구비한 가열로(16)에 의해 덮여진다. 가열 수단(12)은 단열재(14)의 내면에 전체면에 걸쳐 배치된다. 또, 본 실시 형태에 있어서, 처리실(8)의 내통(4)의 내경은 240 ㎜ 정도, 높이는 1300 ㎜ 정도의 크기이 며, 처리실(8)의 용적은 대략 110 리터 정도이다.
처리실(8)의 하단부는, 예를 들어 스테인레스 스틸로 된 통 부재형의 매니폴드(18)에 의해 지지된다. 내통(4)의 하단부는 매니폴드(18)의 내벽보다 내측으로 돌출시킨 링형의 지지판(18A)에 의해 지지된다. 피처리 기판인 반도체 웨이퍼(W)를 다단으로 적재한 석영으로 된 웨이퍼 보트(20)가 매니폴드(18)의 하방으로부터 처리실(8)에 대해 로드/언로드된다. 본 실시 형태의 경우에 있어서, 웨이퍼 보트(20)에는, 예를 들어 150매 정도의 직경이 200 ㎜의 제품 웨이퍼와 13매 혹은 20매의 더미 웨이퍼가 대략 등피치로 다단으로 지지 가능해진다. 즉, 웨이퍼 보트(20)에는 전체적으로 170매의 웨이퍼가 수용 가능해진다.
웨이퍼 보트(20)는 석영으로 된 보온통(22)을 통해 회전 테이블(24) 상에 적재된다. 회전 테이블(24)은 매니폴드(18)의 하단 개구부를 개폐하는 덮개부(26)를 관통하는 회전축(28) 상에 지지된다. 회전축(28)의 관통부에는, 예를 들어 자성 유체 밀봉 부재(30)가 개재 설치되고, 회전축(28)이 기밀에 밀봉된 상태에서 회전 가능하게 지지된다. 또한, 덮개부(26)의 주변부와 매니폴드(18)의 하단부에는, 예를 들어 O링 등으로 이루어지는 밀봉 부재(32)가 개재 설치되어 처리실(8) 내의 밀봉성이 보유 지지된다.
회전축(28)은, 예를 들어 보트 엘리베이터 등의 승강 기구(34)에 지지된 아암(36)의 선단부에 부착된다. 승강 기구(34)에 의해, 웨이퍼 보트(20) 및 덮개부(26) 등이 일체적으로 승강된다. 매니폴드(18)의 측부에는, 내통(4)과 외통(6)의 간극(10)의 바닥부로부터 처리실(8) 내의 분위기를 배출하는 배기구(38) 가 형성된다. 배기구(38)에는 진공 펌프 등을 개재 설치한 진공 배기부(39)가 접속된다.
매니폴드(18)의 측부에는, 내통(4) 내에 소정의 처리 가스를 공급하기 위한 가스 공급부(40)가 배치된다. 구체적으로는, 가스 공급부(40)는 실란계 가스 공급계(42)와, 산화 및/또는 질화 가스 공급계(44)와, 탄화수소 가스 공급계(46)를 포함한다. 각 가스 공급계(42, 44, 46)는 매니폴드(18)의 측벽을 관통하여 설치된 직선형의 가스 노즐(48, 50, 52)을 각각 갖는다.
각 가스 노즐(48, 50, 52)에는 질량 유량 제어기와 같은 유량 제어기(54, 56, 58)를 각각 개재 설치한 가스 유로(60, 62, 64)가 각각 접속된다. 가스 유로(60, 62, 64)는 실란계 가스, 산화 및/또는 질화 가스, 탄화수소 가스를 각각 유량 제어하면서 공급할 수 있게 구성된다. 여기에서, 예를 들어 실란계 가스(실리콘 소스 가스)로서 헥사클로로디실란(Si2Cl6) 가스가 사용되고, 질화 가스로서는 NH3 가스가 사용되고, 탄화수소 가스로서는 에탄(C2H6) 가스가 사용된다. 또, 산화 가스로서는 N20 가스나 O2 가스 등이 사용되는 경우도 있다.
탄화수소용의 가스 유로(64)에는 예비 가열부(66)가 개재 설치된다. 예비 가열부(66)는, 예를 들어 외부에 가열 히터 등을 권취하여 이루어지는 석영 용기 내에 석영 입자를 충전하여 구성된다. 예비 가열부(66)는, 이에 흐르게 되는 에탄 가스 등의 탄화수소 가스를 소정의 온도로 예비 가열한다. 이에 의해, 예비 가열부(66) 내에 흐르게 되는 에탄 가스가 활성화된다.
다음에, 이상과 같이 구성된 장치를 이용하여 행해지는 본 발명의 실시 형태에 관한 CVD 방법에 대해 설명한다.
우선, CVD 장치가 웨이퍼를 로드하지 않은 대기 상태에 있을 때에는, 처리실(8) 내를 프로세스 온도, 예를 들어 500 ℃ 정도로 유지한다. 한편, 다수매, 예를 들어 150매의 제품 웨이퍼(W)와 20매의 더미 웨이퍼를 웨이퍼 보트(20)에 탑재한다. 웨이퍼를 탑재한 후, 상온의 웨이퍼 보트(20)를 처리실(8) 내에 그 하방으로부터 상승시켜 처리실(8) 내에 로드한다. 그리고, 덮개부(26)에서 매니폴드(18)의 하단 개구부를 폐쇄함으로써 처리실(8) 내를 밀폐한다.
다음에, 처리실(8) 내를 진공화하여 소정의 프로세스 압력, 예를 들어 27 Pa 정도로 유지한다. 또한, 웨이퍼 온도를 성막용의 프로세스 온도, 예를 들어 600 ℃ 정도로 상승시킨다. 온도 안정 후, 소정의 실란계 가스인 Si2Cl6 가스와, 질화 가스인 NH3 가스와, 탄화수소 가스인 C2H6 가스를, 각각 유량 제어하면서 가스 공급부(40)의 각 노즐(48, 50, 52)로부터 공급한다.
C2H6 가스는 노즐(52)의 직전의 탄화수소용 가스 유로(64)에 개재 설치한 예비 가열부(66)에 의해, 공급 직전에 소정의 온도, 예를 들어 500 내지 1000 ℃의 범위 내로 가열하여 활성화한다. 그러나, C2H6 가스는 예비 가열하지 않아도 좋다. 이와 같이 예비 가열되지 않거나, 혹은 예비 가열되어 활성화된 C2H6 가스는 처리실(8)의 하부에 공급되어 Si2Cl6 가스 및 NH3 가스와 혼합된다. 혼합 가스는 처리 공간(S)을 상승하면서 반응하여, 웨이퍼(W)의 표면에 실리콘 질화막의 박막을 퇴적한다. 처리 공간(S)을 상승한 처리 가스는, 처리실(8) 내의 천정부에서 반환되어 내통(4)과 외통(6) 사이의 간극(10)을 하향 유동하여, 배기구(38)로부터 밖으로 배기된다.
예비 가열부(66)에 있어서의 C2H6 가스의 가열 온도에 관하여, 하한치는 대략 500 ℃이다. 예비 가열의 상한치는 특별히 한정되지 않지만, 후술하는 바와 같이 실리콘 질화막의 에칭률이 포화되는 온도, 예를 들어 대략 1000 ℃ 정도가 바람직하다. 또한, C2H6 가스 유량의 상한치는 특별히 한정되지 않지만, 후술하는 바와 같이 실리콘 질화막의 에칭률이 포화되는 유량, 예를 들어 대략 200 sccm 정도가 바람직하다. 또한, 본 실시 형태에 있어서 Si2Cl6 가스의 유량은 대략 30 sccm 정도, NH3 가스의 유량은 대략 900 sccm 정도이다.
이와 같이, C2H6 가스를 처리실(8) 내로 공급함으로써, 웨이퍼 표면에 형성되는 실리콘 질화막 중에 탄소 성분이 함유된다. 이에 의해, 종래의 성막 온도, 예를 들어 760 ℃ 정도보다도 낮은 온도로 성막함에도 불구하고, 클리닝 처리시에 사용되는 희불소산에 대한 실리콘 질화막 표면의 에칭률을 작게 할 수 있다. 그 결과, 클리닝 처리시에 실리콘 질화막이 지나치게 깎아 내어지는 것을 방지하여, 이 막 두께의 제어성을 향상시키는 것이 가능해진다.
특히, C2H6 가스를 예비 가열하면, 이 가스가 활성화되어 그 만큼만 다량의 탄소 성분이 실리콘 질화막 중에 함유된다. 이에 의해, 실리콘 질화막의 에칭률을 한층 작게 할 수 있다. 이 경우, 후술하는 바와 같이 실리콘 질화막 중의 탄소 성분의 농도를 제어함으로써, 원하는 에칭률을 얻는 것이 가능해진다.
다음에, 도1에 도시한 CVD 장치(2)를 사용하여 행한 실험에 대해 설명한다. 이러한 실험에 있어서, 웨이퍼 보트(20) 상에 150매의 제품 웨이퍼와 20매의 더미 웨이퍼를 탑재하는 상태에 대응하는 상태로 처리를 행하였다. 도1에 도시한 바와 같이, 웨이퍼 위치에 관하여, 처리실(8)[웨이퍼 보트(20)] 내를 상하 방향으로 3개의 영역으로 분할하고, 각각 TOP(상부), CTR(중앙), BTM(하부)으로 하였다. 여기에서, 상부 영역에는 웨이퍼 보트(20)의 상부로부터 1번째 내지 60번째의 웨이퍼가 속하고, 중앙 영역에는 61번째 내지 111번째의 웨이퍼가 속하고, 하부 영역에는 112번째 내지 170번째의 웨이퍼가 속하는 것으로 하였다.
또한, 에칭률에 관해서는 실험에 의해 얻어진 값을 기준치「1」에 대한 비교치로 변환하고, 이를 정규화 에칭률로서 사용하였다. 여기서, 탄화수소 가스를 사용하지 않고 디클로로실란(SiH2Cl2) 가스와 NH3 가스를 사용하고, 프로세스 온도를 760 ℃(종래의 성막 온도)로 설정하여 성막한 실리콘 질화막의 에칭률을 기준치「1」로 하였다.
[제1 실험]
C2H6 가스의 유량과, 실리콘 질화막 중에 포함되는 탄소 성분의 농도와의 관계를 평가하는 실험을 행하였다. 이 실험의 조건으로서, 프로세스 온도를 600 ℃, 프로세스 압력을 27 Pa, Si2Cl6 가스의 유량을 30 sccm, NH3 가스의 유량을 900 sccm, C2H6 가스의 예비 가열 온도를 1000 ℃로 각각 일정하게 하였다. 한편, C2 H6 가스의 유량을 0 내지 200 sccm의 범위로 변화시켰다.
도2는 제1 실험에 의해 얻어진 C2H6 가스의 유량과, 실리콘 질화막 중의 탄소 성분 농도의 관계를 나타내는 그래프이다.
도2에 나타낸 바와 같이, 상부로부터 하부까지의 웨이퍼 위치에 관계 없이, C2H6 가스의 유량을 0 내지 200 sccm의 범위 내로 증가시키면, 이 증가에 따라서 실리콘 질화막 중의 탄소 성분 농도는 대략 직선적으로 증가하였다. 따라서, C2H6 가스의 유량을 증가할수록, 실리콘 질화막 중 탄소 성분 농도는 증가하는 것이 판명되었다.
[제2 실험]
C2H6 가스의 예비 가열 온도와, 실리콘 질화막 중에 포함되는 탄소 성분의 농도와의 관계를 평가하는 실험을 행하였다. 이 실험의 조건으로서, 프로세스 온도를 600 ℃, 프로세스 압력을 27 Pa, Si2Cl6 가스의 유량을 30 sccm, NH3 가스의 유량을 900 sccm, C2H6 가스의 유량을 200 sccm로 각각 일정하게 하였다. 한편, C2H6 가스의 예비 가열 온도를 500 내지 1000 ℃의 범위로 변화시켰다.
도3은 제2 실험에 의해 얻어진 C2H6 가스의 예비 가열 온도와, 실리콘 질화 막 중 탄소 성분 농도와의 관계를 나타내는 그래프이다.
도3에 나타낸 바와 같이, C2H6 가스의 예비 가열 온도가 500 내지 700 ℃의 범위에서는 실리콘 질화막 중의 탄소 함유 농도는, 일부에 오차 범위 내라고 고려되는 감소 경향은 보여졌지만, 기본적으로는 약간씩 증가하였다. 예비 가열 온도가 700 내지 900 ℃의 범위에서는, 온도가 높아질수록 탄소 함유 농도는 급격히 증가하였다. 예비 가열 온도가 900 내지 1000 ℃의 범위 내에서는 온도가 높아질수록, 탄소 함유 농도는 약간씩 증가하였지만 대략 포화 상태가 되었다. 따라서, C2H6 가스의 예비 가열을 행하고, 또한 그 온도를 높게 하면 할수록, 탄소 함유 농도를 보다 높게 할 수 있는 것이 판명되었다.
이 경우, 실리콘 질화막 중 탄소 성분 농도를 어느 정도 이상으로 증가시키기 위해서는, C2H6을 예비 가열하여 그 온도를 대략 500 ℃ 이상으로 설정하는 것이 바람직하다. 또한, 대략 1000 ℃에서 탄소 성분 농도는 대략 포화하기 때문에, 그 상한치는 대략 1000 ℃ 정도로 설정하는 것이 바람직하다.
[제3 실험]
제1 및 제2 실험의 결과를 참고로 하여, 실리콘 질화막 중의 탄소 성분 농도와, 희불소산에 대한 에칭률과의 관계를 평가하는 실험을 행하였다. 이 실험의 조건으로서, 프로세스 온도를 600 ℃, 프로세스 압력을 27 Pa, Si2Cl6 가스의 유량을 30 sccm, NH3 가스의 유량을 900 sccm, C2H6 가스의 유량을 200 sccm으로 각각 일정 하게 하였다. 한편, 실리콘 질화막 중의 탄소 함유 농도를, 예비 가열 온도를 변화시킴으로써, 1 × 1018 내지 1 × 1022 atms/㎤의 범위로 변화시켰다.
도4는, 제3 실험에 의해 얻어진 실리콘 질화막 중의 탄소 성분 농도와, 희불소산(49% HF : H20 = 1 : 100)에 대한 정규화 에칭률과의 관계를 나타내는 그래프이다.
도4에 나타낸 바와 같이, 상부로부터 하부까지의 웨이퍼 위치에 관계 없이, 실리콘 질화막 중의 탄소 함유 농도를 1 × 1018 내지 1 × 1022 atms/㎤까지 증가하면 할수록, 에칭률은 직선적으로 저하하였다. 즉, 탄소 함유 농도를 제어하면 이 정규화 에칭률을 제어할 수 있는 것이 판명되었다. 특히, 탄소 함유 농도가 1 × 1022 atms/㎤일 때에는 정규화 에칭률은 대략「1」이었다. 즉, 프로세스 온도 600 ℃인 저온에서 성막함에도 불구하고, 760 ℃에서 성막한 종래의 실리콘 질화막과 대략 동일 에칭률로 할 수 있는 것이 판명되었다.
[제4 실험]
제3 실험의 결과를 보완하는 목적으로, C2H6 가스의 예비 가열 온도와, 실리콘 질화막의 희불소산에 대한 에칭률과의 관계를 평가하는 실험을 행하였다. 본 실험의 조건으로서, 프로세스 온도를 600 ℃, 프로세스 압력을 27 Pa, Si2Cl6 가스의 유량을 30 sccm, NH3 가스의 유량을 900 sccm, C2H6 가스의 유량을 200 sccm으로 각각 일정하게 하였다. 한편, C2H6 가스의 예비 가열 온도를 500 내지 1000 ℃의 범위로 변화시켰다.
도5는 제4 실험에 의해 얻어진 C2H6 가스의 예비 가열 온도와, 실리콘 질화막의 희불소산(49% HF : H20 = 1 : 100)에 대한 정규화 에칭률과의 관계를 나타내는 그래프이다.
도5에 나타낸 바와 같이, 상부로부터 하부까지의 웨이퍼 위치에 관계 없이, 예비 가열 온도가 500 내지 700 ℃의 범위 내에서는 온도가 높아질수록, 정규화 에칭률은 약간씩 감소하였다. 700 내지 900 ℃의 범위 내에서는 온도가 높아질수록, 정규화 에칭률은 급격히 감소하였다. 예비 가열 온도가 900 내지 1000 ℃의 범위 내에서는 온도가 높아질수록, 정규화 에칭률은 또한 약간씩 감소하고, 예비 가열 온도가 1000 ℃ 정도에서 정규화 에칭률은 대략「1」이 되어 포화하였다. 따라서, C2H6 가스의 예비 가열 온도를 500 내지 1000 ℃의 범위 내로 제어함으로써, 정규화 에칭률을 1 내지 8 정도의 범위 내에서 임의로 선택할 수 있는 것이 판명되었다.
[제5 실험]
제3 실험의 결과를 보완하는 목적으로, C2H6 가스의 유량(예비 가열 있음/없음)과 희불소산에 대한 에칭률의 관계를 평가하는 실험을 행하였다. 본 실험의 조건으로서, 프로세스 온도를 600 ℃, 프로세스 압력을 27 Pa, Si2Cl6 가스의 유량을 30 sccm, NH3 가스의 유량을 900 sccm으로 각각 일정하게 하였다. 한편, C2H6 가스 의 유량을 0 내지 200 sccm의 범위로 변화시켜, 각 선택 유량에 있어서 C2H6를 예비 가열하지 않는 경우(상온)와 1000 ℃로 예비 가열한 경우와의 2 종류로 조건을 설정하였다.
도6은 제5 실험에 의해 얻어진 C2H6 가스의 유량(예비 가열 있음/없음)과, 실리콘 질화막의 희불소산(49% HF : H20 = 1 : 100)에 대한 정규화 에칭률의 관계를 나타내는 그래프이다.
도6에 나타낸 바와 같이, C2H6 가스를 예비 가열하지 않고 상온에서 공급한 경우, 상부로부터 하부까지의 웨이퍼 위치에 관계 없이, C2H6 가스의 유량을 0 내지 200 sccm의 범위 내로 증가시켜도, 그 정규화 에칭률의 저하는「6 내지 7.5」로부터「5.5 내지 7.0」으로 사소한 것이었다. 즉, 예비 가열하지 않는 경우, C2H6 가스 유량의 증가에 수반하여 에칭률 저하의 효과는 볼 수 있지만, 그 저하의 정도는 매우 적은 것이 판명되었다.
이에 대해, C2H6 가스를 1000 ℃로 예비 가열한 경우, 상부로부터 하부까지의 웨이퍼 위치에 관계 없이, C2H6 가스의 유량을 0 내지 200 sccm의 범위 내에서 증가시키면 정규화 에칭률은 크게 변화하였다. 즉, C2H6 가스의 유량이 0 내지 100 sccm의 범위 내에서는 정규화 에칭률은「6 내지 8」로부터「2」정도까지 급격하게 저하하였다. 유량이 100 내지 200 sccm의 범위에서는 유량이 증가될수록 정규화 에칭률은 약간씩 저하하였다. 유량이 200 sccm에서는 에칭률은 대략「1」이 되어 그 저하가 포화되었다. 즉, C2H6 가스의 예비 가열 온도를 1000 ℃로 유지한 상태에서, 이 유량을 0 내지 200 sccm의 범위 내로 제어함으로써, 정규화 에칭률을 1 내지 8 정도의 범위 내로 임의로 선택할 수 있는 것이 판명되었다.
<제2 실시 형태>
상술한 제1 실시 형태에 있어서, 탄화수소 가스로서 파라핀 탄화수소의 에탄(C2H6)을 이용하고 있다. 그러나, 탄화수소 가스로서 메탄, 프로판, 부탄 등의 다른 파라핀계 탄화수소를 이용해도 좋고, 또는 파라핀계 탄화수소에 한정되지 않고, 아세틸렌, 에틸렌 등의 아세틸렌계 탄화수소 등을 이용해도 좋다.
제2 실시 형태에 있어서는, 탄화수소 가스로서 에틸렌(C2H4) 가스를 사용한다. 탄화수소 가스로서 에틸렌 가스를 이용하는 장점은, 예비 가열 없이 처리실(8) 내로 공급해도, 전술한 효과와 마찬가지인 효과를 얻을 수 있는, 즉 충분히 에칭률이 작은 실리콘 함유막을 형성할 수 있다는 점이다. 또, 에틸렌 가스는 예비 가열해도 좋다.
도7은, 본 발명의 제2 실시 형태에 관한 CVD 장치를 도시하는 단면도이다. 도7에 도시한 CVD 장치(2X)는 도1에 도시한 CVD 장치(2)와 비교하면, 가스 공급부(40)의 탄화수소 가스 공급계(46)가 에틸렌(C2H4) 가스원에 접속되는 동시에, 예비 가열부(66)를 포함하지 않는 점에서 다르다. 도7에 도시한 CVD 장치(2X)의 그 밖의 부분의 구성은 도1에 도시한 CVD 장치(2)와 기본적으로 동일하다.
즉, 제2 실시 형태에 관한 CVD 장치(2X)에서는 실란계 가스(실리콘 소스 가스)로서 헥사클로로디실란(Si2Cl6) 가스가 사용되고, 질화 가스로서는 NH3 가스가 사용되고, 탄화수소 가스로서는 에틸렌(C2H4) 가스가 사용된다. 탄화수소 가스로서 사용되는 에틸렌 가스는 예비 가열되지 않고, 대략 실온 상태에서 처리실(8) 내로 도입된다.
제2 실시 형태에 관한 CVD 장치(2X)에 있어서 실리콘 질화막을 형성한 경우에도, 에틸렌을 예비 가열하지 않음에도 불구하고, 실리콘 질화막 속에 탄소 성분을 충분히 포함할 수 있다. 이에 의해, 비교적 저온에서 성막을 행해도, 클리닝시의 에칭률이 비교적 작아져 클리닝시의 막 두께의 제어성을 향상시킬 수 있다. 이와 같이, 탄화수소 가스로서 에틸렌 가스를 이용한 경우에 예비 가열 없이 사용할 수 있는 이유는, 에틸렌의 C=C(이중 결합)의 결합 해리 에너지(약 63 kcal/㏖)가 에탄의 C-C의 결합 해리 에너지(약 83 kcal/㏖)보다도 작고, 에틸렌쪽이 반응성이 높다고(차이가 약 20 kcal/㏖) 고려된다.
다음에, 도7에 도시한 CVD 장치(2X)를 사용하여 행한 실험에 대해 설명한다. 이들 실험에 있어서도, 웨이퍼 보트(20) 상에 150매의 제품 웨이퍼와 20매의 더미 웨이퍼를 탑재하는 상태에 대응하는 상태로 처리를 행하였다. 도7에도 도시한 바와 같이 웨이퍼 위치에 관하여, 처리실(8)[웨이퍼 보트(20)] 내를 상하 방향으로 3개의 영역으로 분할하고, 각각 TOP(상부), CTR(중앙), BTM(하부)으로 하였다. 여기에서, 상부 영역에는 웨이퍼 보트(20)의 상부로부터 1번째 내지 60번째의 웨이퍼 가 속하고, 중앙 영역에는 61번째 내지 111번째의 웨이퍼가 속하고, 하부 영역에는 112번째 내지 170번째의 웨이퍼가 속하는 것으로 하였다.
또한, 에칭률에 관해서는 실험에 의해 얻어진 값을 기준치「1」에 대한 비교치로 변환하고, 이를 정규화 에칭률로서 사용하였다. 여기에서, 탄화수소 가스를 사용하지 않고, 디클로로실란(SiH2Cl2) 가스와 NH3 가스를 사용하고, 프로세스 온도를 760 ℃(종래의 성막 온도)로 설정하여 성막한 실리콘 질화막의 에칭률을 기준치「1」로 하였다.
[제6 실험]
탄화수소 가스로서, 에탄(C2H6) 가스 대신에 에틸렌(C2H4) 가스를 사용한 경우의 효과를 평가하는 실험을 행하였다. 본 실험의 조건으로서, 프로세스 온도를 600 ℃, 프로세스 압력을 27 Pa, Si2Cl6 가스의 유량을 30 sccm, NH3의 가스 유량을 900 sccm으로 각각 일정하게 하였다. 한편, C2H4 가스의 유량을 0 내지 150 sccm의 범위로 변화시켰다.
도8은 제6 실험에 의해 얻어진 탄화수소 가스의 유량과, 실리콘 질화막의 희불소산(49% HF : H20 = 1 : 100)에 대한 정규화 에칭률의 관계를 나타내는 그래프이다. 이 그래프 중에는 비교를 위해 예비 가열 없이 에탄을 이용하였을 때 결과도 병기되어 있다.
도8에 도시한 바와 같이 에탄(C2H6)을 예비 가열 없이 사용한 경우, 상부로 부터 하부까지의 웨이퍼 위치 사이에서 약간의 차이는 있지만, 가스 유량을 0 내지 150 sccm의 범위 내로 증가시켜도, 정규화 에칭률은 대략 6 내지 8의 범위보다 작아지는 일은 없었다. 즉, 이 경우 가스 유량을 증가시켜도, 정규화 에칭률은 대략 일정하거나 혹은 약간 저하할 뿐이었다.
이에 대해, 탄화수소 가스로서 에틸렌을 예비 가열 없이 사용한 경우, 상부로부터 하부까지의 각 웨이퍼 위치에 있어서, 가스 유량을 0 내지 l50 sccm의 범위 내로 증가시키면, 이 증가에 따라서 정규화 에칭률은 약「5 내지 6」으로부터 약「3.2 내지 4」로 저하하였다.
[제7 실험]
또한, 에틸렌(C2H4) 가스의 유량과, 실리콘 질화막의 희불소산에 대한 에칭률의 관계를 평가하는 실험을 행하였다. 본 실험의 조건으로서, 프로세스 온도를 600 ℃, 프로세스 압력을 27 Pa, Si2Cl6 가스의 유량을 30 sccm, NH3의 가스 유량을 900 sccm으로 각각 일정하게 하였다. 한편, C2H4 가스의 유량을 0 내지 900 sccm의 범위로 변화시켰다.
도9는, 제7 실험에 의해 얻어진 에틸렌 가스의 유량과, 실리콘 질화막의 희불소산(49% HF : H20 = 1 : 100)에 대한 정규화 에칭률의 관계를 나타내는 그래프이다. 이 그래프로서는 상부로부터 하부까지의 웨이퍼 위치의 평균치로 에칭률을 나타내고 있다.
도9에 나타낸 바와 같이, 에틸렌 가스 유량을 0 내지 900 sccm의 범위 내로 증가시키면, 이 증가에 따라서 정규화 에칭률은 약 6.45로부터 약 1.80으로 차례로 저하하였다. 또한, 정규화 에칭률의 저하는 에틸렌 가스 유량이 900 sccm 근방에서 대략 포화되었다.
제6 및 제7 실험의 결과로부터, 탄화수소 가스로서 에틸렌을 사용하는 경우, 예비 가열 없이도 실리콘 질화막의 에칭률을 충분히 낮게 할(즉 실리콘 질화막 중에 탄소 성분을 충분히 포함하게 할) 수 있는 것이 판명되었다.
[제8 실험]
제6 및 제7 실험의 결과를 보완하는 목적으로, 프로세스 온도를 450 ℃, 에틸렌 가스의 유량을 300 sccm으로 한 이외에는, 제6 실험과 동일 조건(즉 예비 가열 없음)으로 실험을 행하였다. 그 결과, 이 경우라도 정규화 에칭률은 에틸렌 가스가 들어갈 수 없는 경우에 비해 약 절반으로까지 저하되는 것이 판명되었다.
또, 제1 및 제2 실시 형태에서는 성막 가스[실란계 가스(실리콘 소스 가스)로 이루어지는 제1 가스와, 산화 가스, 질화 가스 및 산질화 가스로 이루어지는 군으로부터 선택된 가스로 이루어지는 제2 가스와의 조합]와 탄화수소 가스를 각각 다른 계통으로 독립적으로 처리실(8) 내로 공급하고 있다. 그러나, 탄화수소 가스를 성막 가스의 1 종류(Si2Cl6 가스, 또는 NH3 가스)로 혼합시킨 상태로 공급하도록 해도 좋다.
어느 쪽의 경우도, 성막 가스에 대한 탄화수소 가스의 유량비는 0.3 내지 3.2, 바람직하게는 0.4 내지 2.8로 한다. 또한, 실란계 가스에 대한 탄화수소 가 스의 유량비는 10 내지 100, 바람직하게는 15 내지 85로 한다. 탄화수소 가스의 유량비가 상기한 범위보다도 낮으면, 실리콘 함유 절연막의 에칭 속도가 커진다. 이 경우, 클리닝시에 이 절연막이 지나치게 깎아 내어져 막 두께의 제어성이 악화된다. 한편, 탄화수소 가스의 유량비가 상기한 범위보다도 높으면, 실리콘 함유 절연막의 성장 속도가 저하되기 때문에 실용적이지 않다.
또한, 제1 및 제2 실시 형태는 헥사클로로디실란(HCD : Si2Cl6)과 NH3를 이용하여 실리콘 질화막을 형성할 때에, 이와 동시에 탄화수소 가스를 공급한다. 그러나, 다른 처리 가스를 이용하여 실리콘 질화막을 형성하는 경우라도, 탄화수소 가스를 더불어 공급함으로써, 상술한 효과와 마찬가지인 효과를 얻을 수 있다. 예를 들어, 실리콘 질화막을 형성하는 다른 처리 가스의 예로서는, 실란계 가스(실리콘 소스 가스)인 디클로로실란(DCS : SiH2Cl2), 테트라클로로실란(SiCl4), 비스타-샬부틸아미노실란(BTBAS : SiH2(NH(C4H9))2 및 헥사에틸아미노디실란(HEAD)의 하나와, 질화 가스인 NH3의 조합을 들 수 있다.
또한, 실리콘 질화막이 아니라, 열 CVD에 의해 실리콘 산화막을 형성하는 경우라도, 탄화수소 가스를 더불어 공급함으로써, 전술한 효과와 마찬가지인 효과를 얻을 수 있다. 실리콘 산화막을 열 CVD에 의해 형성하기 위한 처리 가스의 예로서는, 모노실란(SiH4)과 N20와의 조합, 디클로로실란(DCS : SiH2Cl2 )과 N20와의 조합, TEOS(테트라에톡시실란)와 O2와의 조합, 또는 헥사클로로디실란(HCD : Si2Cl6 )과 N20 와의 조합을 들 수 있다. 이 경우, 상기 N20 가스나 O2 가스는 산화 가스로서 사용된다.
또한, 실리콘 산질화막을 형성하는 경우라도, 성막 가스와 탄화수소 가스를 더불어 공급함으로써, 전술한 효과와 마찬가지인 효과를 얻을 수 있다. 실리콘 산질화막을 열 CVD에 의해 형성하기 위한 처리 가스의 예로서는, 디클로로실란(DCS : SiH2Cl2)과 N20와 NH3와의 조합을 예를 들 수 있다. 또, 이 경우 도1 중에 파선으로 나타낸 바와 같이, CVD 장치의 산질화 가스 공급계로서 NH3 가스 공급계(44)에 부가하여 N20 가스 공급계(45)(도1에 있어서, 부호 57, 63은 유량 제어기 및 가스 유로를 나타냄)를 배치하고, N20와 NH3를 개별로 처리실(8)에 공급하는 것이 바람직하다.
또한, 이상의 실시 형태에서는 CVD 장치로서 종형의 배치식의 장치를 예시하고 있다. 그러나, 본 발명은 횡형의 배치식의 CVD 장치, 또는 피처리 기판을 1매씩 처리하는 매엽식의 CVD 장치에도 적용할 수 있다. 또한, 피처리 기판에 관해, 본 발명은 반도체 웨이퍼 이외의 유리 기판이나 LCD 기판 등에도 적용할 수 있다.
이상의 실시 형태에 관한 실리콘 함유 절연막을 형성하는 CVD 방법 및 장치에 따르면, 다음과 같은 효과를 얻을 수 있다. 즉, 실리콘 함유 절연막의 성막시에 탄화수소 가스를 더불어 공급함으로써, 실리콘 함유 절연막 중에 탄소 성분을 포함할 수 있다. 이에 의해, 비교적 저온에서 성막을 행해도, 실리콘 함유 절연막 의 클리닝시의 에칭률이 비교적 작아져 클리닝시 막 두께의 제어성을 향상시킬 수 있다. 또한, 공급되는 탄화수소 가스를 예비 가열하여 활성화시킴으로써, 실리콘 함유 절연막 중에 보다 많은 탄소 성분을 포함할 수 있다.

Claims (12)

  1. 삭제
  2. 삭제
  3. 삭제
  4. 실리콘 질화막을 형성하는 CVD 방법이며,
    피처리 기판을 수납한 처리실 내를 배기하면서, 상기 처리실 내로 성막 가스를 공급하고, 상기 피처리 기판 상에 상기 실리콘 질화막을 퇴적에 의해 형성하는 공정을 구비하고, 여기에서 상기 성막 가스와 함께 탄화수소 가스를 공급하여 실리콘 질화막 중에 탄소 성분을 함유시키고,
    상기 탄화수소 가스를 상기 처리실 내로 공급하기 직전에 예비 가열하는 공정을 더 구비하는 CVD 방법.
  5. 제4항에 있어서, 상기 예비 가열의 온도는 500 내지 1000 ℃의 범위 내인 CVD 방법.
  6. 삭제
  7. 삭제
  8. 제4항에 있어서, 상기 성막 가스는 실란계 가스로 이루어지는 제1 가스와, 질화 가스로 이루어지는 제2 가스를 구비하는 CVD 방법.
  9. 제8항에 있어서, 상기 제1 가스는 헥사클로로디실란, 헥사에틸아미노디실란, 비스타-샬부틸아미노실란 및 디클로로실란으로 이루어지는 군으로부터 선택된 가스로 이루어지고, 상기 제2 가스는 질화 가스로 이루어지고, 상기 실리콘 질화막을 퇴적에 의해 형성하는 공정에 있어서의 프로세스 온도는 450 내지 600 ℃의 범위 내인 CVD 방법.
  10. 삭제
  11. 삭제
  12. 실리콘 질화막으로 이루어지는 절연막을 형성하는 CVD 장치이며,
    피처리 기판을 수납하기 위한 처리실과,
    상기 처리실 내에 상기 피처리 기판을 지지하기 위한 지지 부재와,
    상기 지지 부재에 지지된 상기 피처리 기판을 가열하는 히터와,
    상기 처리실 내를 진공 배기하는 배기부와,
    상기 처리실 내에 가스를 공급하는 공급부를 구비하고,
    상기 공급부는 실란계 가스로 이루어지는 제1 가스를 공급하는 제1 공급계와, 질화 가스로 이루어지는 제2 가스를 공급하는 제2 공급계와, 탄화수소 가스로 이루어지는 제3 가스를 공급하는 제3 공급계를 구비하고, 제1, 제2 및 제3 가스는 동시에 공급 가능하고,
    상기 공급부는 상기 제3 가스를 상기 처리실 내로 공급하기 직전에 예비 가열하는 예비 가열부를 포함하는 CVD 장치.
KR1020047007468A 2002-01-15 2003-01-14 실리콘 함유 절연막을 형성하는 cvd 방법 및 장치 KR100903484B1 (ko)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
JP2002005827 2002-01-15
JPJP-P-2002-00005827 2002-01-15
PCT/JP2003/000206 WO2003060978A1 (en) 2002-01-15 2003-01-14 Cvd method and device for forming silicon-containing insulation film

Publications (2)

Publication Number Publication Date
KR20040081424A KR20040081424A (ko) 2004-09-21
KR100903484B1 true KR100903484B1 (ko) 2009-06-18

Family

ID=19191151

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1020047007468A KR100903484B1 (ko) 2002-01-15 2003-01-14 실리콘 함유 절연막을 형성하는 cvd 방법 및 장치

Country Status (6)

Country Link
US (1) US7125812B2 (ko)
EP (1) EP1475828A4 (ko)
KR (1) KR100903484B1 (ko)
CN (1) CN100373559C (ko)
TW (1) TWI262959B (ko)
WO (1) WO2003060978A1 (ko)

Families Citing this family (346)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN100350574C (zh) * 2003-01-24 2007-11-21 东京毅力科创株式会社 在被处理基板上形成硅氮化膜的cvd方法
US20060172556A1 (en) * 2005-02-01 2006-08-03 Texas Instruments Incorporated Semiconductor device having a high carbon content strain inducing film and a method of manufacture therefor
JP4506677B2 (ja) * 2005-03-11 2010-07-21 東京エレクトロン株式会社 成膜方法、成膜装置及び記憶媒体
JP4434149B2 (ja) * 2006-01-16 2010-03-17 東京エレクトロン株式会社 成膜方法、成膜装置及び記憶媒体
JP4929932B2 (ja) * 2006-09-01 2012-05-09 東京エレクトロン株式会社 成膜方法、成膜装置及び記憶媒体
JP2008078448A (ja) * 2006-09-22 2008-04-03 Hitachi Kokusai Electric Inc 基板処理装置
US20080213479A1 (en) 2007-02-16 2008-09-04 Tokyo Electron Limited SiCN film formation method and apparatus
KR100924653B1 (ko) * 2007-09-13 2009-11-03 피에스케이 주식회사 기판 처리 장치 및 방법
JP2009260151A (ja) * 2008-04-18 2009-11-05 Tokyo Electron Ltd 金属ドープ層の形成方法、成膜装置及び記憶媒体
DE102008034330A1 (de) * 2008-07-23 2010-01-28 Ionbond Ag Olten CVD-Reaktor zur Abscheidung von Schichten aus einem Reaktionsgasgemisch auf Werkstücken
US8622032B2 (en) 2008-09-25 2014-01-07 Mustafa Rez Internal combustion engine with dual-chamber cylinder
US8191517B2 (en) 2008-09-25 2012-06-05 Rez Mustafa Internal combustion engine with dual-chamber cylinder
US8490584B2 (en) * 2008-09-25 2013-07-23 Rez Mustafa Air hybrid engine with dual chamber cylinder
US10378106B2 (en) 2008-11-14 2019-08-13 Asm Ip Holding B.V. Method of forming insulation film by modified PEALD
US9394608B2 (en) 2009-04-06 2016-07-19 Asm America, Inc. Semiconductor processing reactor and components thereof
US8802201B2 (en) 2009-08-14 2014-08-12 Asm America, Inc. Systems and methods for thin-film deposition of metal oxides using excited nitrogen-oxygen species
US20110223770A1 (en) * 2010-03-15 2011-09-15 Lam Research Corporation Nitride plasma etch with highly tunable selectivity to oxide
JP5654862B2 (ja) * 2010-04-12 2015-01-14 株式会社日立国際電気 半導体装置の製造方法、基板処理方法及び基板処理装置
KR101209003B1 (ko) * 2010-10-14 2012-12-06 주식회사 유진테크 3차원 구조의 메모리 소자를 제조하는 방법 및 장치
JP5847566B2 (ja) * 2011-01-14 2016-01-27 株式会社日立国際電気 半導体装置の製造方法、基板処理方法、基板処理装置およびプログラム
US8465811B2 (en) * 2011-01-28 2013-06-18 Asm Japan K.K. Method of depositing film by atomic layer deposition with pulse-time-modulated plasma
US9312155B2 (en) 2011-06-06 2016-04-12 Asm Japan K.K. High-throughput semiconductor-processing apparatus equipped with multiple dual-chamber modules
US9793148B2 (en) 2011-06-22 2017-10-17 Asm Japan K.K. Method for positioning wafers in multiple wafer transport
US10364496B2 (en) 2011-06-27 2019-07-30 Asm Ip Holding B.V. Dual section module having shared and unshared mass flow controllers
US10854498B2 (en) 2011-07-15 2020-12-01 Asm Ip Holding B.V. Wafer-supporting device and method for producing same
US20130023129A1 (en) 2011-07-20 2013-01-24 Asm America, Inc. Pressure transmitter for a semiconductor processing environment
US9165761B2 (en) 2011-08-25 2015-10-20 Hitachi Kokusai Electric Inc. Method for manufacturing semiconductor device, method for processing substrate, substrate processing apparatus and recording medium
US9017481B1 (en) 2011-10-28 2015-04-28 Asm America, Inc. Process feed management for semiconductor substrate processing
US8946830B2 (en) 2012-04-04 2015-02-03 Asm Ip Holdings B.V. Metal oxide protective layer for a semiconductor device
US9558931B2 (en) 2012-07-27 2017-01-31 Asm Ip Holding B.V. System and method for gas-phase sulfur passivation of a semiconductor surface
JP6030378B2 (ja) 2012-08-14 2016-11-24 株式会社日立国際電気 半導体装置の製造方法、基板処理方法、基板処理装置およびプログラム
US9659799B2 (en) 2012-08-28 2017-05-23 Asm Ip Holding B.V. Systems and methods for dynamic semiconductor process scheduling
US9021985B2 (en) 2012-09-12 2015-05-05 Asm Ip Holdings B.V. Process gas management for an inductively-coupled plasma deposition reactor
JP6022274B2 (ja) * 2012-09-18 2016-11-09 株式会社日立国際電気 半導体装置の製造方法、基板処理装置およびプログラム
US9324811B2 (en) 2012-09-26 2016-04-26 Asm Ip Holding B.V. Structures and devices including a tensile-stressed silicon arsenic layer and methods of forming same
US10714315B2 (en) 2012-10-12 2020-07-14 Asm Ip Holdings B.V. Semiconductor reaction chamber showerhead
US9640416B2 (en) 2012-12-26 2017-05-02 Asm Ip Holding B.V. Single-and dual-chamber module-attachable wafer-handling chamber
TWI479664B (zh) * 2012-12-28 2015-04-01 Ye Xin Technology Consulting Co Ltd 薄膜電晶體及其製作方法與液晶顯示面板
US20160376700A1 (en) 2013-02-01 2016-12-29 Asm Ip Holding B.V. System for treatment of deposition reactor
US9589770B2 (en) 2013-03-08 2017-03-07 Asm Ip Holding B.V. Method and systems for in-situ formation of intermediate reactive species
US9484191B2 (en) 2013-03-08 2016-11-01 Asm Ip Holding B.V. Pulsed remote plasma method and system
US8993054B2 (en) 2013-07-12 2015-03-31 Asm Ip Holding B.V. Method and system to reduce outgassing in a reaction chamber
US9018111B2 (en) 2013-07-22 2015-04-28 Asm Ip Holding B.V. Semiconductor reaction chamber with plasma capabilities
US9793115B2 (en) 2013-08-14 2017-10-17 Asm Ip Holding B.V. Structures and devices including germanium-tin films and methods of forming same
JP2015056632A (ja) * 2013-09-13 2015-03-23 東京エレクトロン株式会社 シリコン酸化膜の製造方法
US9240412B2 (en) 2013-09-27 2016-01-19 Asm Ip Holding B.V. Semiconductor structure and device and methods of forming same using selective epitaxial process
US9556516B2 (en) 2013-10-09 2017-01-31 ASM IP Holding B.V Method for forming Ti-containing film by PEALD using TDMAT or TDEAT
US10179947B2 (en) 2013-11-26 2019-01-15 Asm Ip Holding B.V. Method for forming conformal nitrided, oxidized, or carbonized dielectric film by atomic layer deposition
US10683571B2 (en) 2014-02-25 2020-06-16 Asm Ip Holding B.V. Gas supply manifold and method of supplying gases to chamber using same
US10167557B2 (en) 2014-03-18 2019-01-01 Asm Ip Holding B.V. Gas distribution system, reactor including the system, and methods of using the same
US9447498B2 (en) 2014-03-18 2016-09-20 Asm Ip Holding B.V. Method for performing uniform processing in gas system-sharing multiple reaction chambers
US11015245B2 (en) 2014-03-19 2021-05-25 Asm Ip Holding B.V. Gas-phase reactor and system having exhaust plenum and components thereof
US9404587B2 (en) 2014-04-24 2016-08-02 ASM IP Holding B.V Lockout tagout for semiconductor vacuum valve
US10858737B2 (en) 2014-07-28 2020-12-08 Asm Ip Holding B.V. Showerhead assembly and components thereof
US9543180B2 (en) 2014-08-01 2017-01-10 Asm Ip Holding B.V. Apparatus and method for transporting wafers between wafer carrier and process tool under vacuum
US9890456B2 (en) 2014-08-21 2018-02-13 Asm Ip Holding B.V. Method and system for in situ formation of gas-phase compounds
US9657845B2 (en) 2014-10-07 2017-05-23 Asm Ip Holding B.V. Variable conductance gas distribution apparatus and method
US10941490B2 (en) 2014-10-07 2021-03-09 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
KR102300403B1 (ko) 2014-11-19 2021-09-09 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
KR102263121B1 (ko) 2014-12-22 2021-06-09 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 및 그 제조 방법
US9478415B2 (en) 2015-02-13 2016-10-25 Asm Ip Holding B.V. Method for forming film having low resistance and shallow junction depth
US10529542B2 (en) 2015-03-11 2020-01-07 Asm Ip Holdings B.V. Cross-flow reactor and method
US10276355B2 (en) 2015-03-12 2019-04-30 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
US10458018B2 (en) 2015-06-26 2019-10-29 Asm Ip Holding B.V. Structures including metal carbide material, devices including the structures, and methods of forming same
US10600673B2 (en) 2015-07-07 2020-03-24 Asm Ip Holding B.V. Magnetic susceptor to baseplate seal
US10043661B2 (en) 2015-07-13 2018-08-07 Asm Ip Holding B.V. Method for protecting layer by forming hydrocarbon-based extremely thin film
US9899291B2 (en) 2015-07-13 2018-02-20 Asm Ip Holding B.V. Method for protecting layer by forming hydrocarbon-based extremely thin film
US10083836B2 (en) 2015-07-24 2018-09-25 Asm Ip Holding B.V. Formation of boron-doped titanium metal films with high work function
US10087525B2 (en) 2015-08-04 2018-10-02 Asm Ip Holding B.V. Variable gap hard stop design
US9647114B2 (en) 2015-08-14 2017-05-09 Asm Ip Holding B.V. Methods of forming highly p-type doped germanium tin films and structures and devices including the films
US9711345B2 (en) 2015-08-25 2017-07-18 Asm Ip Holding B.V. Method for forming aluminum nitride-based film by PEALD
US9960072B2 (en) 2015-09-29 2018-05-01 Asm Ip Holding B.V. Variable adjustment for precise matching of multiple chamber cavity housings
US9909214B2 (en) 2015-10-15 2018-03-06 Asm Ip Holding B.V. Method for depositing dielectric film in trenches by PEALD
US10211308B2 (en) 2015-10-21 2019-02-19 Asm Ip Holding B.V. NbMC layers
US10322384B2 (en) 2015-11-09 2019-06-18 Asm Ip Holding B.V. Counter flow mixer for process chamber
US9455138B1 (en) 2015-11-10 2016-09-27 Asm Ip Holding B.V. Method for forming dielectric film in trenches by PEALD using H-containing gas
US9905420B2 (en) 2015-12-01 2018-02-27 Asm Ip Holding B.V. Methods of forming silicon germanium tin films and structures and devices including the films
US9607837B1 (en) 2015-12-21 2017-03-28 Asm Ip Holding B.V. Method for forming silicon oxide cap layer for solid state diffusion process
US9627221B1 (en) 2015-12-28 2017-04-18 Asm Ip Holding B.V. Continuous process incorporating atomic layer etching
US9735024B2 (en) 2015-12-28 2017-08-15 Asm Ip Holding B.V. Method of atomic layer etching using functional group-containing fluorocarbon
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
US9754779B1 (en) 2016-02-19 2017-09-05 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US10468251B2 (en) 2016-02-19 2019-11-05 Asm Ip Holding B.V. Method for forming spacers using silicon nitride film for spacer-defined multiple patterning
US10529554B2 (en) 2016-02-19 2020-01-07 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US10501866B2 (en) 2016-03-09 2019-12-10 Asm Ip Holding B.V. Gas distribution apparatus for improved film uniformity in an epitaxial system
US10343920B2 (en) 2016-03-18 2019-07-09 Asm Ip Holding B.V. Aligned carbon nanotubes
US9892913B2 (en) 2016-03-24 2018-02-13 Asm Ip Holding B.V. Radial and thickness control via biased multi-port injection settings
US10190213B2 (en) 2016-04-21 2019-01-29 Asm Ip Holding B.V. Deposition of metal borides
US10865475B2 (en) 2016-04-21 2020-12-15 Asm Ip Holding B.V. Deposition of metal borides and silicides
US10087522B2 (en) 2016-04-21 2018-10-02 Asm Ip Holding B.V. Deposition of metal borides
US10367080B2 (en) 2016-05-02 2019-07-30 Asm Ip Holding B.V. Method of forming a germanium oxynitride film
US10032628B2 (en) 2016-05-02 2018-07-24 Asm Ip Holding B.V. Source/drain performance through conformal solid state doping
KR102592471B1 (ko) 2016-05-17 2023-10-20 에이에스엠 아이피 홀딩 비.브이. 금속 배선 형성 방법 및 이를 이용한 반도체 장치의 제조 방법
US11453943B2 (en) 2016-05-25 2022-09-27 Asm Ip Holding B.V. Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor
US10388509B2 (en) 2016-06-28 2019-08-20 Asm Ip Holding B.V. Formation of epitaxial layers via dislocation filtering
US10612137B2 (en) 2016-07-08 2020-04-07 Asm Ip Holdings B.V. Organic reactants for atomic layer deposition
US9859151B1 (en) 2016-07-08 2018-01-02 Asm Ip Holding B.V. Selective film deposition method to form air gaps
US9793135B1 (en) 2016-07-14 2017-10-17 ASM IP Holding B.V Method of cyclic dry etching using etchant film
US10714385B2 (en) 2016-07-19 2020-07-14 Asm Ip Holding B.V. Selective deposition of tungsten
US10381226B2 (en) 2016-07-27 2019-08-13 Asm Ip Holding B.V. Method of processing substrate
US10395919B2 (en) 2016-07-28 2019-08-27 Asm Ip Holding B.V. Method and apparatus for filling a gap
US9812320B1 (en) 2016-07-28 2017-11-07 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10177025B2 (en) 2016-07-28 2019-01-08 Asm Ip Holding B.V. Method and apparatus for filling a gap
KR102532607B1 (ko) 2016-07-28 2023-05-15 에이에스엠 아이피 홀딩 비.브이. 기판 가공 장치 및 그 동작 방법
US9887082B1 (en) 2016-07-28 2018-02-06 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10090316B2 (en) 2016-09-01 2018-10-02 Asm Ip Holding B.V. 3D stacked multilayer semiconductor memory using doped select transistor channel
US10410943B2 (en) 2016-10-13 2019-09-10 Asm Ip Holding B.V. Method for passivating a surface of a semiconductor and related systems
US10643826B2 (en) 2016-10-26 2020-05-05 Asm Ip Holdings B.V. Methods for thermally calibrating reaction chambers
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
US10435790B2 (en) 2016-11-01 2019-10-08 Asm Ip Holding B.V. Method of subatmospheric plasma-enhanced ALD using capacitively coupled electrodes with narrow gap
US10714350B2 (en) 2016-11-01 2020-07-14 ASM IP Holdings, B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10643904B2 (en) 2016-11-01 2020-05-05 Asm Ip Holdings B.V. Methods for forming a semiconductor device and related semiconductor device structures
US10229833B2 (en) 2016-11-01 2019-03-12 Asm Ip Holding B.V. Methods for forming a transition metal nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10134757B2 (en) 2016-11-07 2018-11-20 Asm Ip Holding B.V. Method of processing a substrate and a device manufactured by using the method
KR102546317B1 (ko) 2016-11-15 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기체 공급 유닛 및 이를 포함하는 기판 처리 장치
US10340135B2 (en) 2016-11-28 2019-07-02 Asm Ip Holding B.V. Method of topologically restricted plasma-enhanced cyclic deposition of silicon or metal nitride
KR20180068582A (ko) 2016-12-14 2018-06-22 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11581186B2 (en) 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
US11447861B2 (en) 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
US9916980B1 (en) 2016-12-15 2018-03-13 Asm Ip Holding B.V. Method of forming a structure on a substrate
KR20180070971A (ko) 2016-12-19 2018-06-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10269558B2 (en) 2016-12-22 2019-04-23 Asm Ip Holding B.V. Method of forming a structure on a substrate
US10867788B2 (en) 2016-12-28 2020-12-15 Asm Ip Holding B.V. Method of forming a structure on a substrate
US11390950B2 (en) 2017-01-10 2022-07-19 Asm Ip Holding B.V. Reactor system and method to reduce residue buildup during a film deposition process
US10655221B2 (en) 2017-02-09 2020-05-19 Asm Ip Holding B.V. Method for depositing oxide film by thermal ALD and PEALD
US10468261B2 (en) 2017-02-15 2019-11-05 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
US10529563B2 (en) 2017-03-29 2020-01-07 Asm Ip Holdings B.V. Method for forming doped metal oxide films on a substrate by cyclical deposition and related semiconductor device structures
US10283353B2 (en) 2017-03-29 2019-05-07 Asm Ip Holding B.V. Method of reforming insulating film deposited on substrate with recess pattern
US10103040B1 (en) 2017-03-31 2018-10-16 Asm Ip Holding B.V. Apparatus and method for manufacturing a semiconductor device
USD830981S1 (en) 2017-04-07 2018-10-16 Asm Ip Holding B.V. Susceptor for semiconductor substrate processing apparatus
KR102457289B1 (ko) 2017-04-25 2022-10-21 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10446393B2 (en) 2017-05-08 2019-10-15 Asm Ip Holding B.V. Methods for forming silicon-containing epitaxial layers and related semiconductor device structures
US10892156B2 (en) 2017-05-08 2021-01-12 Asm Ip Holding B.V. Methods for forming a silicon nitride film on a substrate and related semiconductor device structures
US10770286B2 (en) 2017-05-08 2020-09-08 Asm Ip Holdings B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
US10504742B2 (en) 2017-05-31 2019-12-10 Asm Ip Holding B.V. Method of atomic layer etching using hydrogen plasma
US10886123B2 (en) 2017-06-02 2021-01-05 Asm Ip Holding B.V. Methods for forming low temperature semiconductor layers and related semiconductor device structures
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
US10685834B2 (en) 2017-07-05 2020-06-16 Asm Ip Holdings B.V. Methods for forming a silicon germanium tin layer and related semiconductor device structures
KR20190009245A (ko) 2017-07-18 2019-01-28 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 구조물 형성 방법 및 관련된 반도체 소자 구조물
US10541333B2 (en) 2017-07-19 2020-01-21 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US11018002B2 (en) 2017-07-19 2021-05-25 Asm Ip Holding B.V. Method for selectively depositing a Group IV semiconductor and related semiconductor device structures
US11374112B2 (en) 2017-07-19 2022-06-28 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US10605530B2 (en) 2017-07-26 2020-03-31 Asm Ip Holding B.V. Assembly of a liner and a flange for a vertical furnace as well as the liner and the vertical furnace
US10312055B2 (en) 2017-07-26 2019-06-04 Asm Ip Holding B.V. Method of depositing film by PEALD using negative bias
US10590535B2 (en) 2017-07-26 2020-03-17 Asm Ip Holdings B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US10692741B2 (en) 2017-08-08 2020-06-23 Asm Ip Holdings B.V. Radiation shield
US10770336B2 (en) 2017-08-08 2020-09-08 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11139191B2 (en) 2017-08-09 2021-10-05 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US10249524B2 (en) 2017-08-09 2019-04-02 Asm Ip Holding B.V. Cassette holder assembly for a substrate cassette and holding member for use in such assembly
US10236177B1 (en) 2017-08-22 2019-03-19 ASM IP Holding B.V.. Methods for depositing a doped germanium tin semiconductor and related semiconductor device structures
USD900036S1 (en) 2017-08-24 2020-10-27 Asm Ip Holding B.V. Heater electrical connector and adapter
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
KR102491945B1 (ko) 2017-08-30 2023-01-26 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
US11056344B2 (en) 2017-08-30 2021-07-06 Asm Ip Holding B.V. Layer forming method
US10607895B2 (en) 2017-09-18 2020-03-31 Asm Ip Holdings B.V. Method for forming a semiconductor device structure comprising a gate fill metal
KR102630301B1 (ko) 2017-09-21 2024-01-29 에이에스엠 아이피 홀딩 비.브이. 침투성 재료의 순차 침투 합성 방법 처리 및 이를 이용하여 형성된 구조물 및 장치
US10844484B2 (en) 2017-09-22 2020-11-24 Asm Ip Holding B.V. Apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US10658205B2 (en) 2017-09-28 2020-05-19 Asm Ip Holdings B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
US10403504B2 (en) 2017-10-05 2019-09-03 Asm Ip Holding B.V. Method for selectively depositing a metallic film on a substrate
US10319588B2 (en) 2017-10-10 2019-06-11 Asm Ip Holding B.V. Method for depositing a metal chalcogenide on a substrate by cyclical deposition
US10923344B2 (en) 2017-10-30 2021-02-16 Asm Ip Holding B.V. Methods for forming a semiconductor structure and related semiconductor structures
US10910262B2 (en) 2017-11-16 2021-02-02 Asm Ip Holding B.V. Method of selectively depositing a capping layer structure on a semiconductor device structure
KR102443047B1 (ko) 2017-11-16 2022-09-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 방법 및 그에 의해 제조된 장치
US11022879B2 (en) 2017-11-24 2021-06-01 Asm Ip Holding B.V. Method of forming an enhanced unexposed photoresist layer
CN111316417B (zh) 2017-11-27 2023-12-22 阿斯莫Ip控股公司 与批式炉偕同使用的用于储存晶圆匣的储存装置
WO2019103610A1 (en) 2017-11-27 2019-05-31 Asm Ip Holding B.V. Apparatus including a clean mini environment
US10290508B1 (en) 2017-12-05 2019-05-14 Asm Ip Holding B.V. Method for forming vertical spacers for spacer-defined patterning
US10872771B2 (en) 2018-01-16 2020-12-22 Asm Ip Holding B. V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
TW202325889A (zh) 2018-01-19 2023-07-01 荷蘭商Asm 智慧財產控股公司 沈積方法
WO2019142055A2 (en) 2018-01-19 2019-07-25 Asm Ip Holding B.V. Method for depositing a gap-fill layer by plasma-assisted deposition
USD903477S1 (en) 2018-01-24 2020-12-01 Asm Ip Holdings B.V. Metal clamp
US11018047B2 (en) 2018-01-25 2021-05-25 Asm Ip Holding B.V. Hybrid lift pin
USD880437S1 (en) 2018-02-01 2020-04-07 Asm Ip Holding B.V. Gas supply plate for semiconductor manufacturing apparatus
US10535516B2 (en) 2018-02-01 2020-01-14 Asm Ip Holdings B.V. Method for depositing a semiconductor structure on a surface of a substrate and related semiconductor structures
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
EP3737779A1 (en) 2018-02-14 2020-11-18 ASM IP Holding B.V. A method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
US10896820B2 (en) 2018-02-14 2021-01-19 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
US10731249B2 (en) 2018-02-15 2020-08-04 Asm Ip Holding B.V. Method of forming a transition metal containing film on a substrate by a cyclical deposition process, a method for supplying a transition metal halide compound to a reaction chamber, and related vapor deposition apparatus
US10658181B2 (en) 2018-02-20 2020-05-19 Asm Ip Holding B.V. Method of spacer-defined direct patterning in semiconductor fabrication
KR102636427B1 (ko) 2018-02-20 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 장치
US10975470B2 (en) 2018-02-23 2021-04-13 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
US11629406B2 (en) 2018-03-09 2023-04-18 Asm Ip Holding B.V. Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate
US11114283B2 (en) 2018-03-16 2021-09-07 Asm Ip Holding B.V. Reactor, system including the reactor, and methods of manufacturing and using same
KR102646467B1 (ko) 2018-03-27 2024-03-11 에이에스엠 아이피 홀딩 비.브이. 기판 상에 전극을 형성하는 방법 및 전극을 포함하는 반도체 소자 구조
US11088002B2 (en) 2018-03-29 2021-08-10 Asm Ip Holding B.V. Substrate rack and a substrate processing system and method
US10510536B2 (en) 2018-03-29 2019-12-17 Asm Ip Holding B.V. Method of depositing a co-doped polysilicon film on a surface of a substrate within a reaction chamber
US11230766B2 (en) 2018-03-29 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102501472B1 (ko) 2018-03-30 2023-02-20 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
TWI811348B (zh) 2018-05-08 2023-08-11 荷蘭商Asm 智慧財產控股公司 藉由循環沉積製程於基板上沉積氧化物膜之方法及相關裝置結構
TW202349473A (zh) 2018-05-11 2023-12-16 荷蘭商Asm Ip私人控股有限公司 用於基板上形成摻雜金屬碳化物薄膜之方法及相關半導體元件結構
KR102596988B1 (ko) 2018-05-28 2023-10-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 그에 의해 제조된 장치
US11270899B2 (en) 2018-06-04 2022-03-08 Asm Ip Holding B.V. Wafer handling chamber with moisture reduction
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
US11286562B2 (en) 2018-06-08 2022-03-29 Asm Ip Holding B.V. Gas-phase chemical reactor and method of using same
US10797133B2 (en) 2018-06-21 2020-10-06 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
KR102568797B1 (ko) 2018-06-21 2023-08-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 시스템
JP2021529254A (ja) 2018-06-27 2021-10-28 エーエスエム・アイピー・ホールディング・ベー・フェー 金属含有材料ならびに金属含有材料を含む膜および構造体を形成するための周期的堆積方法
US11499222B2 (en) 2018-06-27 2022-11-15 Asm Ip Holding B.V. Cyclic deposition methods for forming metal-containing material and films and structures including the metal-containing material
KR20200002519A (ko) 2018-06-29 2020-01-08 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10612136B2 (en) 2018-06-29 2020-04-07 ASM IP Holding, B.V. Temperature-controlled flange and reactor system including same
US10388513B1 (en) 2018-07-03 2019-08-20 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10755922B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10767789B2 (en) 2018-07-16 2020-09-08 Asm Ip Holding B.V. Diaphragm valves, valve components, and methods for forming valve components
US10483099B1 (en) 2018-07-26 2019-11-19 Asm Ip Holding B.V. Method for forming thermally stable organosilicon polymer film
US11053591B2 (en) 2018-08-06 2021-07-06 Asm Ip Holding B.V. Multi-port gas injection system and reactor system including same
US10883175B2 (en) 2018-08-09 2021-01-05 Asm Ip Holding B.V. Vertical furnace for processing substrates and a liner for use therein
US10829852B2 (en) 2018-08-16 2020-11-10 Asm Ip Holding B.V. Gas distribution device for a wafer processing apparatus
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
KR20200030162A (ko) 2018-09-11 2020-03-20 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
US11024523B2 (en) 2018-09-11 2021-06-01 Asm Ip Holding B.V. Substrate processing apparatus and method
US11049751B2 (en) 2018-09-14 2021-06-29 Asm Ip Holding B.V. Cassette supply system to store and handle cassettes and processing apparatus equipped therewith
CN110970344A (zh) 2018-10-01 2020-04-07 Asm Ip控股有限公司 衬底保持设备、包含所述设备的系统及其使用方法
US11232963B2 (en) 2018-10-03 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102592699B1 (ko) 2018-10-08 2023-10-23 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 박막 증착 장치와 기판 처리 장치
US10847365B2 (en) 2018-10-11 2020-11-24 Asm Ip Holding B.V. Method of forming conformal silicon carbide film by cyclic CVD
US10811256B2 (en) 2018-10-16 2020-10-20 Asm Ip Holding B.V. Method for etching a carbon-containing feature
KR102546322B1 (ko) 2018-10-19 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
KR102605121B1 (ko) 2018-10-19 2023-11-23 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
USD948463S1 (en) 2018-10-24 2022-04-12 Asm Ip Holding B.V. Susceptor for semiconductor substrate supporting apparatus
US10381219B1 (en) 2018-10-25 2019-08-13 Asm Ip Holding B.V. Methods for forming a silicon nitride film
US11087997B2 (en) 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
KR20200051105A (ko) 2018-11-02 2020-05-13 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 기판 처리 장치
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US11031242B2 (en) 2018-11-07 2021-06-08 Asm Ip Holding B.V. Methods for depositing a boron doped silicon germanium film
US10847366B2 (en) 2018-11-16 2020-11-24 Asm Ip Holding B.V. Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process
US10818758B2 (en) 2018-11-16 2020-10-27 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US10559458B1 (en) 2018-11-26 2020-02-11 Asm Ip Holding B.V. Method of forming oxynitride film
US11217444B2 (en) 2018-11-30 2022-01-04 Asm Ip Holding B.V. Method for forming an ultraviolet radiation responsive metal oxide-containing film
KR102636428B1 (ko) 2018-12-04 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치를 세정하는 방법
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
JP2020096183A (ja) 2018-12-14 2020-06-18 エーエスエム・アイピー・ホールディング・ベー・フェー 窒化ガリウムの選択的堆積を用いてデバイス構造体を形成する方法及びそのためのシステム
TWI819180B (zh) 2019-01-17 2023-10-21 荷蘭商Asm 智慧財產控股公司 藉由循環沈積製程於基板上形成含過渡金屬膜之方法
KR20200091543A (ko) 2019-01-22 2020-07-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
CN111524788B (zh) 2019-02-01 2023-11-24 Asm Ip私人控股有限公司 氧化硅的拓扑选择性膜形成的方法
TW202104632A (zh) 2019-02-20 2021-02-01 荷蘭商Asm Ip私人控股有限公司 用來填充形成於基材表面內之凹部的循環沉積方法及設備
US11482533B2 (en) 2019-02-20 2022-10-25 Asm Ip Holding B.V. Apparatus and methods for plug fill deposition in 3-D NAND applications
KR102626263B1 (ko) 2019-02-20 2024-01-16 에이에스엠 아이피 홀딩 비.브이. 처리 단계를 포함하는 주기적 증착 방법 및 이를 위한 장치
JP2020136678A (ja) 2019-02-20 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材表面内に形成された凹部を充填するための方法および装置
TW202100794A (zh) 2019-02-22 2021-01-01 荷蘭商Asm Ip私人控股有限公司 基材處理設備及處理基材之方法
US11742198B2 (en) 2019-03-08 2023-08-29 Asm Ip Holding B.V. Structure including SiOCN layer and method of forming same
KR20200108243A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOC 층을 포함한 구조체 및 이의 형성 방법
KR20200108242A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. 실리콘 질화물 층을 선택적으로 증착하는 방법, 및 선택적으로 증착된 실리콘 질화물 층을 포함하는 구조체
JP2020167398A (ja) 2019-03-28 2020-10-08 エーエスエム・アイピー・ホールディング・ベー・フェー ドアオープナーおよびドアオープナーが提供される基材処理装置
KR20200116855A (ko) 2019-04-01 2020-10-13 에이에스엠 아이피 홀딩 비.브이. 반도체 소자를 제조하는 방법
US11447864B2 (en) 2019-04-19 2022-09-20 Asm Ip Holding B.V. Layer forming method and apparatus
KR20200125453A (ko) 2019-04-24 2020-11-04 에이에스엠 아이피 홀딩 비.브이. 기상 반응기 시스템 및 이를 사용하는 방법
KR20200130121A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 딥 튜브가 있는 화학물질 공급원 용기
KR20200130118A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 비정질 탄소 중합체 막을 개질하는 방법
KR20200130652A (ko) 2019-05-10 2020-11-19 에이에스엠 아이피 홀딩 비.브이. 표면 상에 재료를 증착하는 방법 및 본 방법에 따라 형성된 구조
JP2020188255A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
USD935572S1 (en) 2019-05-24 2021-11-09 Asm Ip Holding B.V. Gas channel plate
USD922229S1 (en) 2019-06-05 2021-06-15 Asm Ip Holding B.V. Device for controlling a temperature of a gas supply unit
KR20200141002A (ko) 2019-06-06 2020-12-17 에이에스엠 아이피 홀딩 비.브이. 배기 가스 분석을 포함한 기상 반응기 시스템을 사용하는 방법
KR20200143254A (ko) 2019-06-11 2020-12-23 에이에스엠 아이피 홀딩 비.브이. 개질 가스를 사용하여 전자 구조를 형성하는 방법, 상기 방법을 수행하기 위한 시스템, 및 상기 방법을 사용하여 형성되는 구조
USD944946S1 (en) 2019-06-14 2022-03-01 Asm Ip Holding B.V. Shower plate
USD931978S1 (en) 2019-06-27 2021-09-28 Asm Ip Holding B.V. Showerhead vacuum transport
KR20210005515A (ko) 2019-07-03 2021-01-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치용 온도 제어 조립체 및 이를 사용하는 방법
JP2021015791A (ja) 2019-07-09 2021-02-12 エーエスエム アイピー ホールディング ビー.ブイ. 同軸導波管を用いたプラズマ装置、基板処理方法
CN112216646A (zh) 2019-07-10 2021-01-12 Asm Ip私人控股有限公司 基板支撑组件及包括其的基板处理装置
KR20210010307A (ko) 2019-07-16 2021-01-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210010820A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 실리콘 게르마늄 구조를 형성하는 방법
KR20210010816A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 라디칼 보조 점화 플라즈마 시스템 및 방법
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
JP2021019198A (ja) 2019-07-19 2021-02-15 エーエスエム・アイピー・ホールディング・ベー・フェー トポロジー制御されたアモルファスカーボンポリマー膜の形成方法
CN112309843A (zh) 2019-07-29 2021-02-02 Asm Ip私人控股有限公司 实现高掺杂剂掺入的选择性沉积方法
CN112309900A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
CN112309899A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
KR20210018759A (ko) 2019-08-05 2021-02-18 에이에스엠 아이피 홀딩 비.브이. 화학물질 공급원 용기를 위한 액체 레벨 센서
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
JP2021031769A (ja) 2019-08-21 2021-03-01 エーエスエム アイピー ホールディング ビー.ブイ. 成膜原料混合ガス生成装置及び成膜装置
USD949319S1 (en) 2019-08-22 2022-04-19 Asm Ip Holding B.V. Exhaust duct
USD940837S1 (en) 2019-08-22 2022-01-11 Asm Ip Holding B.V. Electrode
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
KR20210024423A (ko) 2019-08-22 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 홀을 구비한 구조체를 형성하기 위한 방법
USD930782S1 (en) 2019-08-22 2021-09-14 Asm Ip Holding B.V. Gas distributor
KR20210024420A (ko) 2019-08-23 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 비스(디에틸아미노)실란을 사용하여 peald에 의해 개선된 품질을 갖는 실리콘 산화물 막을 증착하기 위한 방법
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
KR20210029090A (ko) 2019-09-04 2021-03-15 에이에스엠 아이피 홀딩 비.브이. 희생 캡핑 층을 이용한 선택적 증착 방법
KR20210029663A (ko) 2019-09-05 2021-03-16 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
CN112593212B (zh) 2019-10-02 2023-12-22 Asm Ip私人控股有限公司 通过循环等离子体增强沉积工艺形成拓扑选择性氧化硅膜的方法
TW202129060A (zh) 2019-10-08 2021-08-01 荷蘭商Asm Ip控股公司 基板處理裝置、及基板處理方法
TW202115273A (zh) 2019-10-10 2021-04-16 荷蘭商Asm Ip私人控股有限公司 形成光阻底層之方法及包括光阻底層之結構
KR20210045930A (ko) 2019-10-16 2021-04-27 에이에스엠 아이피 홀딩 비.브이. 실리콘 산화물의 토폴로지-선택적 막의 형성 방법
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
KR20210047808A (ko) 2019-10-21 2021-04-30 에이에스엠 아이피 홀딩 비.브이. 막을 선택적으로 에칭하기 위한 장치 및 방법
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
KR20210054983A (ko) 2019-11-05 2021-05-14 에이에스엠 아이피 홀딩 비.브이. 도핑된 반도체 층을 갖는 구조체 및 이를 형성하기 위한 방법 및 시스템
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
KR20210062561A (ko) 2019-11-20 2021-05-31 에이에스엠 아이피 홀딩 비.브이. 기판의 표면 상에 탄소 함유 물질을 증착하는 방법, 상기 방법을 사용하여 형성된 구조물, 및 상기 구조물을 형성하기 위한 시스템
KR20210065848A (ko) 2019-11-26 2021-06-04 에이에스엠 아이피 홀딩 비.브이. 제1 유전체 표면과 제2 금속성 표면을 포함한 기판 상에 타겟 막을 선택적으로 형성하기 위한 방법
CN112951697A (zh) 2019-11-26 2021-06-11 Asm Ip私人控股有限公司 基板处理设备
CN112885693A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
CN112885692A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
JP2021090042A (ja) 2019-12-02 2021-06-10 エーエスエム アイピー ホールディング ビー.ブイ. 基板処理装置、基板処理方法
KR20210070898A (ko) 2019-12-04 2021-06-15 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
TW202125596A (zh) 2019-12-17 2021-07-01 荷蘭商Asm Ip私人控股有限公司 形成氮化釩層之方法以及包括該氮化釩層之結構
US11527403B2 (en) 2019-12-19 2022-12-13 Asm Ip Holding B.V. Methods for filling a gap feature on a substrate surface and related semiconductor structures
KR20210095050A (ko) 2020-01-20 2021-07-30 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법 및 박막 표면 개질 방법
TW202130846A (zh) 2020-02-03 2021-08-16 荷蘭商Asm Ip私人控股有限公司 形成包括釩或銦層的結構之方法
KR20210100010A (ko) 2020-02-04 2021-08-13 에이에스엠 아이피 홀딩 비.브이. 대형 물품의 투과율 측정을 위한 방법 및 장치
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
US11781243B2 (en) 2020-02-17 2023-10-10 Asm Ip Holding B.V. Method for depositing low temperature phosphorous-doped silicon
KR20210116240A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 조절성 접합부를 갖는 기판 핸들링 장치
US11876356B2 (en) 2020-03-11 2024-01-16 Asm Ip Holding B.V. Lockout tagout assembly and system and method of using same
CN113394086A (zh) 2020-03-12 2021-09-14 Asm Ip私人控股有限公司 用于制造具有目标拓扑轮廓的层结构的方法
KR20210124042A (ko) 2020-04-02 2021-10-14 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법
TW202146689A (zh) 2020-04-03 2021-12-16 荷蘭商Asm Ip控股公司 阻障層形成方法及半導體裝置的製造方法
TW202145344A (zh) 2020-04-08 2021-12-01 荷蘭商Asm Ip私人控股有限公司 用於選擇性蝕刻氧化矽膜之設備及方法
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
TW202140831A (zh) 2020-04-24 2021-11-01 荷蘭商Asm Ip私人控股有限公司 形成含氮化釩層及包含該層的結構之方法
KR20210132600A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐, 질소 및 추가 원소를 포함한 층을 증착하기 위한 방법 및 시스템
KR20210132605A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 냉각 가스 공급부를 포함한 수직형 배치 퍼니스 어셈블리
KR20210134226A (ko) 2020-04-29 2021-11-09 에이에스엠 아이피 홀딩 비.브이. 고체 소스 전구체 용기
KR20210134869A (ko) 2020-05-01 2021-11-11 에이에스엠 아이피 홀딩 비.브이. Foup 핸들러를 이용한 foup의 빠른 교환
KR20210141379A (ko) 2020-05-13 2021-11-23 에이에스엠 아이피 홀딩 비.브이. 반응기 시스템용 레이저 정렬 고정구
KR20210143653A (ko) 2020-05-19 2021-11-29 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210145078A (ko) 2020-05-21 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 다수의 탄소 층을 포함한 구조체 및 이를 형성하고 사용하는 방법
TW202201602A (zh) 2020-05-29 2022-01-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202218133A (zh) 2020-06-24 2022-05-01 荷蘭商Asm Ip私人控股有限公司 形成含矽層之方法
TW202217953A (zh) 2020-06-30 2022-05-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
KR20220010438A (ko) 2020-07-17 2022-01-25 에이에스엠 아이피 홀딩 비.브이. 포토리소그래피에 사용하기 위한 구조체 및 방법
TW202204662A (zh) 2020-07-20 2022-02-01 荷蘭商Asm Ip私人控股有限公司 用於沉積鉬層之方法及系統
KR20220027026A (ko) 2020-08-26 2022-03-07 에이에스엠 아이피 홀딩 비.브이. 금속 실리콘 산화물 및 금속 실리콘 산질화물 층을 형성하기 위한 방법 및 시스템
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
TW202229613A (zh) 2020-10-14 2022-08-01 荷蘭商Asm Ip私人控股有限公司 於階梯式結構上沉積材料的方法
KR20220053482A (ko) 2020-10-22 2022-04-29 에이에스엠 아이피 홀딩 비.브이. 바나듐 금속을 증착하는 방법, 구조체, 소자 및 증착 어셈블리
TW202223136A (zh) 2020-10-28 2022-06-16 荷蘭商Asm Ip私人控股有限公司 用於在基板上形成層之方法、及半導體處理系統
KR20220076343A (ko) 2020-11-30 2022-06-08 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치의 반응 챔버 내에 배열되도록 구성된 인젝터
US11946137B2 (en) 2020-12-16 2024-04-02 Asm Ip Holding B.V. Runout and wobble measurement fixtures
TW202231903A (zh) 2020-12-22 2022-08-16 荷蘭商Asm Ip私人控股有限公司 過渡金屬沉積方法、過渡金屬層、用於沉積過渡金屬於基板上的沉積總成
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
USD1023959S1 (en) 2021-05-11 2024-04-23 Asm Ip Holding B.V. Electrode for substrate processing apparatus
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate

Citations (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH08227888A (ja) * 1995-02-21 1996-09-03 Sony Corp 誘電体膜の形成方法

Family Cites Families (17)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
GB1507996A (en) * 1975-06-11 1978-04-19 Pilkington Brothers Ltd Coating glass
GB8630918D0 (en) * 1986-12-24 1987-02-04 Pilkington Brothers Plc Coatings on glass
JPH0499313A (ja) * 1990-08-17 1992-03-31 Shikoku Sogo Kenkyusho:Kk アモルファスシリコン系薄膜及びその製造方法
US6593247B1 (en) * 1998-02-11 2003-07-15 Applied Materials, Inc. Method of depositing low k films using an oxidizing plasma
US6245690B1 (en) * 1998-11-04 2001-06-12 Applied Materials, Inc. Method of improving moisture resistance of low dielectric constant films
JP3132489B2 (ja) * 1998-11-05 2001-02-05 日本電気株式会社 化学的気相成長装置及び薄膜成膜方法
JP2001168092A (ja) 1999-01-08 2001-06-22 Toshiba Corp 半導体装置およびその製造方法
US6436824B1 (en) * 1999-07-02 2002-08-20 Chartered Semiconductor Manufacturing Ltd. Low dielectric constant materials for copper damascene
US6583069B1 (en) * 1999-12-13 2003-06-24 Chartered Semiconductor Manufacturing Co., Ltd. Method of silicon oxide and silicon glass films deposition
US6541367B1 (en) * 2000-01-18 2003-04-01 Applied Materials, Inc. Very low dielectric constant plasma-enhanced CVD films
EP1123991A3 (en) * 2000-02-08 2002-11-13 Asm Japan K.K. Low dielectric constant materials and processes
JP2002009069A (ja) * 2000-06-22 2002-01-11 Canon Sales Co Inc 成膜方法
US6580170B2 (en) * 2000-06-22 2003-06-17 Texas Instruments Incorporated Semiconductor device protective overcoat with enhanced adhesion to polymeric materials
JP3934343B2 (ja) 2000-07-12 2007-06-20 キヤノンマーケティングジャパン株式会社 半導体装置及びその製造方法
US6764958B1 (en) * 2000-07-28 2004-07-20 Applied Materials Inc. Method of depositing dielectric films
US6537733B2 (en) * 2001-02-23 2003-03-25 Applied Materials, Inc. Method of depositing low dielectric constant silicon carbide layers
US6656371B2 (en) * 2001-09-27 2003-12-02 Micron Technology, Inc. Methods of forming magnetoresisitive devices

Patent Citations (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH08227888A (ja) * 1995-02-21 1996-09-03 Sony Corp 誘電体膜の形成方法

Also Published As

Publication number Publication date
TWI262959B (en) 2006-10-01
TW200302294A (en) 2003-08-01
US7125812B2 (en) 2006-10-24
WO2003060978A1 (en) 2003-07-24
CN1692480A (zh) 2005-11-02
KR20040081424A (ko) 2004-09-21
EP1475828A1 (en) 2004-11-10
CN100373559C (zh) 2008-03-05
US20050095770A1 (en) 2005-05-05
EP1475828A4 (en) 2012-02-22

Similar Documents

Publication Publication Date Title
KR100903484B1 (ko) 실리콘 함유 절연막을 형성하는 cvd 방법 및 장치
KR102459905B1 (ko) 가스 공급 노즐, 기판 처리 장치, 반도체 장치의 제조 방법 및 프로그램
US7462376B2 (en) CVD method for forming silicon nitride film
KR101858102B1 (ko) 클리닝 방법, 반도체 장치의 제조 방법, 기판 처리 장치 및 프로그램
US9587308B2 (en) Cleaning method, method of manufacturing semiconductor device, substrate processing apparatus, and recording medium
KR101983437B1 (ko) 반도체 장치의 제조 방법, 기판 처리 장치 및 프로그램
JP6086942B2 (ja) 半導体装置の製造方法、基板処理装置およびプログラム
CN108122736B (zh) 半导体装置的制造方法、基板处理装置以及存储介质
JP2018046129A (ja) 半導体装置の製造方法、基板処理装置、およびプログラム
US9711348B2 (en) Method of manufacturing semiconductor device, substrate processing apparatus and non-transitory computer-readable recording medium
US8518488B2 (en) Method for using apparatus configured to form germanium-containing film
CN109671611B (zh) 半导体器件的制造方法、衬底处理装置及记录介质
WO2018088003A1 (ja) 半導体装置の製造方法、基板処理装置およびプログラム
JP2017183392A (ja) 基板処理装置、半導体装置の製造方法および記録媒体
JP2018163931A (ja) 基板処理装置、半導体装置の製造方法およびプログラム
WO2020188801A1 (ja) 半導体装置の製造方法、基板処理装置、およびプログラム
WO2020053960A1 (ja) 基板処理装置、半導体装置の製造方法およびプログラム
JP3915697B2 (ja) 成膜方法及び成膜装置
US20170294302A1 (en) Semiconductor device manufacturing method, substrate processing apparatus, and recording medium
JP6654232B2 (ja) 半導体装置の製造方法、基板処理装置およびプログラム
JP2023165711A (ja) 基板処理装置、プラズマ生成装置、半導体装置の製造方法およびプログラム
JP2019195106A (ja) 半導体装置の製造方法、基板処理装置、およびプログラム

Legal Events

Date Code Title Description
A201 Request for examination
E902 Notification of reason for refusal
AMND Amendment
E601 Decision to refuse application
AMND Amendment
J201 Request for trial against refusal decision
B701 Decision to grant
GRNT Written decision to grant
FPAY Annual fee payment

Payment date: 20130524

Year of fee payment: 5

FPAY Annual fee payment

Payment date: 20140530

Year of fee payment: 6

FPAY Annual fee payment

Payment date: 20150515

Year of fee payment: 7

FPAY Annual fee payment

Payment date: 20160517

Year of fee payment: 8

FPAY Annual fee payment

Payment date: 20170522

Year of fee payment: 9

FPAY Annual fee payment

Payment date: 20190530

Year of fee payment: 11