JP2021504947A - 銅存在下での誘電体表面上へのSiO2の選択的成長 - Google Patents

銅存在下での誘電体表面上へのSiO2の選択的成長 Download PDF

Info

Publication number
JP2021504947A
JP2021504947A JP2020528118A JP2020528118A JP2021504947A JP 2021504947 A JP2021504947 A JP 2021504947A JP 2020528118 A JP2020528118 A JP 2020528118A JP 2020528118 A JP2020528118 A JP 2020528118A JP 2021504947 A JP2021504947 A JP 2021504947A
Authority
JP
Japan
Prior art keywords
silicon
substrate
copper
plasma
gas
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Pending
Application number
JP2020528118A
Other languages
English (en)
Inventor
ハウスマン・デニス・エム.
フォックス・アレクサンダー・アール.
ロウラー・コリーン
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Lam Research Corp
Original Assignee
Lam Research Corp
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Lam Research Corp filed Critical Lam Research Corp
Publication of JP2021504947A publication Critical patent/JP2021504947A/ja
Pending legal-status Critical Current

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/02227Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a process other than a deposition process
    • H01L21/0223Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a process other than a deposition process formation by oxidation, e.g. oxidation of the substrate
    • H01L21/02233Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a process other than a deposition process formation by oxidation, e.g. oxidation of the substrate of the semiconductor substrate or a semiconductor layer
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/04Coating on selected surface areas, e.g. using masks
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/04Coating on selected surface areas, e.g. using masks
    • C23C16/042Coating on selected surface areas, e.g. using masks using masks
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/40Oxides
    • C23C16/401Oxides containing silicon
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45527Atomic layer deposition [ALD] characterized by the ALD cycle, e.g. different flows or temperatures during half-reactions, unusual pulsing sequence, use of precursor mixtures or auxiliary reactants or activations
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45527Atomic layer deposition [ALD] characterized by the ALD cycle, e.g. different flows or temperatures during half-reactions, unusual pulsing sequence, use of precursor mixtures or auxiliary reactants or activations
    • C23C16/45534Use of auxiliary reactants other than used for contributing to the composition of the main film, e.g. catalysts, activators or scavengers
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45527Atomic layer deposition [ALD] characterized by the ALD cycle, e.g. different flows or temperatures during half-reactions, unusual pulsing sequence, use of precursor mixtures or auxiliary reactants or activations
    • C23C16/45536Use of plasma, radiation or electromagnetic fields
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45527Atomic layer deposition [ALD] characterized by the ALD cycle, e.g. different flows or temperatures during half-reactions, unusual pulsing sequence, use of precursor mixtures or auxiliary reactants or activations
    • C23C16/45536Use of plasma, radiation or electromagnetic fields
    • C23C16/45542Plasma being used non-continuously during the ALD reactions
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45544Atomic layer deposition [ALD] characterized by the apparatus
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45553Atomic layer deposition [ALD] characterized by the use of precursors specially adapted for ALD
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/50Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/52Controlling or regulating the coating process
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/56After-treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/02126Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material containing Si, O, and at least one of H, N, C, F, or other non-metal elements, e.g. SiOC, SiOC:H or SiONC
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/02164Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material being a silicon oxide, e.g. SiO2
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02205Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition
    • H01L21/02208Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02205Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition
    • H01L21/02208Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si
    • H01L21/02211Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si the compound being a silane, e.g. disilane, methylsilane or chlorosilane
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02205Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition
    • H01L21/02208Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si
    • H01L21/02219Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si the compound comprising silicon and nitrogen
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/02274Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition in the presence of a plasma [PECVD]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/0228Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition deposition by cyclic CVD, e.g. ALD, ALE, pulsed CVD
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02296Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer
    • H01L21/02299Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer pre-treatment
    • H01L21/02304Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer pre-treatment formation of intermediate layers, e.g. buffer layers, layers to improve adhesion, lattice match or diffusion barriers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/283Deposition of conductive or insulating materials for electrodes conducting electric current
    • H01L21/285Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation
    • H01L21/28506Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers
    • H01L21/28512Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic Table
    • H01L21/28556Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic Table by chemical means, e.g. CVD, LPCVD, PECVD, laser CVD
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3205Deposition of non-insulating-, e.g. conductive- or resistive-, layers on insulating layers; After-treatment of these layers
    • H01L21/321After treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/324Thermal treatment for modifying the properties of semiconductor bodies, e.g. annealing, sintering
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76802Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics
    • H01L21/76807Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics for dual damascene structures
    • H01L21/76813Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics for dual damascene structures involving a partial via etch
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76829Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing characterised by the formation of thin functional dielectric layers, e.g. dielectric etch-stop, barrier, capping or liner layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76897Formation of self-aligned vias or contact plugs, i.e. involving a lithographically uncritical step
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/32Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers using masks
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67155Apparatus for manufacturing or treating in a plurality of work-stations
    • H01L21/67207Apparatus for manufacturing or treating in a plurality of work-stations comprising a chamber adapted to a particular process
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76877Filling of holes, grooves or trenches, e.g. vias, with conductive material
    • H01L21/76883Post-treatment or after-treatment of the conductive material

Landscapes

  • Engineering & Computer Science (AREA)
  • Chemical & Material Sciences (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • General Chemical & Material Sciences (AREA)
  • Materials Engineering (AREA)
  • Mechanical Engineering (AREA)
  • Metallurgy (AREA)
  • Organic Chemistry (AREA)
  • Plasma & Fusion (AREA)
  • Inorganic Chemistry (AREA)
  • Electromagnetism (AREA)
  • Chemical Vapour Deposition (AREA)
  • Internal Circuitry In Semiconductor Integrated Circuit Devices (AREA)
  • Formation Of Insulating Films (AREA)

Abstract

【課題】【解決手段】金属含有表面(銅など)に対して誘電体表面上に酸化シリコンを選択的に蒸着するための方法および装置が提供されている。方法は:銅表面へ選択的に吸着するように、銅阻害剤(アルキルチオールなど)に、誘電体表面および銅表面を有する基板を暴露させる工程と;酸化シリコンを蒸着するために、シリコン含有前駆体に基板を暴露させる工程と;吸着したシリコン含有前駆体を転換して酸化シリコンを形成するために、弱酸化剤ガスに基板を暴露させて、プラズマを点火する工程と;弱酸化剤ガスへの暴露から生じた任意の酸化銅を還元するために、還元剤に基板を暴露させる工程と、を備える。【選択図】図5

Description

関連出願への相互参照
本願は、2017年11月22日出願の米国特許出願第15/821,590号「SELECTIVE GROWTH OF SIO2 ON DIELECTRIC SURFACES IN THE PRESENCE OF COPPER」の利益を主張し、その出願は、参照によって本明細書にその全体が全ての目的で組み込まれる。
半導体デバイス製造は、マイクロプロセッサ、ロジック、および、メモリデバイスの製造を含む。かかるデバイスは、ダブルパターニングまたはクアッドパターニングなどの自己整合パターニング、ギャップ充填処理、および、その他の技術を含む、様々な技術を用いて製造されうる。一部の処理は、酸化シリコンおよび金属(銅など)を含む構造の形成を伴う。かかる構造を形成するための従来の技術には、制限が存在しうる。
本明細書では、半導体基板を処理するための方法および装置が提供されている。一態様は、基板上の銅に対して誘電体材料上に酸化シリコンを選択的に蒸着する方法を含み、その方法は:(a)誘電体材料および露出銅金属表面を備えた基板を提供する工程と;(b)酸化シリコンを蒸着する前に、露出銅金属表面上に選択的に吸着するように、銅阻害剤に基板を暴露させる工程と;(c)誘電体材料上にシリコン含有前駆体を吸着させるために、シリコン含有前駆体に基板を暴露させる工程と;(d)吸着したシリコン含有前駆体を酸化シリコンに転換するために、弱酸化剤を含む環境内で生成された酸化プラズマに基板を暴露させる工程と;(e)露出銅金属表面を還元するために、還元剤に基板を暴露させる工程と、を備える。
いくつかの実施形態において、銅阻害剤は、硫黄を含む。
いくつかの実施形態において、銅阻害剤は、アルキルチオールである。例えば、いくつかの実施形態において、銅阻害剤は、エタンチオールまたはブタンチオールのいずれかである。
様々な実施形態において、銅阻害剤は、化学式SH(CHCH(ここで、nは、2〜12の間の整数(2および12を含む))を有するアルキルチオールである。いくつかの実施形態において、銅阻害剤は、化学式SH(CHCH(ここで、nは、2〜6の間の整数(2および6を含む))を有するアルキルチオールである。いくつかの実施形態において、銅阻害剤は、化学式SH(CHCHを有する。いくつかの実施形態において、銅阻害剤は、化学式SH(CHCHを有する。いくつかの実施形態において、銅阻害剤は、化学式SH(CH12CHを有する。
いくつかの実施形態において、シリコン含有前駆体は、アミノシランである。例えば、シリコン含有前駆体は、N−(ジエチルアミノシリル)−N−エチルエタンアミン、ビス(ジエチルアミノ)シラン(BDEAS)、ジイソプロピルアミノシラン(DiPAS)、ジイソブチルアミノシラン(DiBAS)、ビス(tert−ブチルアミノ)シラン(BTBAS)、および、トリス(ジメチルアミノ)シラン(TDMAS)、の内のいずれか1つであってよい。
いくつかの実施形態において、弱酸化剤は、二酸化炭素、亜酸化窒素、オゾン、それらのプラズマ、および、水、の内のいずれか1つである。いくつかの実施形態において、還元剤は、水素ガス、水素プラズマ、ヒドラジンガス、ヒドラジンプラズマ、アンモニアガス、アンモニアプラズマ、アルコール、および、アルデヒド、の内のいずれか1つである。いくつかの実施形態において、誘電体材料は、二酸化シリコン、酸化アルミニウム、オキシ炭化シリコン、炭窒化シリコン、および、オキシ炭窒化シリコン、の内のいずれか1つである。
様々な実施形態において、酸化シリコンは、約70°C〜約200℃の間の温度で蒸着される。
方法は、さらに、銅阻害剤に基板を暴露させる工程の前に、露出銅金属表面を還元するために、第2還元剤を導入する工程を備えてもよい。第2還元剤は、水素ガス、水素プラズマ、ヒドラジンガス、ヒドラジンプラズマ、アンモニアガス、アンモニアプラズマ、アルコール、および、アルデヒド、の内のいずれか1つであってよい。
方法は、さらに、工程(b)〜(e)を2サイクル以上繰り返す工程を備えてもよい。いくつかの実施形態において、工程(c)は、自己制限的である。様々な実施形態において、銅阻害剤は、露出銅金属表面へ選択的に吸着して、露出銅金属表面上への酸化シリコンの後続の蒸着を阻害する。
別の態様は、基板上の金属含有表面に対して誘電体材料上に酸化シリコンを選択的に蒸着する方法を含み、その方法は:(a)誘電体材料および露出金属含有表面を備えた基板を提供する工程と;(b)酸化シリコンを蒸着する前に、露出金属含有表面上に選択的に吸着するように、金属阻害剤に基板を暴露させる工程と;(c)誘電体材料上にシリコン含有前駆体を吸着させるために、シリコン含有前駆体に基板を暴露させる工程と;(d)吸着したシリコン含有前駆体を酸化シリコンに転換するために、弱酸化剤を含む環境内で生成された酸化プラズマに基板を暴露させる工程と;(e)露出金属含有表面を還元するために、還元剤に基板を暴露させる工程と、を備える。
様々な実施形態において、露出金属含有表面は、銅を含む。いくつかの実施形態において、露出金属含有表面は、ルテニウムを含む。
いくつかの実施形態において、露出金属含有表面は、銅金属、酸化銅、ルテニウム金属、および、酸化ルテニウム、の内のいずれか1つを含む。
いくつかの実施形態において、金属阻害剤は、アルキルチオールである。例えば、いくつかの実施形態において、金属阻害剤は、エタンチオールまたはブタンチオールのいずれかである。
様々な実施形態において、金属阻害剤は、化学式SH(CHCH(ここで、nは、2〜12の間の整数(2および12を含む))を有するアルキルチオールである。
いくつかの実施形態において、シリコン含有前駆体は、アミノシランである。例えば、シリコン含有前駆体は、N−(ジエチルアミノシリル)−N−エチルエタンアミン、ビス(ジエチルアミノ)シラン(BDEAS)、ジイソプロピルアミノシラン(DiPAS)、ジイソブチルアミノシラン(DiBAS)、ビス(tert−ブチルアミノ)シラン(BTBAS)、および、トリス(ジメチルアミノ)シラン(TDMAS)、の内のいずれか1つであってよい。
いくつかの実施形態において、弱酸化剤は、二酸化炭素、亜酸化窒素、オゾン、それらのプラズマ、および、水、の内のいずれか1つである。いくつかの実施形態において、還元剤は、水素ガス、水素プラズマ、ヒドラジンガス、ヒドラジンプラズマ、アンモニアガス、アンモニアプラズマ、アルコール、および、アルデヒド、の内のいずれか1つである。いくつかの実施形態において、誘電体材料は、二酸化シリコン、酸化アルミニウム、オキシ炭化シリコン、炭窒化シリコン、および、オキシ炭窒化シリコン、の内のいずれか1つである。
様々な実施形態において、酸化シリコンは、約70°C〜約200℃の間の温度で蒸着される。
方法は、さらに、金属阻害剤に基板を暴露させる工程の前に、露出金属含有表面を還元するために、第2還元剤を導入する工程を備えてもよい。第2還元剤は、水素ガス、水素プラズマ、ヒドラジンガス、ヒドラジンプラズマ、アンモニアガス、アンモニアプラズマ、アルコール、および、アルデヒド、の内のいずれか1つであってよい。
方法は、さらに、工程(b)〜(e)を2サイクル以上繰り返す工程を備えてもよい。いくつかの実施形態において、工程(c)は、自己制限的である。様々な実施形態において、金属阻害剤は、露出金属含有表面へ選択的に吸着して、露出金属含有表面上への酸化シリコンの後続の蒸着を阻害する。
別の態様は、半導体基板を処理するための装置を含み、その装置は:半導体基板を保持するためのペデスタルを備えた少なくとも1つの処理チャンバと;真空に接続するための少なくとも1つの流出口と;プラズマ発生器と;1以上のガス源に接続された1以上の処理ガス流入口と;装置内の動作を制御するためのコントローラと、を備え、コントローラは:酸化シリコンの蒸着を実行させる前に、露出銅金属表面上に選択的に吸着するように、銅阻害剤への半導体基板の暴露を実行させるためのマシン読み取り可能な命令と;半導体基板上の誘電体材料上にシリコン含有前駆体を吸着させるために、シリコン含有前駆体への基板の暴露を実行させるためのマシン読み取り可能な命令と;吸着したシリコン含有前駆体を転換して酸化シリコンを蒸着させるために、弱酸化剤を含む環境内で生成された酸化プラズマへの基板の暴露を実行させるためのマシン読み取り可能な命令と;露出銅金属表面を還元するために、還元剤への基板の暴露を実行させるためのマシン読み取り可能な命令程と、を備える。
別の態様は、半導体基板を処理するための装置を含み、その装置は:半導体基板を保持するためのペデスタルを備えた少なくとも1つの処理チャンバと;真空に接続するための少なくとも1つの流出口と;プラズマ発生器と;1以上のガス源に接続された1以上の処理ガス流入口と;装置内の動作を制御するためのコントローラと、を備え、コントローラは:酸化シリコンの蒸着を実行させる前に、露出金属含有表面上に選択的に吸着するように、金属阻害剤に半導体基板を暴露させるためのマシン読み取り可能な命令と;誘電体材料上にシリコン含有前駆体を吸着させるために、シリコン含有前駆体への基板の暴露を実行させるためのマシン読み取り可能な命令と;吸着したシリコン含有前駆体を転換して酸化シリコンを蒸着させるために、弱酸化剤を含む環境内で生成された酸化プラズマへの基板の暴露を実行させるためのマシン読み取り可能な命令と;露出金属含有表面を還元するために、還元剤への基板の暴露を実行させるためのマシン読み取り可能な命令程と、を備える。
これらの態様および他の態様について、図面を参照しつつ以下でさらに説明する。
ビア内に金属を蒸着するための処理を受ける基板を示す概略図。 ビア内に金属を蒸着するための処理を受ける基板を示す概略図。 ビア内に金属を蒸着するための処理を受ける基板を示す概略図。 ビア内に金属を蒸着するための処理を受ける基板を示す概略図。 ビア内に金属を蒸着するための処理を受ける基板を示す概略図。
誘電体上への誘電体の選択的蒸着を用いて、完全に整列したビアを形成するための処理を受ける基板を示す概略図。 誘電体上への誘電体の選択的蒸着を用いて、完全に整列したビアを形成するための処理を受ける基板を示す概略図。 誘電体上への誘電体の選択的蒸着を用いて、完全に整列したビアを形成するための処理を受ける基板を示す概略図。 誘電体上への誘電体の選択的蒸着を用いて、完全に整列したビアを形成するための処理を受ける基板を示す概略図。 誘電体上への誘電体の選択的蒸着を用いて、完全に整列したビアを形成するための処理を受ける基板を示す概略図。 誘電体上への誘電体の選択的蒸着を用いて、完全に整列したビアを形成するための処理を受ける基板を示す概略図。
特定の開示されている実施形態に従った方法を実行するための動作を示す処理フローチャート。
特定の開示されている実施形態に従って誘電体材料を選択的に蒸着するためのメカニズムの例を示す概略図。 特定の開示されている実施形態に従って誘電体材料を選択的に蒸着するためのメカニズムの例を示す概略図。 特定の開示されている実施形態に従って誘電体材料を選択的に蒸着するためのメカニズムの例を示す概略図。 特定の開示されている実施形態に従って誘電体材料を選択的に蒸着するためのメカニズムの例を示す概略図。 特定の開示されている実施形態に従って誘電体材料を選択的に蒸着するためのメカニズムの例を示す概略図。
特定の開示された実施形態に従って、方法内のサイクルの例を示すタイミングシーケンス図。
開示されている実施形態を実行するための処理チャンバの例を示す概略図。
開示されている実施形態を実行するための処理ツールの例を示す概略図。
酸化シリコン表面および銅表面上に蒸着された膜の厚さの実験結果を示す図。 酸化シリコン表面および銅表面上に蒸着された膜の厚さの実験結果を示す図。 酸化シリコン表面および銅表面上に蒸着された膜の厚さの実験結果を示す図。 酸化シリコン表面および銅表面上に蒸着された膜の厚さの実験結果を示す図。 酸化シリコン表面および銅表面上に蒸着された膜の厚さの実験結果を示す図。 酸化シリコン表面および銅表面上に蒸着された膜の厚さの実験結果を示す図。
以下の説明では、提示した実施形態の完全な理解を促すために、数多くの具体的な詳細事項が示されている。開示された実施形態は、これらの具体的な詳細事項の一部またはすべてがなくとも実施可能である。また、開示した実施形態が不必要に不明瞭となることを避けるため、周知の処理動作の詳細な説明は省略した。開示した実施形態は、具体的な実施形態に関連して説明されているが、開示した実施形態を限定する意図はないことを理解されたい。
半導体製造処理は、しばしば、特定のタイプの半導体デバイスを形成するためのパターニングスキームにおいて様々な材料の蒸着およびエッチングを伴う。例えば、パターニングスキームは、スタティックランダムアクセスメモリ(SRAM)セルを製造するために利用されうる。しかしながら、デバイスが縮小するにつれ、特に、金属相互接続の上下の金属トレンチの間の金属相互接続の加工については、処理の許容誤差がますます小さくなる。さらに、マルチプルパターニングおよび/または極紫外線リソグラフィ技術が、低クリティカルディメンションを有する小さいデバイスを加工するために利用されうるが、かかる技術では、10nm技術ノードから5〜7nm技術ノードに至る高密度回路を製造することがまだできない。したがって、結果として、従来技術においては、1つの層が前の層とずれた場合に、数ナノメートルのアライメントのずれが問題になる。
一例が、図1A〜図1Eに提供されている。これらの図は、相互接続上にビアを形成するための従来の処理を図示しており、その処理の結果として、「アンランデッドビア」と呼ばれるものが生じることで、ビア内に金属を蒸着する前のマスクの形成のずれにより、ビア内に蒸着された材料が、前の相互接続層と整列していない。
図1Aは、金属線103aおよび103bを備えた基板101と、金属線103aおよび103bを備えた基板101の上に蒸着されたエッチング停止層105aと、を示す。エッチング停止層105aは、窒化シリコン層であってよい。図1Bにおいて、図1Aのエッチング停止層105aは、パターニングされたエッチング停止層105bを形成するためにパターニングされる。このエッチング処理は、金属線103aの表面を露出させるが、金属線103bをマスクし続ける。図1Cにおいて、誘電体材料107a(酸化シリコンなど)が、金属線103aおよびパターニングされたエッチング停止層105bを備えた基板101の上にエッチングされる。図1Dにおいて、図1Cの誘電体材料107aは、ビア109を含むエッチング済みの誘電体層107bを形成するために、従来技術を用いてエッチングされる。ビア109を形成するために、従来技術は、基板上にマスクを形成し、リソグラフィ技術などのエッチング技術を用いてマスクをパターニングし、マスクのパターンに合わせて誘電体材料をエッチングすることを含む。しかしながら、ウエハの移送およびアライメント処理ならびにエッチング処理は、必ずしも、金属線103a上に正確にマスクを整列できるわけではないので、ビア109は、図1Dに示すように、金属線103aとずれる場合がある。より大きいクリティカルディメンションのフィーチャにおいて、そして、より大きいデバイスの製造については、このずれは、問題にならない可能性があるが、2つの金属線103aおよび103bの間の距離がナノメートルのスケールである場合には、ビア109のずれにより、ビア109が、隣接する金属線103bに近づきすぎる場合があり、これは、短絡またはその他のデバイスの問題を引き起こしうる。さらに、従来の処理を用いてビア109内の垂直プロファイルを実現することは困難である。
図1Eにおいて、ビア109は、金属線103aに接続するように、金属を充填される。しかしながら、ビア109のずれの結果として、ビア109内への金属の蒸着が、基板材料101内に至ることで、「牙(fang)」または「トラの歯(tiger tooth)」欠陥111と呼ばれるものの形成を引き起こす場合がある。 牙111でのオーバーレイ堆積物は、デバイスの問題を引き起こしうる。例えば、かかるずれは、ビアと金属との短絡を引き起こし、これは、高い抵抗および短い経時的絶縁膜破壊(TDDB)につながる。
完全に整列されたビアを形成することが望ましい。一例が、図2A〜図2Fに提供されている。図2Aにおいて、誘電体基板201が、銅ビア203aおよび203bを備える。図2Bにおいて、誘電体材料213が、銅ビア203aおよび203bの露出した銅表面よりも選択的に誘電体201表面上へ蒸着される。かかる誘電体材料213は、超low−k(ULK)誘電体に対するエッチングコントラストを有しうる。図2Cにおいて、ブランケットULK誘電体材料211が、誘電体材料213と、銅ビア203aおよび203bと、を含む基板の上に蒸着される。図2Dにおいて、ブランケットULK誘電体材料211は、ビア215を形成するためにエッチングされる。図2Eにおいて、ブランケットULK誘電体材料は、エッチングされたULK誘電体材料231を形成するために、さらにエッチングされる。選択的に蒸着された誘電体材料213は、ULK誘電体材料231に対するエッチング選択性を有するので、ビア235は、完全に自己整合する。図2Fにおいて、銅が、デュアルダマシン構造を形成するように、ビアに充填される。
例えば、1つの可能なパターニングスキームは、ビア内の金属を露出させるためにCMPによって平坦化し、その後、ビア内の金属に対して誘電体材料上に誘電体材料の選択的蒸着を行い(ここで、誘電体は、超low−k(ULK)誘電体に対するエッチングコントラストを有する)、その後、基板上にブランケットULK誘電体材料を形成し、その後、ビアエッチングを行い、ライントレンチエッチング行うことでエッチングコントラストが自己整合を提供し、その後、デュアルダマシン金属充填を行うことで得られるデュアルダマシン構造を含みうる。
しかしながら、銅材料よりも誘電体材料上に酸化シリコンを選択的に蒸着するための従来の技術には、制限がある。例えば、酸化シリコンが、熱反応によって塩素含有前駆体を用いて蒸着されうる間に、塩素が、銅表面をエッチングしうる。さらに、プラズマベースの反応が、酸素(O)ガスを用いて生成されたプラズマを用いて実行される場合、酸素の強酸化プラズマが、露出した銅表面を酸化する。したがって、完全に整列されたビアの加工を達成するために、銅に対する誘電体材料上への誘電体の選択的蒸着技術が求められている。反応物質として水を用いて、金属酸化物(酸化アルミニウム、酸化ハフニウム、および、酸化ジルコニウムなど)を蒸着するための技術が存在するが、これらの技術は、高誘電率を有する誘電体に対して選択的でありうる。しかしながら、かかる技術は、酸化シリコンの選択的蒸着には適していない。
銅、酸化銅、ルテニウム、および/または、酸化ルテニウムに対して誘電体材料上に誘電体材料を選択的に蒸着する方法が、本明細書で提供されている。例えば、開示されている実施形態は、酸化シリコン(SiO)、酸化アルミニウム(Al)、オキシ炭化シリコン、炭窒化シリコン、および、オキシ炭窒化シリコン上に酸化シリコン(例えば、SiO)を蒸着することを含んでよい。オキシ炭化シリコンの非限定的な例は、化学式SiOを有するオキシ炭化シリコンであり、ここで、2x+4y=4である(xおよびyは、整数である必要はない)。炭窒化シリコンの非限定的な例は、化学式SiCを有する炭窒化シリコンであり、ここで、4a+3b=4である(xおよびyは、整数である必要はない)。オキシ炭窒化シリコンの非限定的な例は、化学式SiOを有するオキシ炭窒化シリコンであり、ここで、2i+4j+3k=4である。
特定の開示された実施形態は、ヒドロキシル末端酸化シリコンと、還元された銅との間の反応性の差を利用して、還元された銅表面に優先的に吸着し、銅表面上へのその後の蒸着を阻害する銅阻害剤(チオールなど)への暴露を用いた選択的蒸着を可能にすることを含む。特定の開示された実施形態は、図2A〜図2Fに関して上述したようなパターニングスキームで完全に整列したビアを形成するために、銅表面に対して誘電体上へ選択的に蒸着するのに特に適しうる。
本明細書に記載の技術は、熱原子層蒸着(ALD)および/またはプラズマ強化原子層蒸着(PEALD)を伴う。すなわち、様々な実施形態において、酸化シリコンを形成するために、シリコン含有前駆体と酸化剤との間の反応が実行される。
ALDは、連続的な自己制限反応を用いて材料の薄層を蒸着する技術である。通例、ALDサイクルは、少なくとも1つの反応物質を基板表面に送って吸着させた後に、吸着した反応物質を1または複数の反応物質と反応させて、部分的な膜層を形成する動作を含む。一例として、酸化シリコン蒸着サイクルは、以下の動作を含んでよい:(i)シリコン含有前駆体の供給/吸着、(ii)チャンバからのシリコン前駆体のパージ、(iii)酸素含有反応物質または酸素含有ガスの供給、ならびに、(iv)チャンバからの酸素含有反応物質のパージ。
化学蒸着(CVD)技術とは異なり、ALD処理は、表面介在蒸着反応を用いて、層ごとに膜を蒸着させる。ALD処理の一例では、一群の表面活性部位を含む基板表面が、基板を収容するチャンバに提供される1供給内の第1前駆体(シリコン含有前駆体など)の気相分布に暴露される。この第1前駆体の分子は、第1前駆体の化学吸着種および/または物理吸着分子を含め、基板表面上に吸着される。本明細書に記載のように化合物が基板表面上に吸着された時、吸着された層は、化合物と化合物の誘導体とを含みうることを理解されたい。例えば、シリコン含有前駆体の吸着層は、シリコン含有前駆体とシリコン含有前駆体の誘導体とを含みうる。第1前駆体の投入後、チャンバは、主に吸着種が残るかまたは吸着種のみが残るように、気相のままの第1前駆体のほとんどまたはすべてを除去するために排気される。いくつかの実施例において、チャンバは、完全には排気されなくてもよい。例えば、チャンバは、気相の第1前駆体の分圧が反応を和らげるのに十分低くなるように排気されてよい。酸素含有反応物質などの第2反応物質が、これらの分子の一部が表面上に吸着された第1前駆体と反応するように、チャンバに導入される。いくつかの処理において、第2反応物質は、吸着された第1前駆体と速やかに反応する。次いで、チャンバは、未結合の第2反応物質分子を除去するために再び排気されてよい。上述のように、いくつかの実施形態において、チャンバは、完全には排気されなくてもよい。さらなるALDサイクルが、膜厚を厚くするために用いられてもよい。
特定の実施形態において、ALD第1前駆体ドーズが、基板表面を部分的に飽和させる。いくつかの実施形態において、ALDサイクルのドーズ段階は、表面を均一に飽和させるために、前駆体が基板と接触する前に終了する。通例、前駆体の流れは、この時点で、オフにされるかまたは迂回され、パージガスだけが流れる。この準飽和レジームで動作することにより、ALD処理は、サイクルタイムを短くし、スループットを高める。しかしながら、前駆体吸着は飽和制限されないので、吸着前駆体濃度は、基板表面にわたってわずかに異なりうる。準飽和レジームで動作するALD処理の例は、2013年10月23日出願の米国特許出願第14/061,587号(現在の米国特許第9,355,839号)「SUB SATURATED ATOMIC LAYER DEPOSITION AND CONFORMAL FILM DEPOSITION」に提供されており、この出願は、その全体が参照によって本明細書に組み込まれる。
上述のように、いくつかの実施例において、ALD方法は、プラズマ活性化を含む。本明細書に記載されるように、本明細書に記載のALD方法および装置は、共形膜蒸着(CFD)方法であってよく、それらの方法は、2011年4月11日出願の米国特許出願第13/084,399号(現在の米国特許第8,728,956号)「PLASMA ACTIVATED CONFORMAL FILM DEPOSITION」、および、2011年4月11日出願の米国特許出願第13/084,305号「SILICON NITRIDE FILMS AND METHODS」で一般的に記載されており、これらの出願は、全体が参照によって本明細書に組み込まれる。
図3は、特定の開示された実施形態に従って実行される方法の動作を示す処理フローチャートである。本明細書に記載の実施形態は、約100℃〜約150℃の間など、約70℃〜約200℃の間の温度で実行されてよい。動作301において、露出銅表面と露出誘電体表面とを有する基板が提供される。基板は、シリコンウエハ、例えば、200mmウエハ、300mmウエハ、または、450mmウエハであってよく、誘電材料、導電材料、または、半導体材料などの1または複数の材料層を上に蒸着されたウエハを含みうる。下層の非限定的な例は、誘電層および導電層を含み、例えば、酸化シリコン、窒化シリコン、炭化シリコン、金属酸化物、金属窒化物、金属炭化物、および、金属層などである。
様々な実施形態において、基板は、誘電体材料と、金属を充填されたビア(相互接続線など)と、を備える。様々な実施形態において、誘電体材料は、シリコン含有材料(酸化シリコンなど)であってよい。様々な実施形態において、誘電体材料は、ULK誘電体であってよい。いくつかの実施形態において、誘電体材料は、誘電体材料の代わりに半導体材料であってもよい。様々な実施形態において、誘電体層は、ヒドロキシル末端酸化シリコンを含む。
様々な実施形態において、ビアは、銅を充填される。いくつかの実施形態において、ビアは、酸化銅、ルテニウム、および/または、酸化ルテニウムを充填される。いくつかの実施形態において、銅は、後続の動作のための準備として、還元剤(水素またはヒドラジンなど)への暴露を用いて還元される。
図4Aは、露出した酸化シリコン面401および露出した銅表面403を有する基板の一例を示す概略図である。
動作303において、基板は、露出した銅表面と選択的に反応させるために、銅阻害剤に暴露される。様々な実施形態において、銅阻害剤は、チオールである。いくつかの実施形態において、銅阻害剤は、以下の構造を有するアルキルチオールであり、ここで、nは、2〜12の間(2および12を含む)、または、2〜6の間(2および6を含む)の整数である:
例えば、いくつかの実施形態において、nは、8であってよい。いくつかの実施形態において、nは、12であってもよい。チオールの例は、以下に示すようなエタンチオールおよびブタンチオールなどのアルキルチールを含む:
銅阻害剤は、銅および酸化銅の表面と反応するが誘電体表面(ヒドロキシル末端酸化シリコン表面など)とは反応しないように選択される。例えば、チオールは、銅が還元剤によって以前に還元された銅表面上の銅と反応しうる硫黄原子を含む。一部の銅表面は、酸化銅を形成する表面上に自然酸化物を有するので、選択されたチオールは、酸化銅と反応して水およびチオラートを形成する硫黄原子を含む。
ブロックされた銅表面は、不揮発性で、かつ、立体的に込み入っている。いくつかの実施形態において、メタンチオールは、銅表面の酸化を防ぐのに十分にバルキーではない場合があるので利用されない。いくつかの実施形態において、オクタンチオールは、銅表面の酸化を防ぐのに十分にバルキーになる長い尾部を備えるが、露出した銅表面のほとんどと緊密に吸着するようにパッキングできないので、利用できない。様々な実施形態において、アルキル鎖は、水素原子だけを含み他の非水素置換基を含まない炭素鎖である。
図4Bは、銅阻害剤としてのブタンチオールと反応しなかった露出酸化シリコン表面401を有する基板の一例を示す概略図であるが、図4Aの露出銅表面403は、ブタンチオールと反応して、ブロックされた表面405を形成している。
図3に戻ると、動作305において、基板を収容するチャンバが、露出した銅表面と反応しない余分な銅阻害剤を除去するために、任意選択的にパージされてよい。チャンバのパージは、他の動作で用いられる搬送ガスであってもよいし異なるガスであってもよいパージガスまたはスイープガスを流すことを含みうる。いくつかの実施形態において、パージは、チャンバを排気することを含みうる。パージガスの例は、アルゴン、窒素、水素、および、ヘリウムを含む。いくつかの実施形態において、動作305は、処理チャンバの排気のための1または複数の排気サブ段階を含んでよい。あるいは、動作305は、いくつかの実施形態において省略されてもよいことがわかる。動作305は、約0秒〜約60秒の間(例えば、約0.01秒)など、任意の適切な持続時間を有してよい。いくつかの実施形態において、1または複数のパージガスの流量を増やして、動作305の持続時間を短くしてもよい。例えば、パージガス流量は、動作305の持続時間を変えるために、様々な反応物質の熱力学的特性ならびに/もしくは処理チャンバおよび/または処理チャンバ配管の形態的特性に従って調整されてよい。非限定的な一例において、パージ段階の持続時間は、パージガス流量を変えることによって調整されてよい。これは、蒸着サイクル時間を短縮し、基板スループットを改善しうる。パージ後、銅阻害剤は、銅表面上に吸着したまま残る。
動作307において、基板は、シリコン含有前駆体に暴露される。様々な実施形態において、シリコン含有前駆体は、アミノシランである。アミノシランは、シリコン原子に結合した少なくとも1つの窒素原子を含むが、水素、酸素、ハロゲン、および、炭素を含んでもよい。アミノシランの例は、モノ−、ジ−、トリ−、および、テトラ−アミノシラン(それぞれ、HSi(NH)、HSi(NH、HSi(NH、および、Si(NH)、ならびに、置換モノ−、ジ−、トリ−、および、テトラ−アミノシラン(例えば、t−ブチルアミノシラン、メチルアミノシラン、tert−ブチルシランアミン、ビス(tert−ブチルアミノ)シラン(SiH(NHC(CH(BTBAS)、tert−ブチルシリルカルバメートSiH(CH)−(N(CH、SiHCl(N(CH、(Si(CHNH)など、である。アミノシランのさらなる例は、トリシリルアミン(N(SiH))である。
いくつかの実施形態において、シリコン含有前駆体は、以下の一般構造を有するアルキルアミノシランである:
ここで、R1、R2、R3、および、R4の少なくとも1つは、第1級または第2級アミノ基を含む。いくつかの実施形態において、置換基R1、R2、R3、および、R4の1〜3つは、水素原子である。例えば、いくつかの実施形態において、シリコン含有前駆体は、以下の構造を有するSAM24(N−(ジエチルアミノシリル)−N−エチルエタンアミン)である:
シリコン含有前駆体は、アミノシランである。シリコン含有前駆体の例は、ビス(ジエチルアミノ)シラン(BDEAS)、ジイソプロピルアミノシラン(DiPAS)、ジイソブチルアミノシラン(DiBAS)、ビス(tert−ブチルアミノ)シラン(BTBAS)、および、トリス(ジメチルアミノ)シラン(TDMAS)を含む。シリコン含有前駆体は、図4Cにアミド407で示すように、基板の表面上にシリコンアミドを形成する。いくつかの実施形態において、一部のヒドロキシル末端基405が、動作307中に表面上に残りうる。ブロックされた銅表面409を前提とすると、シリコン含有前駆体は、銅表面上に吸着しないことに注意されたい。
動作307は、原子層蒸着(ALD)サイクルの一部であってよい。上述のように、一般に、ALDサイクルは、表面蒸着反応を実行するために一度に用いられる動作の最小セットである。いくつかの実施形態において、1サイクルの結果として、基板面上に少なくとも部分的に酸化シリコン膜層が生成される。サイクルは、反応物質または副生成物の一方を一掃する動作および/または蒸着された部分的な膜を処理する動作など、特定の補助的な動作を備えてもよい。一般に、1サイクルは、一意的な動作手順を一組含む。上述のように、一般に、1サイクルは、表面蒸着反応を実行するために一度に用いられる動作の最小セットである。1サイクルの結果として、基板面上に少なくとも部分的な膜層(例えば、部分的な窒化シリコン膜層)が生成される。
動作307中、基板は、シリコン含有前駆体が基板上に吸着して吸着層を形成するように、シリコン含有前駆体に暴露される。いくつかの実施形態において、アミノシラン前駆体は、活性部位がアミノシラン前駆体によって占有されると、さらなるアミノシラン前駆体が基板表面上にほとんどまたは全く吸着しないように、自己制限的に基板表面上に吸着する。例えば、アミノシラン前駆体は、基板表面の約60%上に吸着しうる。様々な実施形態において、アミノシラン前駆体がチャンバに流されると、アミノシラン前駆体は、基板の表面上の活性部位上に吸着し、基板上にアミノシラン前駆体の薄層を形成する。様々な実施形態において、この薄層は、単分子層に満たない場合があり、約0.2Å〜約0.4Åの間の厚さを有しうる。本明細書で提供する実施形態は、約100℃〜約150℃の間など、約70℃〜約200℃の間の温度で実行されてよい。
図3に戻ると、動作309において、基板を収容するチャンバは、動作305に関して上述したように、任意の1以上の技術を用いて任意選択的にパージされてよい。
動作311において、基板は、穏やかな酸化プラズマに暴露される。穏やかな酸化プラズマは、弱酸化剤を用いて生成され、弱酸化剤は、バルク銅の酸化を10Å未満の深さの表面酸化に制限する酸化剤として定義される。穏やかな酸化剤の例は、二酸化炭素、亜酸化窒素、オゾン、および、水を含む。水を除いて、これらの酸化剤の内の任意の1以上が、プラズマを生成するために用いられてよい。いくつかの実施形態において、水蒸気が、酸化プラズマの代わりに用いられる。穏やかな酸化プラズマは、銅表面上の酸化を防ぐために用いられる。したがって、酸化剤は、銅とは反応しないが、誘電体表面上の吸着シリコン含有前駆体と反応するように選択されてよい。図4Dは、ヒドロキシル末端シリコン基411が表面上に残って酸化シリコンの厚さを増すように二酸化炭素プラズマへ暴露された後の基板の一例を示す。酸化中、阻害剤は、酸素と反応して、様々なガス413(水、二酸化炭素、二酸化硫黄、三酸化硫黄など)を形成しうる。かかるガスは、穏やかな酸化剤であり、したがって、銅表面を必ずしも酸化しうるわけではない。しかしながら、図4Dに示すように、一部の銅は、酸化されるか、または、ヒドロキシル末端銅表面を形成しうる。いくつかの実施形態において、一部の銅阻害剤は、表面に残りうる。
図3に戻ると、動作313において、基板を収容するチャンバは、穏やかな酸化プラズマと阻害剤との反応から形成されたガス、および、穏やかな酸化プラズマと基板上面上に吸着したシリコン含有前駆体との反応から形成されたガスなど、余分な副生成物を除去するために、任意選択的にパージされる。パージは、動作305に関して上述した技術の内の任意の1以上を用いて実行されてよい。
動作315において、基板は、銅表面を還元するために、還元剤に暴露される。還元剤は、水素ガス、水素プラズマ、ヒドラジンガス、ヒドラジンプラズマ、アンモニアガス、アンモニアプラズマ、ガス形態のアルコール、または、ガス形態のアルデヒド、であってよい。アルコールの例は、エタノールおよびイソプロパノールを含む。利用できるアルデヒドの一例は、ホルムアルデヒドである。いくつかの実施形態において、還元効率を改善するために、プラズマが、ガスの代わりに用いられる。いくつかの実施形態において、プラズマが、動作315中に点火され、水素、ヒドラジン、または、アンモニアの内の1つに基づいて生成されたプラズマを形成する。例えば、いくつかの実施形態において、動作315は、銅表面を還元するために、水素プラズマに基板を暴露させることを含む。還元剤は、誘電体材料の後続の蒸着が、銅表面上に蒸着することなしに誘電体表面上へ選択的に蒸着されうるように、銅表面が、銅表面の酸化の量を低減することを可能にする。 還元剤は、蒸着された酸化シリコン材料が還元剤の影響を受けないように選択される。
いくつかの実施形態において、動作315は、動作303を実行する前に実行される。いくつかの実施形態において、動作315は、動作303実行前および動作311の実行後の両方で実行される。様々な実施形態において、前後に使用される還元剤は、異なる。いくつかの実施形態において、還元剤は同じである。
図4Eは、還元剤への暴露後に、酸化シリコン411が表面上に残り、いくらかのチオール415が表面上に補充され、図4Dの酸化銅が還元されて銅表面が残った基板の一例を示す。
図3に戻ると、動作317において、チャンバは、動作315で還元剤へ基板を暴露させたことで生じた余分な副生成物を除去するために、任意選択的にパージされる。様々な実施形態において、これらの副生成物は、還元剤を酸化銅と反応させて、例えば、水を形成することで生じた生成物を含む。
動作319で、酸化シリコンが所望の厚さまで蒸着されたか否かが判定される。蒸着された場合、蒸着処理は終了する。蒸着されていない場合、蒸着は、動作303〜317を循環的に繰り返すことによって、再開してよい。様々な実施形態において、動作303および315は、サイクル毎に実行される。いくつかの実施形態において、動作303および315の一方または両方が、1サイクルおきに実行される。しかしながら、酸化シリコンの蒸着中に銅表面を阻害し続けることで、銅表面の酸化を防ぐと共に、酸化シリコンの選択的蒸着を可能にするために、動作303および315の両方をサイクル毎に実行することが適切でありうる。いくつかの実施形態において、各サイクルは、同じ化学物質および処理条件を用いて同じ動作を繰り返すことを含んでよい。いくつかの実施形態において、各サイクルは、異なる化学物質を用いて動作を繰り返すことを含んでもよい。例えば、或るサイクルで用いられる還元剤が、別のサイクルで用いられる還元剤と異なってよい。
図5は、特定の開示された実施形態に従って実行される様々な動作のタイミング概略図である。図5に示す処理500は、2回の蒸着サイクル599Aおよび599Bのみを含むが、3回以上の蒸着(一部の例では、1回だけの蒸着サイクル)が、特定の開示された実施形態において実行されてもよいことが理解される。
図5は、搬送ガスおよび/またはパージガスとしてのアルゴン流、チオールガス流、シリコン含有前駆体ガス流、酸素含有プラズマ暴露、および、還元剤ガス流など、様々な処理パラメータについて、蒸着処理の一例500における段階を示す。この例は、蒸着技術として酸素含有プラズマを図示しているが、いくつかの実施形態においては、酸素含有ガスが、熱的に蒸着するために用いられてもよい。線は、流れがオン/オフされる時、および、プラズマがオン/オフされる時を示す。様々な開示された実施形態は、以下を含むがそれらに限定されない処理パラメータに依存する:不活性種および反応種の流量、アルゴン、チオール、シリコン含有前駆体、および、還元剤ガスの流量、プラズマ条件、基板温度、ならびに、処理チャンバ圧。
蒸着サイクル599Aは、銅阻害剤暴露段階503A、シリコン含有前駆体暴露段階507A、パージ段階509A、酸化プラズマ暴露段階511A、パージ段階513A、および、還元剤暴露段階515Aを含む。銅阻害剤暴露段階503Aは、図3の動作303に対応しうる。銅阻害剤暴露段階503A中、アルゴン流が、銅阻害剤をチャンバに導入するためにオンであってよく、チオールガス流がオンであり、一方、シリコン含有前駆体ガス流、酸素含有プラズマ、および、還元剤ガス流は、オフである。この例では明記されていないが、チオールは、図3の動作303に関して上述したものなど、任意の適切なチオールであってよいことが理解される。銅阻害剤暴露段階503Aに続いて、シリコン含有前駆体暴露段階507Aが実行される。この例では、図3のパージ動作305は実行されないが、様々な実施形態において、銅阻害剤暴露段階503Aとシリコン含有前駆体暴露段階507Aとの合間に、動作305が実行されてもよいと理解されることに注意されたい。シリコン含有前駆体暴露段階507Aは、図3の動作307に対応しうる。シリコン含有前駆体暴露段階507A中、アルゴン流は、シリコン含有前駆体の供給を支援するために残っていてよく、一方、チオールガス流はオフであり、シリコン含有前駆体流はオンであり、酸素含有プラズマはオフであり、還元剤ガス流はオフである。パージ段階509Aでは、パージガスとして作用するアルゴンガス流を除いて、すべてのガス流およびプラズマがオフである。パージ段階509Aは、図3の動作309に対応しうる。酸化プラズマ暴露段階511Aにおいて、アルゴン流は、オンであり続けてよく、チオールガス流はオフであり、シリコン含有前駆体ガス流はオフであり、酸素含有プラズマはオンであり、還元剤ガス流はオフである。様々な実施形態において、酸素含有プラズマは、図3の動作311に関して上述したようにプラズマによって点火された任意の弱酸化剤である。酸化プラズマ暴露段階511Aは、図3の動作311に対応しうる。パージ段階513Aにおいて、アルゴン流は、パージガスとして作用するためにオンであり、一方、チオールガス流はオフであり、シリコン含有前駆体ガス流はオフであり、酸素含有プラズマはオフであり、還元剤ガス流はオフである。パージ段階513Aは、図3の動作313に対応しうる。還元剤暴露段階515Aにおいて、アルゴン流は、還元剤の供給を支援するための搬送ガスとして作用してよく、チオールガス流はオフであり、シリコン含有前駆体ガス流はオフであり、酸素含有プラズマはオフであり、還元剤ガス流はオンである。ここに記載した例は、還元剤暴露のための熱反応を含むが、いくつかの実施形態では、この暴露段階中にプラズマを点火しつつ、還元剤ガス流をオンにすることが理解される。還元剤暴露段階515Aは、図3の動作315に対応しうる。この例において、還元剤暴露段階515A後に、パージ動作が実行されないが、いくつかの実施形態においては、パージ動作が実行されてもよいことが理解される。この例においては、酸化シリコンが所望の厚さまで蒸着されていないと判定され、動作は、蒸着サイクル599Bで繰り返される。蒸着サイクル599Bは:アルゴン流およびチオール流がオンである一方で、シリコン含有ガス流、酸素含有プラズマ、および、還元剤ガス流がオフである銅阻害剤暴露段階503B;アルゴンおよびシリコン含有ガス流だけがオンである一方で、チオールガス流、酸素含有プラズマ、および、還元剤ガス流がオフであるシリコン含有前駆体暴露段階507B;アルゴンだけがパージガスとして流されるパージ段階509B;アルゴンおよび酸素含有プラズマだけがオンである一方で、チオール、シリコン含有前駆体ガス、および、還元剤ガス流がオフである酸化プラズマ暴露段階511B;アルゴンだけがパージガスとして流されるパージ段階513B;ならびに、アルゴンおよび還元剤ガス流だけがオンである一方で、シリコン含有前駆体ガス、チオールガス、および、酸素含有プラズマがオフである還元剤暴露段階515B、を備える。
様々な実施形態において、酸化シリコンが十分な厚さまで蒸着された後、銅表面は、還元剤によって還元されてよく、銅表面上に残る任意のチオールが、酢酸リンスによって除去されてよい。
装置
図6は、低圧環境を維持するための処理チャンバ本体602を有する原子層蒸着(ALD)処理ステーションの一実施形態600を示す概略図である。複数のALD処理ステーション600が、共通の低圧処理ツール環境内に備えられてよい。例えば、図7は、マルチステーション処理ツール700の一実施形態を示す。いくつかの実施形態において、ALD処理ステーション600の1または複数のハードウェアパラメータ(以下で詳述するパラメータなど)が、1または複数のコンピュータコントローラ650によってプログラム的に調整されてよい。
ALD処理ステーション600は、分配シャワーヘッド606に処理ガスを供給するための反応物質供給システム601aと流体連通している。反応物質供給システム601aは、シャワーヘッド606への供給に向けて、処理ガス(アミノシラン前駆体ガス、または、穏やかな酸化剤ガス(例えば、二酸化炭素)、または、還元剤(例えば、水素)ガス、など)を混合および/または調整するための混合容器604を備える。1または複数の混合容器入口バルブ620が、混合容器604への処理ガスの導入を制御しうる。穏やかな酸化プラズマおよび/または還元剤プラズマが、シャワーヘッド606に供給されてもよいし、ALD処理ステーション600内で生成されてもよい。
例えば、図6の実施形態は、混合容器604に供給される液体反応物質を気化させるための気化ポイント603を備える。いくつかの実施形態において、気化ポイント603は、加熱された気化器であってよい。かかる気化器から生成された飽和反応物質蒸気は、下流の供給配管内で凝結しうる。凝結した反応物質に相性の悪いガスを暴露させると、小粒子が発生しうる。これらの小粒子は、配管を詰まらせる、バルブ動作を妨げる、基板を汚染するなどの可能性がある。これらの課題に対処するためのいくつかのアプローチは、残留した反応物質を除去するために、供給配管をパージおよび/または排気することを含む。しかしながら、供給配管をパージすることは、処理ステーションのサイクル時間を長くして、処理ステーションのスループットを低下させうる。したがって、いくつかの実施形態において、気化ポイント603の下流の供給配管が、ヒートトレースされてもよい。いくつかの例では、混合容器604がヒートトレースされてもよい。非限定的な一例において、気化ポイント603の下流の配管は、約100°Cから混合容器604で約150°Cまで増加してゆく温度プロファイルを有する。
いくつかの実施形態において、液体前駆体または液体反応物質が、液体インジェクタで気化されてもよい。例えば、液体インジェクタは、混合容器の上流の搬送ガス流に液体反応物質のパルスを注入しうる。一実施形態において、液体インジェクタは、高圧から低圧へ液体を流す(flash)ことによって反応物質を気化させてよい。別の例において、液体インジェクタは、分散した微液滴に液体を霧化してよく、その後、微液滴は、加熱された供給菅内で気化される。小さい液滴は、大きい液滴よりも速く気化して、液体注入と完全な気化との間の遅延を低減しうる。より迅速に気化すれば、気化ポイント603から下流の配管の長さを短くすることができる。1つのシナリオにおいて、液体インジェクタは、混合容器604に直接取り付けられてよい。別のシナリオにおいて、液体インジェクタは、シャワーヘッド606に直接取り付けられてもよい。
いくつかの実施形態において、気化ポイント603の上流に、液体流コントローラ(LFC)が、気化および処理ステーション600への供給に向けて液体の質量流量を制御するために提供されてよい。例えば、LFCは、LFCの下流に配置された熱マスフローメータ(MFM)を含みうる。次いで、LFCのプランジャバルブが、MFMと電気通信して比例積分微分(PID)コントローラによって提供されたフィードバック制御信号に応答して調節されてよい。しかしながら、フィードバック制御を用いて液体流を安定化するには、1秒以上かかりうる。これは、液体反応物質を供給する時間を延長しうる。したがって、いくつかの実施形態において、LFCは、フィードバック制御モードと直接制御モードとの間で動的に切り替えられてよい。いくつかの実施形態において、これは、LFCの検知菅およびPIDコントローラを無効化することによって実行されてよい。
シャワーヘッド606は、処理ガスを基板612に分配する。図6に示した実施形態において、基板612は、シャワーヘッド606の下方に配置され、ペデスタル608上に図示されている。シャワーヘッド606は、任意の適切な形状を有してよく、基板612へ処理ガスを分配するための任意の適切な数および配列のポートを有してよい。
いくつかの実施形態において、ペデスタル608は、基板612を基板612とシャワーヘッド606との間の空間に露出させるために、上下されてよい。いくつかの実施形態において、ペデスタルの高さは、適切なコンピュータコントローラ650によってプログラム的に調節されてよいことがわかる。
別のシナリオにおいて、ペデスタル608の高さの調節は、プラズマが点火される実施形態において、処理の際のプラズマ活性化サイクル中にプラズマ密度を変化させることを可能にしうる。処理段階の最後に、ペデスタル608は、ペデスタル608から基板612を回収できるように、別の基板搬送段階中に下げられてよい。
いくつかの実施形態において、ペデスタル608は、ヒータ610を用いて温度制御されてよい。いくつかの実施形態において、ペデスタル608は、約70℃〜約200℃の間または約100℃〜約120℃の間の温度に加熱されてよい。
さらに、いくつかの実施形態において、処理ステーション600の圧力制御が、バタフライバルブ618によって提供されてもよい。図6の実施形態に示すように、バタフライバルブ618は、下流の真空ポンプ(図示せず)によって提供された真空をスロットル調整する。しかしながら、いくつかの実施形態において、処理ステーション600の圧力制御は、処理ステーション600に導入される1または複数のガスの流量を変化させることによって調節されてもよい。
いくつかの実施形態において、シャワーヘッド606の位置は、基板612とシャワーヘッド606との間の空間を変化させるために、ペデスタル608に対して調節されてよい。さらに、ペデスタル608および/またはシャワーヘッド606の垂直位置は、本開示の範囲内の任意の適切なメカニズムによって変更されてよいことがわかる。いくつかの実施形態において、ペデスタル608は、基板612の向きを回転させるための回転軸を備えてよい。いくつかの実施形態において、これらの調節の例の内の1または複数は、1または複数の適切なコンピュータコントローラ650によってプログラム的に実行されてよいことがわかる。
上述のようにプラズマが利用されうるいくつかの実施形態において、シャワーヘッド606およびペデスタル608は、プラズマに電力供給するために、高周波(RF)電源614および整合回路網616と電気的に通信する。いくつかの実施形態において、プラズマエネルギは、処理ステーション圧力、ガス濃度、RF源電力、RF源周波数、および、プラズマ電力パルスタイミングの内の1または複数を制御することによって制御されてよい。例えば、RF電源614および整合回路網616は、所望の組成のラジカル種を有するプラズマを形成するために、任意の適切な電力で動作されてよい。適切な電力の例は、約150W〜6000Wである。プラズマが、窒化シリコンに対する酸化シリコン上への酸化シリコンの選択的蒸着の前に、窒化シリコン表面の処理中に利用されてもよい。RF電源614は、任意の適切な周波数のRF電力を供給してよい。いくつかの実施形態において、RF電源614は、高周波RF電源および低周波RF電源を互いに独立して制御するよう構成されてよい。低周波RF周波数の例は、0kHz〜500kHzの間の周波数を含みうるが、これに限定されない。高周波RF周波数の例は、以下を含むがこれらに限定されない:1.8MHz〜2.45GHzの間の周波数、約13.56MHzより大きい周波数、27MHzより大きい周波数、40MHzより大きい周波数、または、60MHZより大きい周波数。任意の適切なパラメータが、表面反応にプラズマエネルギを提供するために離散的または連続的に調整されてよいことがわかる。
いくつかの実施形態において、プラズマは、1または複数のプラズマモニタによってその場で監視されてよい。1つのシナリオでは、プラズマ電力が、1または複数の電圧、電流センサ(例えば、VIプローブ)によって監視されてよい。別のシナリオでは、プラズマ密度および/または処理ガス濃度が、1または複数の発光分光法センサ(OES)によって測定されてもよい。いくつかの実施形態において、1または複数のプラズマパラメータが、かかるその場プラズマモニタからの測定値に基づいてプログラム的に調整されてよい。例えば、OESセンサが、プラズマ電力のプログラム制御を提供するためにフィードバックループで用いられてよい。いくつかの実施形態において、他のモニタが、プラズマおよびその他の処理特性を監視するために用いられてもよいことがわかる。かかるモニタは、赤外線(IR)モニタ、音声モニタ、および、圧力変換器を含みうるが、これらに限定されない。
いくつかの実施形態において、コントローラ650のための命令が、入力/出力制御(IOC)シーケンシング命令を介して提供されてよい。一例において、処理段階の条件を設定するための命令は、処理レシピの対応するレシピ段階に含まれてよい。一部の例では、処理レシピ段階は、連続的に配列されてよく、その結果、処理段階のためのすべての命令が、その処理段階と同時に実行される。いくつかの実施形態において、1または複数のリアクタパラメータを設定するための命令が、レシピ段階に含まれてよい。例えば、第1レシピ段階は、銅または金属阻害剤ガスの流量を設定するための命令と、搬送ガス(アルゴンなど)の流量を設定するための命令と、プラズマを点火するための命令と、第1レシピ段階のための時間遅延命令と、を含んでよい。第2レシピ段階は、不活性および/またはアミノシランシリコン前駆体ガスの流量を設定するための命令と、搬送ガス(アルゴンなど)の流量を設定するための命令と、第2レシピ段階のための時間遅延命令と、を含んでよい。後続の第3レシピ段階は、不活性ガスおよび/または反応ガスの流量を調節または停止するための命令と、搬送ガスまたはパージガスの流量を調節するための命令と、第3レシピ段階のための時間遅延命令とを含んでよい。第4レシピ段階は、弱酸化剤ガスの流量を調節するための命令と、プラズマを点火するための命令と、搬送またはパージガスの流量を調節するための命令と、第4レシピ段階のための時間遅延命令と、を含んでよい。後続の第5レシピ段階は、不活性ガスおよび/または反応ガスの流量を調節または停止するための命令と、搬送ガスまたはパージガスの流量を調節するための命令と、第5レシピ段階のための時間遅延命令とを含んでよい。第6レシピ段階は、還元剤ガスの流量を調節するための命令と、プラズマを点火するための命令と、搬送ガスの流量を調節するための命令と、第6レシピ段階のための時間遅延命令と、を含んでよい。これらのレシピ段階は、開示されている実施形態の範囲内で、任意の適切な方法でさらに分割および/または反復されてもよいことがわかる。いくつかの実施形態において、コントローラ650は、図7のシステムコントローラ750に関して後述する特徴の内のいずれかを備えてよい。
上述のように、1または複数の処理ステーションが、マルチステーション処理ツールに含まれてよい。図7は、入口ロードロック702および出口ロードロック704を備えたマルチステーション処理ツール700の一実施形態を示す概略図であり、ロードロックの一方または両方は、遠隔プラズマ源を備えてよい。大気圧下にあるロボット706が、ポッド708を通してロードされたカセットから大気ポート710を介して入口ロードロック702内にウエハを移動させるよう構成されている。ウエハがロボット706によって入口ロードロック702内のペデスタル712上に載置され、大気ポート710が閉じられ、ロードロックがポンプ排気される。さらに、ウエハは、例えば、湿気および吸着ガスを除去するために、入口ロードロック702内で加熱されてもよい。次に、処理チャンバ714へのチャンバ移動ポート716が開かれ、別のロボット(図示せず)が、処理に向けて、リアクタにウエハを入れて、リアクタ内に示された第1のステーションのペデスタル上に配置する。図7に示した実施形態は、ロードロックを備えているが、いくつかの実施形態において、処理ステーションにウエハを直接入れてもよいことがわかる。
図の処理チャンバ714は、図7に示した実施形態において、1から4までの番号を付した4つの処理ステーションを備える。各ステーションは、加熱されたペデスタル(ステーション1用は718と示されている)と、ガスライン流入口と、を有する。いくつかの実施形態において、各処理ステーションは、異なる目的または複数の目的を有してもよいことがわかる。例えば、いくつかの実施形態において、1つの処理ステーションが、ALD処理モードとプラズマALD処理モードとの間で切り替え可能であってもよい。追加的または代替的に、いくつかの実施例において、処理チャンバ714は、1または複数のマッチドペアのALD処理ステーションおよびプラズマALD処理ステーションを備えてもよい。図の処理チャンバ714は4つのステーションを備えるが、本開示に従った処理チャンバは、任意の適切な数のステーションを有してよいことがわかる。例えば、いくつかの実施形態において、処理チャンバは、5以上のステーションを有してもよく、他の実施形態において、処理チャンバは、3以下のステーションを有してもよい。
図7は、処理チャンバ714内でウエハを移動するためのウエハハンドリングシステム790の一実施形態を示す。いくつかの実施形態において、ウエハハンドリングシステム790は、様々な処理ステーションの間で、および/または、処理ステーションとロードロックとの間で、ウエハを移動させうる。任意の適切なウエハハンドリングシステムが用いられてよいことがわかる。非限定的な例は、ウエハカルーセルおよびウエハハンドラロボットを含む。図7は、さらに、処理ツール700の処理条件およびハードウェア状態を制御するために用いられるシステムコントローラ750の一実施形態を示す。システムコントローラ750は、1または複数のメモリデバイス756と、1または複数のマスストレージデバイス754と、1または複数のプロセッサ752と、を備えてよい。プロセッサ752は、CPUまたはコンピュータ、アナログおよび/またはデジタル入力/出力接続、ステッパモータコントローラボードなどを備えてよい。
いくつかの実施形態において、システムコントローラ750は、処理ツール700の動作すべてを制御する。システムコントローラ750は、マスストレージデバイス754に格納され、メモリデバイス756にロードされて、プロセッサ752で実行されるシステム制御ソフトウェア758を実行する。あるいは、制御ロジックがコントローラ750にハードコードされてもよい。これらの目的で、特定用途向け集積回路、プログラム可能論理デバイス(例えば、フィールドプログラマブルゲートアレイすなわちFPGA)などが用いられてもよい。以下では、「ソフトウェア」または「コード」が利用される場合、機能的に同等のハードコードされたロジックが代わりに利用されうる。システム制御ソフトウェア758は、タイミング;ガスの混合;ガス流量;チャンバおよび/またはステーションの圧力;チャンバおよび/またはステーションの温度;ウエハ温度;目標電力レベル;RF電力レベル;基板ペデスタル、チャック、および/または、サセプタの位置;ならびに、処理ツール700によって実行される特定の処理の他のパラメータ、を制御するための命令を備えてよい。システム制御ソフトウェア758は、任意の適切な方法で構成されてよい。例えば、様々な処理ツールの処理を実行するために用いられる処理ツール構成要素の動作を制御するために、様々な処理ツール構成要素サブルーチンまたは制御オブジェクトが書かれてよい。システム制御ソフトウェア758は、任意の適切なコンピュータ読み取り可能プログラム言語でコードされてよい。
いくつかの実施形態において、システム制御ソフトウェア758は、上述の様々なパラメータを制御するための入力/出力制御(IOC)シーケンス命令を備えてよい。システムコントローラ750に関連付けられたマスストレージデバイス754および/またはメモリデバイス756に格納された他のコンピュータソフトウェアおよび/またはプログラムが、いくつかの実施形態において用いられてもよい。この目的のためのプログラムまたはプログラムセクションの例は、基板位置決めプログラム、処理ガス制御プログラム、圧力制御プログラム、ヒータ制御プログラム、および、プラズマ制御プログラムを含む。
基板位置決めプログラムは、基板をペデスタル718上にロードすると共に基板と処理ツール700の他の部品との間の間隔を制御するために用いられる処理ツール構成要素のためのプログラムコードを備えてよい。
処理ガス制御プログラムは、ガス組成(例えば、本明細書に記載のように、エタンチオールおよびブタンチオールなどの銅または金属阻害剤ガス、アミノシランガス、および、弱酸化剤ガス、還元剤ガス、搬送ガス、および/または、パージガスなど)および流量を制御するため、ならびに、任意選択的に、処理ステーション内の圧力を安定させるために蒸着の前に1または複数の処理ステーション内にガスを流すためのコードを備えてよい。圧力制御プログラムは、例えば、処理ステーションの排気システムのスロットルバルブ、処理ステーションへのガス流量などを調節することにより、処理ステーション内の圧力を制御するためのコードを備えてよい。
ヒータ制御プログラムは、基板を加熱するために用いられる加熱ユニットへの電流を制御するためのコードを備えてよい。あるいは、ヒータ制御プログラムは、基板への熱伝導ガス(ヘリウムなど)の供給を制御してもよい。
プラズマ制御プログラムは、本明細書の実施形態に従って、1または複数の処理ステーション内の処理電極に印加されるRF電力レベルを設定するためのコードを備えてよい。
圧力制御プログラムは、本明細書の実施形態に従って、反応チャンバ内の圧力を維持するためのコードを備えてよい。
いくつかの実施形態において、システムコントローラ750に関連したユーザインターフェースがあってよい。ユーザインターフェースは、表示スクリーン(装置および/または処理条件のグラフィカルソフトウェアディスプレイ)と、ポインティングデバイス、キーボード、タッチスクリーン、マイクなどのユーザ入力デバイスと、を含みうる。
いくつかの実施形態において、システムコントローラ750によって調整されるパラメータは、処理条件に関してよい。非限定的な例として、処理ガスの組成および流量、温度、圧力、プラズマ条件(RFバイアス電力レベルなど)などが挙げられる。これらのパラメータは、レシピの形態でユーザに提供されてよく、ユーザインターフェースを用いて入力されうる。
処理を監視するための信号が、様々な処理ツールセンサから、システムコントローラ750のアナログおよび/またはデジタル入力接続によって提供されてよい。処理を制御するための信号は、処理ツール700のアナログおよびデジタル出力接続で出力されてよい。監視されうる処理ツールセンサの非限定的な例は、マスフローコントローラ、圧力センサ(圧力計など)、熱電対などを含む。適切にプログラムされたフィードバックアルゴリズムおよび制御アルゴリズムが、処理条件を維持するためにこれらのセンサからのデータと共に用いられてよい。
システムコントローラ750は、上述の蒸着処理を実施するためのプログラム命令を提供しうる。プログラム命令は、DC電力レベル、RFバイアス電力レベル、圧力、温度など、様々なプロセスパラメータを制御しうる。命令は、本明細書に記載の様々な実施形態に従って膜スタックのその場蒸着を動作させるためにパラメータを制御しうる。
システムコントローラ750は、通例、1または複数のメモリデバイスと、装置が開示の実施形態に従って方法を実行するように命令を実行するよう構成された1または複数のプロセッサと、を備える。開示された実施形態に従った処理動作を制御するための命令を含むマシン読み取り可能媒体が、システムコントローラ750に接続されてよい。
いくつかの実施例において、システムコントローラ750は、システムの一部であり、システムは、上述の例の一部であってよい。かかるシステムは、1または複数の処理ツール、1または複数のチャンバ、処理のための1または複数のプラットフォーム、および/または、特定の処理構成要素(ウエハペデスタル、ガスフローシステムなど)など、半導体処理装置を備えうる。これらのシステムは、半導体ウエハまたは基板の処理前、処理中、および、処理後に、システムの動作を制御するための電子機器と一体化されてよい。電子機器は、「コントローラ」と呼ばれてもよく、システムの様々な構成要素または副部品を制御しうる。システムコントローラ750は、処理条件および/またはシステムのタイプに応じて、処理ガスの供給、温度設定(例えば、加熱および/または冷却)、圧力設定、真空設定、電力設定、高周波(RF)発生器設定、RF整合回路設定、周波数設定、流量設定、流体供給設定、位置および動作設定、ならびに、ツールおよび他の移動ツールおよび/または特定のシステムと接続または結合されたロードロックの内外へのウエハ移動など、本明細書に開示の処理のいずれを制御するようプログラムされてもよい。
概して、システムコントローラ750は、命令を受信する、命令を発行する、動作を制御する、洗浄動作を可能にする、エンドポイント測定を可能にすることなどを行う様々な集積回路、ロジック、メモリ、および/または、ソフトウェアを有する電子機器として定義されてよい。集積回路は、プログラム命令を格納するファームウェアの形態のチップ、デジタル信号プロセッサ(DSP)、特定用途向け集積回路(ASIC)として定義されるチップ、および/または、プログラム命令(例えば、ソフトウェア)を実行する1または複数のマイクロプロセッサまたはマイクロコントローラを含みうる。プログラム命令は、様々な個々の設定(またはプログラムファイル)の形態でシステムコントローラ750に伝えられて、半導体ウエハに対するまたは半導体ウエハのための特定の処理を実行するための動作パラメータ、もしくは、システムへの動作パラメータを定義する命令であってよい。動作パラメータは、いくつかの実施形態において、ウエハの1または複数の層、材料、金属、酸化物、シリコン、二酸化シリコン、表面、回路、および/または、ダイの加工中に1または複数の処理工程を達成するために処理エンジニアによって定義されるレシピの一部であってよい。
システムコントローラ750は、いくつかの実施例において、システムと一体化されるか、システムに接続されるか、その他の方法でシステムとネットワーク化されるか、もしくは、それらの組み合わせでシステムに結合されたコンピュータの一部であってもよいし、かかるコンピュータに接続されてもよい。例えば、システムコントローラ750は、「クラウド」内にあってもよいし、ウエハ処理のリモートアクセスを可能にできるファブホストコンピュータシステムの全部または一部であってもよい。コンピュータは、現在の処理のパラメータを変更する、現在の処理に従って処理工程を設定する、または、新たな処理を開始するために、システムへのリモートアクセスを可能にして、製造動作の現在の進捗を監視する、過去の製造動作の履歴を調べる、もしくは、複数の製造動作からの傾向または性能指標を調べうる。いくつかの例では、リモートコンピュータ(例えば、サーバ)が、ネットワーク(ローカルネットワークまたはインターネットを含みうる)を介してシステムに処理レシピを提供してよい。リモートコンピュータは、パラメータおよび/または設定の入力またはプログラミングを可能にするユーザインターフェースを備えてよく、パラメータおよび/または設定は、リモートコンピュータからシステムに通信される。いくつかの例において、システムコントローラ750は、データの形式で命令を受信し、命令は、1または複数の動作中に実行される処理工程の各々のためのパラメータを指定する。パラメータは、実行される処理のタイプならびにシステムコントローラ750がインターフェース接続するまたは制御するよう構成されたツールのタイプに固有であってよいことを理解されたい。したがって、上述のように、システムコントローラ750は、ネットワーク化されて共通の目的(本明細書に記載の処理および制御など)に向けて動作する1または複数の別個のコントローラを備えることなどによって分散されてよい。かかる目的のための分散コントローラの一例は、チャンバでの処理を制御するために協働するリモートに配置された(プラットフォームレベルにある、または、リモートコンピュータの一部として配置されるなど)1または複数の集積回路と通信するチャンバ上の1または複数の集積回路である。
限定はしないが、システムの例は、プラズマエッチングチャンバまたはモジュール、蒸着チャンバまたはモジュール、スピンリンスチャンバまたはモジュール、金属メッキチャンバまたはモジュール、洗浄チャンバまたはモジュール、ベベルエッジエッチングチャンバまたはモジュール、物理蒸着(PVD)チャンバまたはモジュール、化学蒸着(CVD)チャンバまたはモジュール、ALDチャンバまたはモジュール、原子層エッチング(ALE)チャンバまたはモジュール、イオン注入チャンバまたはモジュール、トラックチャンバまたはモジュール、ならびに、半導体ウエハの加工および/または製造に関連するかまたは利用されうる任意のその他の半導体処理システムを含みうる。
上述のように、ツールによって実行される1または複数の処理工程に応じて、システムコントローラ750は、他のツール回路またはモジュール、他のツール構成要素、クラスタツール、他のツールインターフェース、隣接するツール、近くのツール、工場の至る所に配置されるツール、メインコンピュータ、別のコントローラ、もしくは、半導体製造工場内のツール位置および/またはロードポートに向かってまたはそこからウエハのコンテナを運ぶ材料輸送に用いられるツール、の内の1または複数と通信してもよい。
本明細書に開示された方法を実行するのに適切な装置については、2011年4月11日出願の米国特許出願第13/084,399号(現在の米国特許第8,728,956号)「PLASMA ACTIVATED CONFORMAL FILM DEPOSITION」、および、2011年4月11日出願の米国特許出願第13/084,305号「SILICON NITRIDE FILMS AND METHODS」でさらに議論および説明されており、これらの出願は、全体が本明細書に組み込まれる。
本明細書に記載の装置/処理は、例えば、半導体デバイス、ディスプレイ、LED、光起電力パネルなどの加工または製造のために、リソグラフィパターニングツールまたは処理と共に用いられてもよい。通例、必ずしもそうとは限らないが、かかるツール/処理は、共通の製造施設で一緒に利用または実行されている。膜のリソグラフィパターニングは、通例、以下の動作の一部または全部を含み、各動作は、複数の可能なツールで実現される:(1)スピンオンまたはスプレーオンツールを用いて、ワークピース(すなわち、基板)上にフォトレジストを塗布する工程;(2)ホットプレートまたは炉またはUV硬化ツールを用いて、フォトレジストを硬化させる工程;(3)ウエハステッパなどのツールで可視光またはUVまたはX線にフォトレジストを暴露させる工程;(4)ウェットベンチなどのツールを用いて、選択的にレジストを除去することによってパターニングするためにレジストを現像する工程;(5)ドライエッチングツールまたはプラズマ支援エッチングツールを用いて、下層の膜またはワークピースにレジストパターンを転写する工程;ならびに、(6)RFプラズマまたはマイクロ波プラズマレジストストリッパなどのツールを用いて、レジストを除去する工程。
実験1
酸化シリコンの表面について、および、銅の表面について別個に、実験を行い、酸化シリコン蒸着および還元剤暴露のサイクルを用いて2つの表面上でSAM24を用いて酸化シリコンの成長を評価した。酸化シリコン表面に、以下のサイクルを35サイクル施した:SAM24を1秒間投与;アルゴンガスを用いて16秒間パージ;弱酸化剤COおよびアルゴン流を10秒間;50Wプラズマを用いてCOおよびアルゴンを1秒間パルス;アルゴンを用いて16秒間パージ;50Wプラズマを用いて生成された還元剤水素プラズマおよびアルゴンを30秒間;ならびに、アルゴンを用いて30秒間パージ。実験は、サイクルあたり酸化シリコン1.4Åという安定した成長速度を示した。SAM24の1秒間投与、アルゴンガスを用いた16秒間のパージ、弱酸化剤COおよびアルゴン流の10秒間の導入、50Wプラズマを用いたCOおよびアルゴンの1秒間パルス、ならびに、アルゴンを用いた16秒間のパージ、を行う蒸着サイクルを25サイクル含んだ予備実験を実行したところ、同じ成長速度1.4Å/サイクルを示したので、結果は、水素/アルゴンプラズマが、酸化シリコン蒸着に影響しなかったことを示す。銅表面は、アルゴン/水素プラズマ還元30の後に、以下の蒸着サイクルを30サイクル施された:SAM24を1秒間投与、アルゴンガスを用いて16秒間パージ、弱酸化剤COおよびアルゴン流を10秒間、50Wプラズマを用いてCOおよびアルゴンを1秒間パルス、アルゴンを用いて16秒間パージ、50Wプラズマを用いて生成された還元剤水素プラズマおよびアルゴンを30秒間、ならびに、アルゴンを用いて30秒間パージ。銅表面上で示された成長速度は、4.3Å/サイクルであった。図8Aおよび図8Bに示す結果のグラフ(図8Aは、全サイクルを示し、図8Bは、特に2回のサイクルの部分を拡大したものを示す)は、水素プラズマが酸化銅を還元したが、十分ではなかったことを示している。 示されたより速い成長速度は、銅の上と酸化シリコンの上とで異なる吸着/核形成の挙動による可能性がある。
実験2
酸化シリコンの表面について、および、銅の表面について別個に、実験を行い、チオール暴露、酸化シリコン蒸着、および、還元剤暴露のサイクルを用いて2つの表面上でSAM24を用いて酸化シリコンの成長を評価した。
酸化シリコン表面および銅表面は両方とも、アルゴン/水素プラズマ前処理の後に、以下の蒸着サイクルを100サイクル施された:
(1)ブタンチオールを2秒間投与
(2)アルゴンガスを用いて10秒間パージ
(3)SAM24を1秒間投与
(4)アルゴンガスを用いて16秒間パージ
(5)弱酸化剤COおよびアルゴン流を10秒間導入
(6)50Wプラズマを用いてCOおよびアルゴンを1秒間パルス
(7)アルゴンを用いて16秒間パージ
(8)50Wプラズマを用いて生成された還元剤水素プラズマおよびアルゴンを30秒間導入
(9)アルゴンを用いて30秒間パージ。
図9Aおよび図9Bは、それぞれ、全サイクルおよび1サイクルに対する酸化物上の厚さを示しており、サイクル内の参照ラベルは、上記の蒸着サイクルでラベルされた番号に対応する。図9Cおよび図9Dは、それぞれ、全サイクルおよび2サイクルに対する銅表面上の厚さを示しており、サイクル内の参照ラベルは、上記の蒸着サイクルでラベルされた番号に対応する。
実験は、初期のサイクルにおいて酸化シリコン表面上でいくらかのローディング効果を示したが、酸化シリコンの線形かつ安定的な成長速度が継続した。 図9Bにおいて、901は、蒸着サイクルにおいてSAM24が基板表面上に吸着する効果を示す。また、測定された厚さは、図9Dの丸囲み部分903に示すSAM24の1秒間の投与中に、銅へのSAM24吸着の明らかな阻害を示した。結果は、初期のチオールローディング後に銅上への成長が停滞することも示した。
以下の表1は、酸化シリコン表面上および銅表面上で測定された酸化シリコンの厚さ、各表面上に実際に蒸着された酸化シリコンの厚さ、ならびに、相対的選択性をまとめた表である。
結び
理解を深めるために、本実施形態について、ある程度詳しく説明したが、添付の特許請求の範囲内でいくらかの変更および変形を行ってもよいことは明らかである。本発明の処理、システム、および、装置を実施する多くの他の方法が存在することに注意されたい。したがって、本実施形態は、例示的なものであって、限定的なものではないとみなされ、実施形態は、本明細書に示した詳細に限定されない。

Claims (21)

  1. 基板上の銅に対して誘電体材料上に酸化シリコンを選択的に蒸着する方法であって、
    (a)前記誘電体材料および露出銅金属表面を備えた前記基板を提供する工程と、
    (b)前記酸化シリコンを蒸着する前に、前記露出銅金属表面上に選択的に吸着するように、銅阻害剤に前記基板を暴露させる工程と、
    (c)前記誘電体材料上にシリコン含有前駆体を吸着させるために、前記シリコン含有前駆体に前記基板を暴露させる工程と、
    (d)前記吸着したシリコン含有前駆体を酸化シリコンに転換するために、弱酸化剤を含む環境内で生成された酸化プラズマに前記基板を暴露させる工程と、
    (e)前記露出銅金属表面を還元するために、還元剤に前記基板を暴露させる工程と、
    を備える、方法。
  2. 請求項1に記載の方法であって、前記銅阻害剤は、硫黄である、方法。
  3. 請求項1に記載の方法であって、前記銅阻害剤は、アルキルチオールである、方法。
  4. 請求項3に記載の方法であって、前記銅阻害剤は、エタンチオールおよびブタンチオールからなる群より選択される、方法。
  5. 請求項1に記載の方法であって、前記銅阻害剤は、化学式SH(CHCH(ここで、nは、2〜12の間の整数(2および12を含む))を有するアルキルチオールである、方法。
  6. 請求項1に記載の方法であって、さらに、前記銅阻害剤に前記基板を暴露させる工程の前に、前記露出銅金属表面を還元するために、第2還元剤を導入する工程を備える、方法。
  7. 請求項6に記載の方法であって、前記第2還元剤は、水素ガス、水素プラズマ、ヒドラジンガス、ヒドラジンプラズマ、アンモニアガス、アンモニアプラズマ、アルコール、および、アルデヒド、からなる群より選択される、方法。
  8. 請求項1ないし7のいずれかに記載の方法であって、前記シリコン含有前駆体は、アミノシランである、方法。
  9. 請求項1ないし7のいずれかに記載の方法であって、前記シリコン含有前駆体は、N−(ジエチルアミノシリル)−N−エチルエタンアミン、ビス(ジエチルアミノ)シラン(BDEAS)、ジイソプロピルアミノシラン(DiPAS)、ジイソブチルアミノシラン(DiBAS)、ビス(tert−ブチルアミノ)シラン(BTBAS)、および、トリス(ジメチルアミノ)シラン(TDMAS)、からなる群より選択される、方法。
  10. 請求項1ないし7のいずれかに記載の方法であって、前記弱酸化剤は、二酸化炭素、亜酸化窒素、オゾン、それらのプラズマ、および、水、からなる群より選択される、方法。
  11. 請求項1ないし7のいずれかに記載の方法であって、前記還元剤は、水素ガス、水素プラズマ、ヒドラジンガス、ヒドラジンプラズマ、アンモニアガス、アンモニアプラズマ、アルコール、および、アルデヒド、からなる群より選択される、方法。
  12. 請求項1ないし7のいずれかに記載の方法であって、酸化シリコンが、約70°C〜約200℃の間の温度で蒸着される、方法。
  13. 請求項1ないし7のいずれかに記載の方法であって、前記誘電体材料は、二酸化シリコン、酸化アルミニウム、オキシ炭化シリコン、炭窒化シリコン、および、オキシ炭窒化シリコン、からなる群より選択される、方法。
  14. 請求項1〜7のいずれかに記載の方法であって、さらに、工程(b)〜(e)を2サイクル以上繰り返す工程を備える、方法。
  15. 請求項1ないし7のいずれかに記載の方法であって、工程(c)は、自己制限的である、方法。
  16. 請求項1ないし7のいずれかに記載の方法であって、前記銅阻害剤は、前記露出銅金属表面へ選択的に吸着して、前記露出銅金属表面上への前記酸化シリコンの後続の蒸着を阻害する、方法。
  17. 基板上の金属含有表面に対して誘電体材料上に酸化シリコンを選択的に蒸着する方法であって、
    (a)前記誘電体材料および露出金属含有表面を備えた前記基板を提供する工程と、
    (b)前記酸化シリコンを蒸着する前に、前記露出金属含有表面上に選択的に吸着するように、金属阻害剤に前記基板を暴露させる工程と、
    (c)前記誘電体材料上にシリコン含有前駆体を吸着させるために、前記シリコン含有前駆体に前記基板を暴露させる工程と、
    (d)前記吸着したシリコン含有前駆体を酸化シリコンに転換するために、弱酸化剤を含む環境内で生成された酸化プラズマに前記基板を暴露させる工程と、
    (e)前記露出金属含有表面を還元するために、還元剤に前記基板を暴露させる工程と、
    を備える、方法。
  18. 請求項17に記載の方法であって、前記露出金属含有表面は、銅を含む、方法。
  19. 請求項17に記載の方法であって、前記露出金属含有表面は、ルテニウムを含む、方法。
  20. 請求項17に記載の方法であって、前記露出金属含有表面は、銅金属、酸化銅、ルテニウム金属、および、酸化ルテニウム、からなる群より選択された材料を含む、方法。
  21. 半導体基板を処理するための装置であって、
    半導体基板を保持するためのペデスタルを備えた少なくとも1つの処理チャンバと、
    真空に接続するための少なくとも1つの流出口と、
    プラズマ発生器と、
    1以上のガス源に接続された1以上の処理ガス流入口と、
    前記装置内の動作を制御するためのコントローラと、
    を備え、
    前記コントローラは、
    酸化シリコンの蒸着を実行させる前に、露出銅金属表面上に選択的に吸着するように、銅阻害剤への前記半導体基板の暴露を実行させるためのマシン読み取り可能な命令と、
    前記半導体基板上の誘電体材料上にシリコン含有前駆体を吸着させるために、前記シリコン含有前駆体への前記基板の暴露を実行させるためのマシン読み取り可能な命令と、
    前記吸着したシリコン含有前駆体を転換して酸化シリコンを蒸着させるために、弱酸化剤を含む環境内で生成された酸化プラズマへの前記基板の暴露を実行させるためのマシン読み取り可能な命令と、
    前記露出銅金属表面を還元するために、還元剤への前記基板の暴露を実行させるためのマシン読み取り可能な命令程と、
    を備える、装置。
JP2020528118A 2017-11-22 2018-11-21 銅存在下での誘電体表面上へのSiO2の選択的成長 Pending JP2021504947A (ja)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US15/821,590 2017-11-22
US15/821,590 US10460930B2 (en) 2017-11-22 2017-11-22 Selective growth of SiO2 on dielectric surfaces in the presence of copper
PCT/US2018/062301 WO2019104209A1 (en) 2017-11-22 2018-11-21 Selective growth of sio2 on dielectric surfaces in the presence of copper

Publications (1)

Publication Number Publication Date
JP2021504947A true JP2021504947A (ja) 2021-02-15

Family

ID=66532566

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2020528118A Pending JP2021504947A (ja) 2017-11-22 2018-11-21 銅存在下での誘電体表面上へのSiO2の選択的成長

Country Status (5)

Country Link
US (2) US10460930B2 (ja)
JP (1) JP2021504947A (ja)
KR (1) KR20200079343A (ja)
CN (1) CN111373507A (ja)
WO (1) WO2019104209A1 (ja)

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
WO2023112585A1 (ja) * 2021-12-17 2023-06-22 株式会社Kokusai Electric 基板処理方法、基板処理装置、およびプログラム

Families Citing this family (20)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US10176984B2 (en) 2017-02-14 2019-01-08 Lam Research Corporation Selective deposition of silicon oxide
US10242866B2 (en) 2017-03-08 2019-03-26 Lam Research Corporation Selective deposition of silicon nitride on silicon oxide using catalytic control
US10559461B2 (en) 2017-04-19 2020-02-11 Lam Research Corporation Selective deposition with atomic layer etch reset
US10460930B2 (en) 2017-11-22 2019-10-29 Lam Research Corporation Selective growth of SiO2 on dielectric surfaces in the presence of copper
KR20200118504A (ko) 2018-03-02 2020-10-15 램 리써치 코포레이션 가수분해를 사용한 선택적인 증착
US10643846B2 (en) 2018-06-28 2020-05-05 Lam Research Corporation Selective growth of metal-containing hardmask thin films
US10950426B2 (en) * 2018-08-14 2021-03-16 Taiwan Semiconductor Manufacturing Co., Ltd. Dielectric layer, interconnection structure using the same, and manufacturing method thereof
JP6953480B2 (ja) * 2019-07-31 2021-10-27 株式会社Kokusai Electric 半導体装置の製造方法、基板処理装置、およびプログラム
JP7353200B2 (ja) * 2020-02-06 2023-09-29 東京エレクトロン株式会社 成膜方法
CN112018078B (zh) * 2020-07-29 2022-10-25 复旦大学 一种铜互连结构及其制作方法
CN112151504B (zh) * 2020-08-17 2022-04-29 复旦大学 一种带有封孔层的铜互连结构及其制备方法
JP2023550351A (ja) * 2020-11-16 2023-12-01 バーサム マテリアルズ ユーエス,リミティド ライアビリティ カンパニー ケイ素及び酸素を含有する誘電体膜の誘電体への選択的堆積
JP2023553386A (ja) * 2020-12-01 2023-12-21 バーサム マテリアルズ ユーエス,リミティド ライアビリティ カンパニー 選択的プラズマ強化原子層堆積
US20220220132A1 (en) * 2020-12-29 2022-07-14 American Air Liquide, Inc. Organosilane precursors for ald/cvd/sod of silicon-containing film applications
KR20230152731A (ko) * 2021-03-02 2023-11-03 버슘머트리얼즈 유에스, 엘엘씨 실리콘 유전체 필름의 선택적 증착
US11942426B2 (en) * 2021-05-06 2024-03-26 International Business Machines Corporation Semiconductor structure having alternating selective metal and dielectric layers
US11990369B2 (en) 2021-08-20 2024-05-21 Applied Materials, Inc. Selective patterning with molecular layer deposition
CN114836730B (zh) * 2021-12-30 2024-01-02 长江存储科技有限责任公司 氧化膜的原子层沉积方法
KR20230126792A (ko) * 2022-02-24 2023-08-31 주성엔지니어링(주) 기판처리방법
WO2023172736A1 (en) * 2022-03-11 2023-09-14 Lam Research Corporation Methods of selective deposition and chemical delivery systems

Family Cites Families (75)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4539061A (en) 1983-09-07 1985-09-03 Yeda Research And Development Co., Ltd. Process for the production of built-up films by the stepwise adsorption of individual monolayers
DE69329536T2 (de) 1992-03-02 2001-06-07 Matsushita Electric Ind Co Ltd Chemisch adsorbierter Film und Verfahren zur Herstellung desselben
US6423582B1 (en) 1999-02-25 2002-07-23 Micron Technology, Inc. Use of DAR coating to modulate the efficiency of laser fuse blows
KR100646296B1 (ko) 2001-09-12 2006-11-23 닛본 덴끼 가부시끼가이샤 반도체 장치 및 그 제조 방법
JP4142941B2 (ja) * 2002-12-06 2008-09-03 株式会社東芝 半導体装置の製造方法
US6858527B2 (en) * 2003-04-14 2005-02-22 Intel Corporation Method to increase electromigration resistance of copper using self-assembled organic thiolate monolayers
US6846752B2 (en) * 2003-06-18 2005-01-25 Intel Corporation Methods and devices for the suppression of copper hillock formation
US7053010B2 (en) 2004-03-22 2006-05-30 Micron Technology, Inc. Methods of depositing silicon dioxide comprising layers in the fabrication of integrated circuitry, methods of forming trench isolation, and methods of forming arrays of memory cells
US7368377B2 (en) 2004-12-09 2008-05-06 Interuniversitair Microelektronica Centrum (Imec) Vzw Method for selective deposition of a thin self-assembled monolayer
US20060162661A1 (en) * 2005-01-22 2006-07-27 Applied Materials, Inc. Mixing energized and non-energized gases for silicon nitride deposition
US20110178092A1 (en) 2005-06-22 2011-07-21 Akbar Ali HIV-1 Protease Inhibitors
US7875312B2 (en) 2006-05-23 2011-01-25 Air Products And Chemicals, Inc. Process for producing silicon oxide films for organoaminosilane precursors
US8530361B2 (en) 2006-05-23 2013-09-10 Air Products And Chemicals, Inc. Process for producing silicon and oxide films from organoaminosilane precursors
EP2029790A1 (en) 2006-06-02 2009-03-04 L'AIR LIQUIDE, Société Anonyme pour l'Etude et l'Exploitation des Procédés Georges Claude Method of forming high-k dielectric films based on novel titanium, zirconium, and hafnium precursors and their use for semiconductor manufacturing
WO2008008319A2 (en) 2006-07-10 2008-01-17 President And Fellows Of Harvard College Selective sealing of porous dielectric materials
US9245739B2 (en) * 2006-11-01 2016-01-26 Lam Research Corporation Low-K oxide deposition by hydrolysis and condensation
US20080213479A1 (en) * 2007-02-16 2008-09-04 Tokyo Electron Limited SiCN film formation method and apparatus
US20080242097A1 (en) 2007-03-28 2008-10-02 Tim Boescke Selective deposition method
US7858525B2 (en) * 2007-03-30 2010-12-28 Intel Corporation Fluorine-free precursors and methods for the deposition of conformal conductive films for nanointerconnect seed and fill
JP5535945B2 (ja) 2008-02-27 2014-07-02 レール・リキード−ソシエテ・アノニム・プール・レテュード・エ・レクスプロワタシオン・デ・プロセデ・ジョルジュ・クロード 原子層蒸着(ald)法を用いる基板上にチタン含有層を形成する方法
US7659158B2 (en) 2008-03-31 2010-02-09 Applied Materials, Inc. Atomic layer deposition processes for non-volatile memory devices
JP5408483B2 (ja) * 2009-07-03 2014-02-05 ルネサスエレクトロニクス株式会社 半導体装置の製造方法
CN102652186A (zh) * 2009-12-22 2012-08-29 应用材料公司 利用持续的等离子体的pecvd多重步骤处理
JP2011216862A (ja) * 2010-03-16 2011-10-27 Tokyo Electron Ltd 成膜方法及び成膜装置
US9076646B2 (en) 2010-04-15 2015-07-07 Lam Research Corporation Plasma enhanced atomic layer deposition with pulsed plasma exposure
US8956983B2 (en) * 2010-04-15 2015-02-17 Novellus Systems, Inc. Conformal doping via plasma activated atomic layer deposition and conformal film deposition
US8637411B2 (en) * 2010-04-15 2014-01-28 Novellus Systems, Inc. Plasma activated conformal dielectric film deposition
US9611544B2 (en) * 2010-04-15 2017-04-04 Novellus Systems, Inc. Plasma activated conformal dielectric film deposition
US8357614B2 (en) 2010-04-19 2013-01-22 L'air Liquide Societe Anonyme Pour L'etude Et L'exploitation Des Procedes Georges Claude Ruthenium-containing precursors for CVD and ALD
US8343881B2 (en) * 2010-06-04 2013-01-01 Applied Materials, Inc. Silicon dioxide layer deposited with BDEAS
US8669185B2 (en) * 2010-07-30 2014-03-11 Asm Japan K.K. Method of tailoring conformality of Si-containing film
KR101147728B1 (ko) * 2010-08-02 2012-05-25 주식회사 유진테크 사이클릭 박막 증착 방법
US8945305B2 (en) 2010-08-31 2015-02-03 Micron Technology, Inc. Methods of selectively forming a material using parylene coating
US8524612B2 (en) * 2010-09-23 2013-09-03 Novellus Systems, Inc. Plasma-activated deposition of conformal films
WO2012057889A1 (en) * 2010-10-29 2012-05-03 Applied Materials, Inc. Atomic layer deposition film with tunable refractive index and absorption coefficient and methods of making
WO2012112553A1 (en) 2011-02-14 2012-08-23 Dionex Corporation Nanometer size chemical modified materials and uses
US8592005B2 (en) 2011-04-26 2013-11-26 Asm Japan K.K. Atomic layer deposition for controlling vertical film growth
US8664126B2 (en) 2011-06-10 2014-03-04 Applied Materials, Inc. Selective deposition of polymer films on bare silicon instead of oxide surface
TW201319299A (zh) 2011-09-13 2013-05-16 Applied Materials Inc 用於低溫電漿輔助沉積的活化矽前驅物
US8921228B2 (en) 2011-10-04 2014-12-30 Imec Method for selectively depositing noble metals on metal/metal nitride substrates
EP2823083B1 (en) * 2012-03-09 2023-10-04 Versum Materials US, LLC Methods for making silicon containing films on thin film transistor devices
US9337018B2 (en) * 2012-06-01 2016-05-10 Air Products And Chemicals, Inc. Methods for depositing films with organoaminodisilane precursors
EP2875166B1 (en) 2012-07-20 2018-04-11 L'Air Liquide Société Anonyme pour l'Etude et l'Exploitation des Procédés Georges Claude Organosilane precursors for ald/cvd silicon-containing film applications
US9041125B2 (en) 2013-03-11 2015-05-26 Taiwan Semiconductor Manufacturing Company, Ltd. Fin shape for fin field-effect transistors and method of forming
US9219007B2 (en) 2013-06-10 2015-12-22 International Business Machines Corporation Double self aligned via patterning
US9796739B2 (en) * 2013-06-26 2017-10-24 Versum Materials Us, Llc AZA-polysilane precursors and methods for depositing films comprising same
WO2014209327A1 (en) * 2013-06-27 2014-12-31 Intel Corporation Non-lithographically patterned directed self assembly alignment promotion layers
US10453675B2 (en) * 2013-09-20 2019-10-22 Versum Materials Us, Llc Organoaminosilane precursors and methods for depositing films comprising same
US20150111374A1 (en) 2013-10-18 2015-04-23 International Business Machines Corporation Surface treatment in a dep-etch-dep process
JP2015109192A (ja) 2013-12-04 2015-06-11 株式会社ジャパンディスプレイ 有機エレクトロルミネッセンス表示装置
US9214334B2 (en) 2014-02-18 2015-12-15 Lam Research Corporation High growth rate process for conformal aluminum nitride
US9873613B2 (en) 2014-02-28 2018-01-23 Functionalize, Inc. Nano or macro material functionalization and self assembled construction mediated by tris(trimethylsilyl)silane
US10047435B2 (en) 2014-04-16 2018-08-14 Asm Ip Holding B.V. Dual selective deposition
US9331094B2 (en) 2014-04-30 2016-05-03 Sandisk Technologies Inc. Method of selective filling of memory openings
US9881788B2 (en) 2014-05-22 2018-01-30 Lam Research Corporation Back side deposition apparatus and applications
TW201610204A (zh) 2014-07-26 2016-03-16 應用材料股份有限公司 矽碳氮氧化物的低溫分子層沉積
US9349637B2 (en) 2014-08-21 2016-05-24 Lam Research Corporation Method for void-free cobalt gap fill
US9627608B2 (en) 2014-09-11 2017-04-18 Lam Research Corporation Dielectric repair for emerging memory devices
JP6604596B2 (ja) * 2014-09-26 2019-11-13 インテル・コーポレーション 半導体デバイス用の選択的ゲートスペーサ
WO2016065221A1 (en) * 2014-10-24 2016-04-28 Air Products And Chemicals, Inc. Compositions and methods using same for deposition of silicon-containing films
JP6317232B2 (ja) 2014-10-29 2018-04-25 東京エレクトロン株式会社 選択成長方法および基板処理装置
WO2016138284A1 (en) * 2015-02-26 2016-09-01 Applied Materials, Inc. Methods for selective dielectric deposition using self-assembled monolayers
US11001599B2 (en) 2015-03-23 2021-05-11 Gelest Technologies, Inc. N-alkyl substituted cyclic and oligomeric perhydridosilazanes, methods of preparation thereof, and silicon nitride films formed therefrom
TWI717260B (zh) * 2015-05-01 2021-01-21 美商應用材料股份有限公司 使用表面封端化學性質的薄膜介電質之選擇性沉積
CN114121605A (zh) 2015-06-26 2022-03-01 应用材料公司 氧化硅膜的选择性沉积
US11421321B2 (en) 2015-07-28 2022-08-23 Asm Ip Holding B.V. Apparatuses for thin film deposition
US20170029948A1 (en) 2015-07-28 2017-02-02 Asm Ip Holding B.V. Methods and apparatuses for temperature-indexed thin film deposition
US10428421B2 (en) 2015-08-03 2019-10-01 Asm Ip Holding B.V. Selective deposition on metal or metallic surfaces relative to dielectric surfaces
US9859128B2 (en) 2015-11-20 2018-01-02 Applied Materials, Inc. Self-aligned shielding of silicon oxide
US10176984B2 (en) 2017-02-14 2019-01-08 Lam Research Corporation Selective deposition of silicon oxide
US10242866B2 (en) 2017-03-08 2019-03-26 Lam Research Corporation Selective deposition of silicon nitride on silicon oxide using catalytic control
US10043656B1 (en) 2017-03-10 2018-08-07 Lam Research Corporation Selective growth of silicon oxide or silicon nitride on silicon surfaces in the presence of silicon oxide
US9911595B1 (en) 2017-03-17 2018-03-06 Lam Research Corporation Selective growth of silicon nitride
US10559461B2 (en) 2017-04-19 2020-02-11 Lam Research Corporation Selective deposition with atomic layer etch reset
US10460930B2 (en) 2017-11-22 2019-10-29 Lam Research Corporation Selective growth of SiO2 on dielectric surfaces in the presence of copper

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
WO2023112585A1 (ja) * 2021-12-17 2023-06-22 株式会社Kokusai Electric 基板処理方法、基板処理装置、およびプログラム

Also Published As

Publication number Publication date
CN111373507A (zh) 2020-07-03
WO2019104209A1 (en) 2019-05-31
US20190157076A1 (en) 2019-05-23
KR20200079343A (ko) 2020-07-02
US10825679B2 (en) 2020-11-03
US20200013615A1 (en) 2020-01-09
US10460930B2 (en) 2019-10-29

Similar Documents

Publication Publication Date Title
JP2021504947A (ja) 銅存在下での誘電体表面上へのSiO2の選択的成長
KR102470304B1 (ko) 실리콘 옥사이드의 선택적인 증착
CN110402477B (zh) 在氧化硅存在下硅表面上氧化硅或氮化硅的选择性生长
CN108630524B (zh) 氮化硅的选择性生长
JP6918460B2 (ja) カルコゲナイド材料を封止する方法
TWI695082B (zh) 無氨無氯保形氮化矽膜的沉積方法
KR102453443B1 (ko) 하부 기판의 손상 없이 SiN 막의 습식 에칭 레이트를 감소시키는 방법
JP2018182322A (ja) 原子層エッチングのリセットを伴う選択的堆積
JP2018074145A (ja) 半導体パターニング用途のための高ドライエッチング速度材料
JP2018152560A (ja) 触媒制御を用いる酸化シリコン上への窒化シリコンの選択的堆積
US10651080B2 (en) Oxidizing treatment of aluminum nitride films in semiconductor device manufacturing
CN109791914B (zh) 用于互连结构的复合介电界面层
TWI798371B (zh) 使用水解之選擇性沉積
JP2023501371A (ja) 高周波電力増加によるプラズマ強化原子層堆積
CN113302716A (zh) 针对3d nand集成具有改善的蚀刻选择性的氮化物膜