JP2018085504A - Aldギャップ充填スペーサマスクを用いる自己整合型マルチパターニングプロセスフロー - Google Patents

Aldギャップ充填スペーサマスクを用いる自己整合型マルチパターニングプロセスフロー Download PDF

Info

Publication number
JP2018085504A
JP2018085504A JP2017216857A JP2017216857A JP2018085504A JP 2018085504 A JP2018085504 A JP 2018085504A JP 2017216857 A JP2017216857 A JP 2017216857A JP 2017216857 A JP2017216857 A JP 2017216857A JP 2018085504 A JP2018085504 A JP 2018085504A
Authority
JP
Japan
Prior art keywords
substrate
core material
spacer
gap filler
gap
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Pending
Application number
JP2017216857A
Other languages
English (en)
Other versions
JP2018085504A5 (ja
Inventor
アドリエン・ラボワ
Lavoie Adrien
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Lam Research Corp
Original Assignee
Lam Research Corp
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Lam Research Corp filed Critical Lam Research Corp
Publication of JP2018085504A publication Critical patent/JP2018085504A/ja
Publication of JP2018085504A5 publication Critical patent/JP2018085504A5/ja
Pending legal-status Critical Current

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76802Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics
    • H01L21/76816Aspects relating to the layout of the pattern or to the size of vias or trenches
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • H01L21/308Chemical or electrical treatment, e.g. electrolytic etching using masks
    • H01L21/3081Chemical or electrical treatment, e.g. electrolytic etching using masks characterised by their composition, e.g. multilayer masks, materials
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • H01L21/033Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers
    • H01L21/0334Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers characterised by their size, orientation, disposition, behaviour, shape, in horizontal or vertical plane
    • H01L21/0337Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers characterised by their size, orientation, disposition, behaviour, shape, in horizontal or vertical plane characterised by the process involved to create the mask, e.g. lift-off masks, sidewalls, or to modify the mask, e.g. pre-treatment, post-treatment
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/04Coating on selected surface areas, e.g. using masks
    • C23C16/042Coating on selected surface areas, e.g. using masks using masks
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/04Coating on selected surface areas, e.g. using masks
    • C23C16/045Coating cavities or hollow spaces, e.g. interior of tubes; Infiltration of porous substrates
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45527Atomic layer deposition [ALD] characterized by the ALD cycle, e.g. different flows or temperatures during half-reactions, unusual pulsing sequence, use of precursor mixtures or auxiliary reactants or activations
    • C23C16/45536Use of plasma, radiation or electromagnetic fields
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/56After-treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/02164Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material being a silicon oxide, e.g. SiO2
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/02274Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition in the presence of a plasma [PECVD]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/0228Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition deposition by cyclic CVD, e.g. ALD, ALE, pulsed CVD
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • H01L21/0271Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising organic layers
    • H01L21/0273Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising organic layers characterised by the treatment of photoresist layers
    • H01L21/0274Photolithographic processes
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • H01L21/033Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers
    • H01L21/0332Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers characterised by their composition, e.g. multilayer masks, materials
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • H01L21/308Chemical or electrical treatment, e.g. electrolytic etching using masks
    • H01L21/3083Chemical or electrical treatment, e.g. electrolytic etching using masks characterised by their size, orientation, disposition, behaviour, shape, in horizontal or vertical plane
    • H01L21/3085Chemical or electrical treatment, e.g. electrolytic etching using masks characterised by their size, orientation, disposition, behaviour, shape, in horizontal or vertical plane characterised by their behaviour during the process, e.g. soluble masks, redeposited masks
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • H01L21/308Chemical or electrical treatment, e.g. electrolytic etching using masks
    • H01L21/3083Chemical or electrical treatment, e.g. electrolytic etching using masks characterised by their size, orientation, disposition, behaviour, shape, in horizontal or vertical plane
    • H01L21/3086Chemical or electrical treatment, e.g. electrolytic etching using masks characterised by their size, orientation, disposition, behaviour, shape, in horizontal or vertical plane characterised by the process involved to create the mask, e.g. lift-off masks, sidewalls, or to modify the mask, e.g. pre-treatment, post-treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/31051Planarisation of the insulating layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31105Etching inorganic layers
    • H01L21/31111Etching inorganic layers by chemical means
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31144Etching the insulating layers by chemical or physical means using masks
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/32Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers using masks
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/50Assembly of semiconductor devices using processes or apparatus not provided for in a single one of the subgroups H01L21/06 - H01L21/326, e.g. sealing of a cap to a base of a container
    • H01L21/56Encapsulations, e.g. encapsulation layers, coatings
    • H01L21/563Encapsulation of active face of flip-chip device, e.g. underfilling or underencapsulation of flip-chip, encapsulation preform on chip or mounting substrate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76877Filling of holes, grooves or trenches, e.g. vias, with conductive material
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/02167Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material being a silicon carbide not containing oxygen, e.g. SiC, SiC:H or silicon carbonitrides
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/0217Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material being a silicon nitride not containing oxygen, e.g. SixNy or SixByNz
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02172Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides
    • H01L21/02175Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides characterised by the metal
    • H01L21/02186Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides characterised by the metal the material containing titanium, e.g. TiO2

Abstract

【課題】自己整合型の複数のパターニングプロセスのための対称的なスペーサを形成する方法および装置を提供する【解決手段】方法には、コア材314およびターゲット層305を含むパターニングされた基板の上に原子層堆積によってギャップ充填材338を堆積させることと、基板を平坦化することと、コア材をエッチングして対称的なスペーサを形成することとが含まれる。ギャップ充填材は、フィーチャがアンダーフィルされるように、フィーチャを完全に充填するには不十分な期間において堆積されてよい。【選択図】図3L

Description

高度集積回路の製作には、半導体の大量生産において小さなフィーチャのパターニングが含まれることが多い。マルチパターニング技術は、193nm液浸リソグラフィなどのリソグラフィ技術に基づいてフィーチャサイズのスケーリングが可能であってよい。自己整合型ダブルパターニングは、マルチパターニング技術の一例である。
本明細書では、半導体基板などの基板を処理する方法が提供される。一態様は基板を処理する方法を含み、その方法は、ギャップ形成するようにパターニングされたコア材と、ターゲット層とを有する基板を提供することと、ギャップ充填材が基板上のギャップに堆積するように、ギャップ充填材をコア材の上に共形に堆積させることと、基板を平坦化してギャップ充填材およびコア材を含む平面を形成することと、コア材を選択的にエッチングしてターゲット層をエッチングするためのマスクとして用いられる対称形状のスペーサを形成することとを含む。
様々な実施形態では、ギャップ充填材は、原子層堆積によって堆積される。いくつかの実施形態では、ギャップ充填材は、ギャップを完全に充填するには不十分な期間において原子層堆積によって堆積される。
いくつかの実施形態では、ギャップは約x[nm]未満の開口を有し、ギャップ充填材は、ギャップ充填材を約0.4×x[nm]から約0.5×x[nm]の間の厚さに堆積させるのに十分な期間において堆積する。いくつかの実施形態では、xは50であり、いくつかの実施形態では、xは50未満である。
いくつかの実施形態では、ギャップ充填材は、酸化シリコン、窒化シリコン、炭化シリコン、および、酸化チタンのいずれか1つである。
いくつかの実施形態では、マスクにおける対称形状のスペーサ間の臨界寸法は、約50nm未満である。対称形状のスペーサは、複数のパターニング技術に用いられてよい。いくつかの実施形態では、ギャップ充填材を堆積することと基板を平坦化することは、ピッチウォーキングを低減させる。様々な実施形態では、対称形状のスペーサは、ギャップ充填材を含む。
この方法は、また、ギャップ充填材を堆積させる前に基板の上に別の共形膜を堆積し、別の共形膜を異方的にエッチングしてコア材の側壁上に側壁スペーサを形成することを含んでもよい。様々な実施形態では、基板を選択的にエッチングして対称形状のスペーサを形成することは、側壁スペーサに対して選択的にコア材およびギャップ充填材を除去することを含む。基板を選択的にエッチングして対称形状のスペーサを形成することは、ギャップ充填材に対して選択的にコア材を除去することを含んでよい。
様々な実施形態では、コア材は、スピンオン炭素、ダイヤモンド状炭素、および/または、ギャップ充填アッシング可能ハードマスクのいずれかであってよい。
様々な実施形態では、ギャップ充填材を堆積させることは、基板をシリコン含有前駆体および酸化プラズマの交互パルスに曝露することを含む。
別の態様が含む方法は、マスクを形成するスペーサを含む基板を提供することであって、各スペーサは、スペーサの上面に垂直な側壁を備え、スペーサは、ギャップ充填材をコア材のギャップに共形に堆積させることによって形成されることと、ギャップ充填材およびコア材を平坦化して平面を形成することと、コア材を選択的に除去することと、スペーサをマスクとして用いてターゲット層をエッチングすることとを含む。様々な実施形態では、マスクは約50nm未満のピッチを有する。
様々な実施形態では、ギャップ充填材は、原子層堆積によって堆積される。いくつかの実施形態では、ギャップ充填材は、ギャップを完全に充填するには不十分は期間において原子層堆積によって堆積される。
いくつかの実施形態では、ギャップ充填材は、酸化シリコン、窒化シリコン、炭化シリコン、および、酸化チタンからなる群より選択される。
対称形状のスペーサは、複数のパターニング技術に用いられてよい。いくつかの実施形態では、ギャップ充填材を堆積させることと基板を平坦化することとは、ピッチウォーキングを低減させる。様々な実施形態では、対称形状のスペーサは、ギャップ充填材を含む。
様々な実施形態では、コア材は炭素を含む。コア材は、スピンオン炭素、ダイヤモンド状炭素、および/または、ギャップ充填アッシング可能ハードマスクのいずれかであってよい。
様々な実施形態では、ギャップ充填材を堆積させることは、基板をシリコン含有前駆体および酸化プラズマの交互パルスに曝露することを含む。
別の態様が含む方法は、マスクを形成するスペーサを含む基板を提供することであって、各スペーサは、90°±5°の角度でスペーサの上面に交わる側壁を備え、スペーサは、ギャップ充填材をコア材のギャップに共形に堆積させることによって形成されることと、ギャップ充填材およびコア材を平坦化して平面を形成することと、コア材を選択的に除去することと、スペーサをマスクとして用いてターゲット層をエッチングすることとを含む。
様々な実施形態では、ギャップ充填材は、原子層堆積によって堆積される。いくつかの実施形態では、ギャップ充填材は、ギャップを完全に充填するには不十分な期間において原子層堆積によって堆積される。
いくつかの実施形態では、ギャップ充填材は、酸化シリコン、窒化シリコン、炭化シリコン、および、酸化チタンのいずれかである。
対称形状のスペーサは、複数のパターニング技術に用いられてよい。いくつかの実施形態では、ギャップ充填材を堆積させることと基板を平坦化することとは、ピッチウォーキングを低減させる。様々な実施形態では、対称形状のスペーサは、ギャップ充填材を含む。
様々な実施形態では、コア材は炭素を含む。コア材は、スピンオン炭素、ダイヤモンド状炭素、および/または、ギャップ充填アッシング可能ハードマスクのいずれかであってよい。
様々な実施形態では、ギャップ充填材を堆積させることは、基板をシリコン含有前駆体および酸化プラズマの交互パルスに曝露することを含む。
別の態様は、基板をパターニングする装置を含み、この装置は、1つ以上のプロセスチャンバと、1つ以上のプロセスチャンバおよび関連する流量制御ハードウェアへの1つ以上のガス流入口と、低周波無線周波数(LFRF)発生器と、高周波無線周波数(HFRF)発生器と、少なくとも1つのプロセッサおよびメモリを有するコントローラとを備え、少なくとも1つのプロセッサおよびメモリは、互いに通信可能に接続され、少なくとも1つのプロセッサは、流量制御ハードウェア、LFRF発生器、および、HFRF発生器と少なくとも動作可能に接続され、メモリは、流量制御ハードウェア、HFRF発生器、および、LFRF発生器を少なくとも制御するように少なくとも1つのプロセッサを制御するためのコンピュータ実行可能な命令を記憶して:ギャップ充填材前駆体を導入してターゲット層を含む基板のコア材の上にギャップ充填材を共形に堆積させ、コア材はギャップを形成するためにパターニングされ、堆積はギャップ充填材が基板上のギャップに堆積するように行われ、基板を平坦化してギャップ充填材およびコア材を含む平面を形成し、コア材を選択的にエッチングしてターゲット層をエッチングするためのマスクとして用いられる対称形状のスペーサを形成する。
別の態様は、基板をパターニングする装置を含み、この装置は、1つ以上のプロセスチャンバと、1つ以上のプロセスチャンバおよび関連する流量制御ハードウェアへの1つ以上のガス流入口と、低周波無線周波数(LFRF)発生器と、高周波無線周波数(HFRF)発生器と、少なくとも1つのプロセッサおよびメモリを有するコントローラとを備え、少なくとも1つのプロセッサおよびメモリは、互いに通信可能に接続され、少なくとも1つのプロセッサは、流量制御ハードウェア、LFRF発生器、および、HFRF発生器と少なくとも動作可能に接続され、メモリは、流量制御ハードウェア、HFRF発生器、および、LFRF発生器を少なくとも制御するように少なくとも1つのプロセッサを制御するためのコンピュータ実行可能な命令を記憶して:マスクを形成するスペーサを含む基板を1つ以上のプロセスチャンバに搬送し、各スペーサは、スペーサの上面に垂直な側壁を備え、スペーサは、ギャップ充填材をコア材のギャップに共形に堆積させることによって形成され、充填材およびコア材を平坦化して平面を形成し、コア材を選択的に除去し、スペーサをマスクとして用いてターゲット層をエッチングする。様々な実施形態では、マスクは約50nm未満のピッチを有する。
別の態様は、基板をパターニングする装置を含み、この装置は、1つ以上のプロセスチャンバと、1つ以上のプロセスチャンバおよび関連する流量制御ハードウェアへの1つ以上のガス流入口と、低周波無線周波数(LFRF)発生器と、高周波無線周波数(HFRF)発生器と、少なくとも1つのプロセッサおよびメモリを有するコントローラとを備え、少なくとも1つのプロセッサおよびメモリは、互いに通信可能に接続され、少なくとも1つのプロセッサは、流量制御ハードウェア、LFRF発生器、および、HFRF発生器と少なくとも動作可能に接続され、メモリは、流量制御ハードウェア、HFRF発生器、および、LFRF発生器を少なくとも制御するように少なくとも1つのプロセッサを制御するためのコンピュータ実行可能な命令を記憶して:マスクを形成するスペーサを含む基板を1つ以上のプロセスチャンバに搬送し、各スペーサは、90°±5°の角度でスペーサの上面に交わる側壁を備え、スペーサは、ギャップ充填材をコア材のギャップに共形に堆積させることによって形成され、充填材およびコア材を平坦化して平面を形成し、コア材を選択的に除去し、スペーサをマスクとして用いてターゲット層をエッチングする。
これらの態様および他の態様は、図面を参照して以下にさらに説明される。
クアッドパターニングスキームの一例における基板の概略図。 クアッドパターニングスキームの一例における基板の概略図。 クアッドパターニングスキームの一例における基板の概略図。 クアッドパターニングスキームの一例における基板の概略図。 クアッドパターニングスキームの一例における基板の概略図。 クアッドパターニングスキームの一例における基板の概略図。 クアッドパターニングスキームの一例における基板の概略図。 クアッドパターニングスキームの一例における基板の概略図。 クアッドパターニングスキームの一例における基板の概略図。 クアッドパターニングスキームの一例における基板の概略図。
特定の開示の実施形態に従って実施された方法の動作を表すプロセスフロー図。
特定の開示の実施形態に従って実施されたパターニングスキームの一例における基板の概略図。 特定の開示の実施形態に従って実施されたパターニングスキームの一例における基板の概略図。 特定の開示の実施形態に従って実施されたパターニングスキームの一例における基板の概略図。 特定の開示の実施形態に従って実施されたパターニングスキームの一例における基板の概略図。 特定の開示の実施形態に従って実施されたパターニングスキームの一例における基板の概略図。 特定の開示の実施形態に従って実施されたパターニングスキームの一例における基板の概略図。 特定の開示の実施形態に従って実施されたパターニングスキームの一例における基板の概略図。
特定の開示の実施形態に従ってフィーチャをアンダーフィルするための例示的なスキームにおける基板の概略図。 特定の開示の実施形態に従ってフィーチャをアンダーフィルするための例示的なスキームにおける基板の概略図。
特定の開示の実施形態に従って実施されたパターニングスキームの一例における基板の概略図。 特定の開示の実施形態に従って実施されたパターニングスキームの一例における基板の概略図。 特定の開示の実施形態に従って実施されたパターニングスキームの一例における基板の概略図。 特定の開示の実施形態に従って実施されたパターニングスキームの一例における基板の概略図。 特定の開示の実施形態に従って実施されたパターニングスキームの一例における基板の概略図。 特定の開示の実施形態に従って実施されたパターニングスキームの一例における基板の概略図。
特定の開示の実施形態に従ってフィーチャをアンダーフィルするための例示的なスキームにおける基板の概略図。 特定の開示の実施形態に従ってフィーチャをアンダーフィルするための例示的なスキームにおける基板の概略図。 特定の開示の実施形態に従ってフィーチャをアンダーフィルするための例示的なスキームにおける基板の概略図。
特定の開示の実施形態を実施するための例示的なプロセスチャンバの概略図。 特定の開示の実施形態を実施するための例示的なプロセスツールの概略図。
特定の開示の実施形態を実施するための例示的なプロセスチャンバの概略図。 特定の開示の実施形態を実施するための例示的なプロセスツールの概略図。
以下の説明では、本実施形態の十分な理解を提供するために多くの特定の詳細が記載される。開示の実施形態は、これらの特定の詳細の一部または全てなしで実行されてよい。他の例では、周知のプロセス動作は、開示の実施形態を不必要に曖昧にしないように詳細には説明されていない。開示の実施形態は特定の実施形態と併せて説明されるが、開示の実施形態を限定する意図はないことが理解されるだろう。
多くの半導体製造プロセスでは、パターニング方法が用いられる。特に、マルチパターニングは、その光学的限界を超えてリソグラフィ技術を拡充するのに用いられてきた。ダブルパターニングおよびクアッドパターニングは、その光学的限界を超えてリソグラフィ技術を拡充するのに用いられる例示的な技術であり、ダブルパターニングは、今や業界内で約80nm未満のピッチに広く用いられている。現在のダブルパターニング技術は、トレンチをパターニングするために2つのマスキングステップを伴う側壁スペーサを用いることが多い。ポジ型およびネガ型両方のダブルパターニングプロセスにおけるダブルパターニング(特に、ラインパターニング)の方法は、スペーサおよびマスクの使用を含んでいる。スペーサは、パターニングされたコア上にプラズマ強化原子層堆積(PEALD)によって堆積され、より小さいピッチのパターンを形成するのに用いられてよい。装置が小型化し、ピッチが縮小するにつれて、スペーサの傾き、ラインの曲がり、および、パターニングされたスペーサの潰れなどの問題が起こり、その結果、装置の故障を引き起こす可能性がある。特に、コア層が焼成されて除去されるときに、スペーサの傾きによるピッチウォーキングが見られる。
図1A〜図1Jは、ピッチウォーキングをもたらすクアッドパターニングスキームにおける基板の例示的な概略図である。図1Aは、第2のコア103、ターゲット層105、および、下層107の上に、リソグラフィによって画定されたまたはパターニングされた第1のコア101を有する基板を示す。当業者は、本明細書で説明される半導体処理に適した多層スタックには、エッチング停止層、キャップ層、および、他の下層などの他の層も含まれてよいことを理解するだろう。
パターニングされた第1のコア101は、フォトレジストであってよい、または、非晶質炭素材もしくは非晶質シリコン材を含んでよい。パターニングされた第1のコア101は、プラズマ強化化学気相堆積(PECVD)などの任意の適した堆積技術によって第2のコア103の上に堆積されてよく、堆積技術には、堆積チャンバ内で炭化水素前駆体を含む堆積ガスからプラズマを生成することが含まれてよい。炭化水素前駆体は、化学式Cxy(xは2から10の間の整数、yは2から24の間の整数)によって定義されてよい。例には、メタン(CH4)、アセチレン(C22)、エチレン(C24)、プロピレン(C36)、ブタン(C410)、シクロヘキサン(C612)、ベンゼン(C66)、および、トルエン(C78)が含まれる。高周波(HF)電力および低周波(LF)電力を含む二重無線周波数(RF)プラズマ源が用いられてよい。
第2のコア103の下にはターゲット層105がある。ターゲット層105は、最終的にパターニングされる層であってよい。ターゲット層105は、半導体、誘電体、または、他の層であってよく、例えば、シリコン(Si)、酸化シリコン(SiO2)、窒化シリコン(SiN)、または、窒化チタン(TiN)からなってよい。ターゲット層105は、原子層堆積(ALD)、PEALD、化学気相堆積(CVD)、または、他の適した堆積技術によって堆積されてよい。
図1Bでは、第1の共形膜109がパターニングされた第1のコア101の上に堆積されている。第1の共形膜109は、いくつかの実施形態では、ALDまたはPEALDによって堆積されてよい。第1の共形膜109は異方的にエッチングされて、図1Cに示されるような第1のスペーサ119が形成される。第1のスペーサ119は、酸化シリコン(SiO2)もしくは酸化チタン(TiO2)などの酸化物、または、窒化シリコン(SiN)などの窒化物であってよい。第1のスペーサ119のパターンは、次の層をパターニングするのに用いられる。本明細書で用いられる「スペーサ」との単語は、コア材に隣接するマスク材を意味することが理解されるだろう。
図1Dでは、パターニングされた第1のコア101が選択的にエッチングされて、基板上に自立する第1のスペーサ119が残される。本明細書で用いられる選択的除去または選択的エッチングは、ある材料の別の材料に対する選択的なエッチングとして定義される。例えば、図1Dでは、パターニングされた第1のコアは、第1のスペーサ119に対して選択的にエッチングされる。いくつかの実施形態では、第1の材料が第2の材料に選択的にエッチングされる場合、一定期間に第1の材料が第2の材料より多くエッチングされるように、第1の材料のエッチング速度は第2の材料のエッチング速度より速いことが理解されるだろう。
第1のスペーサ119のパターンは、約50nmのピッチを有してよい。臨界寸法がかなり大きいため、これより大きい臨界寸法ではピッチウォーキングのリスクはほとんどない。
図1Eでは、第2のコア層103がパターニングされたスペーサ119をマスクとして用いてエッチングされることで、パターンが第2のコア層103に転写されて、パターニングされた第2のコア113が形成される。パターニングされた第2のコア113は、第2のコア層103をエッチングするのに適しているがパターニングされたスペーサ119をエッチングするのには適さない化学物質を用いてエッチングされてよい。パターニングされた第2のコア113は、非晶質炭素層、非晶質シリコン層、または、ポリメタクリル酸メチル、ポリメチルグルタルイミド(PMGI)、もしくは、フェノールホルムアルデヒド樹脂などのフォトレジストであってよい。
図1Fでは、第1のスペーサ119が選択的に除去されて、パターニングされた第2のコア113が残される。一例では、スペーサは、CHF3および/またはCF4を流入させることによって除去されてよい。
図1Gでは、第2の共形膜120が、パターニングされた第2のコア113の上に堆積される。第2の共形膜120は、ALDまたはPEALDによって堆積された誘電材料であってよい。例えば、いくつかの実施形態では、第2の共形膜120は酸化シリコンであってよい。いくつかの実施形態では、第2の共形膜120は、第1の共形膜109と同様または類似の組成物を有してよい。
図1Hでは、第2の共形膜120が異方的にエッチングされて、パターニングされた第2のコア113の側面に位置する第2のスペーサ121が形成される。図1Iでは、パターニングされた第2のコア113が選択的に除去されて、自立する第2のスペーサ125が残される。ここで、パターニングされた第2のコア113は、図1Dにおいてパターニングされた第1のコア101のエッチングと同様または類似の化学物質を用いてエッチングされてよい。
図1Jでは、第2のスペーサ125のパターンがターゲット層105に転写されるときは、パターニングされたターゲット層115は、全て異なる値であってよい様々な臨界寸法α、β、および、γを有する。スペーサ125の非対称性は、ターゲット層を形成するための不均一なエッチングを招き、それによってピッチウォーキングが起こる。非対称なスペーサ125を用いるターゲット層105のエッチングによって、ターゲット層の斜めエッチングを引き起こすエッチング種のイオン角度分布が起こる。パターニングされたコア材の除去中のドライエッチング条件がシャドーイング効果をもたらすため、このプロセスは部分的にピッチウォーキングを引き起こす。
現在のパターニングスキームおよび技術は、ピッチウォーキングなしでターゲット層をエッチングするのに用いられうるスペーサを形成するには不十分である。本明細書で提供されるのは、原子層堆積ギャップ充填技術を用いて対称的なスペーサを形成するための方法および装置である。対称的なスペーサは、ターゲット層をエッチングするためのスペーサのマスクとしての使用がピッチウォーキングをもたらさないように、および/または、ピッチウォーキングを低減させるように、改善された上部形状を提供する。対称的なスペーサは、約90°±5°の点で交わる鋭利な角部を含む。開示の実施形態は、スペーサの上面に垂直な、または、実質的に垂直な側壁を有するスペーサを形成する。実質的に垂直とは、本明細書では、90°±5°の角度を有するとして定義される。方法は、ALDを用いてパターニングされた基板の上のフィーチャを充填、または、部分的に充填して基板上に被りを形成することと、基板を平坦化することと、ギャップ充填材およびコア材を除去して対称的なスペーサを形成することとを含む。対称的とは、本明細書では、コア材が除去されて自立するスペーサが残った後に、スペーサの両側に実質的に同じ形状を有することとして定義される。例えば、対称的なスペーサは、スペーサの上部水平面から90°または約90°に配向されたスペーサの垂直面を備える平坦な上部形状を有してよい。
図2は、特定の開示の実施形態に従って実施される方法の動作を表すプロセスフロー図である。図2の1つ以上の動作は、様々な実施形態で実施されてよい。いくつかの実施形態では、図2で説明される動作の1つのみが実施される。例えば、いくつかの実施形態では、マスクとして形成された対称的なスペーサを備える基板が提供され、マスクを用いてターゲット層をエッチングするために動作215のみが実施される。別の例では、基板が提供され、コア材を除去して対称的なスペーサをマスクとして形成するために動作211のみが用いられる。これらの実施形態および他の実施形態は、図2に関連して説明されたいずれか1つ以上の動作を用いて実施されてよいことが理解されるだろう。
動作201では、パターニングされたコア材およびターゲット層を有する基板が提供される。パターニングされたコア材は、フォトレジストであってよい、または、非晶質炭素材もしくは非晶質シリコン材からなってよい。いくつかの実施形態では、コア材は透明であってよい。コア材は、プラズマ強化化学気相堆積(PECVD)などの堆積技術によって堆積され、その堆積技術には、基板を収容する堆積チャンバ内で炭化水素前駆体を含む堆積ガスからプラズマを生成することが含まれてよい。炭化水素前駆体は、化学式Cab(aは2から10の間の整数、bは2から24の間の整数)によって定義されてよい。例には、メタン(CH4)、アセチレン(C22)、エチレン(C24)、プロピレン(C36)、ブタン(C410)、シクロヘキサン(C612)、ベンゼン(C66)、および、トルエン(C78)が含まれる。高周波(HF)電力および低周波(LF)電力を含む二重周波数(RF)プラズマ源が用いられてよい。コア材は、パターニングされる前にターゲット層の上に堆積される。ターゲット層は、最終的にパターニングされる層であってよい。ターゲット層は、半導体、誘電体、または、他の層であってよく、例えば、シリコン(Si)、酸化シリコン(SiO2)、窒化シリコン(SiN)、または、窒化チタン(TiN)からなってよい。ターゲット層は、原子層堆積(ALD)、プラズマ強化ALD(PEALD)、化学気相堆積(CVD)、または、別の適した堆積技術によって堆積されてよい。
動作203では、スペーサ材を含む共形膜がパターニングされたコア材の上に堆積され、共形膜が異方的にエッチングされてパターニングされたコア材の側壁に水平なスペーサが形成される。図3Aは、下層307、ターゲット層305、パターニングされたコア材313、および、スペーサ321を含む例示的な基板の概略図を提供する。これは、図2の動作203実施後の基板上のパターンの例である。動作203は、図3J〜図3Oに関連して以下に説明されるように、いくつかの実施形態では任意であってよい。
図2に戻ると、動作206では、ギャップ充填材がALDによって基板の上に堆積される。ギャップ充填材は、シリコン含有材であってよい、または、いくつかの実施形態では、チタン含有材であってよい。例には、酸化シリコン、窒化シリコン、炭化シリコン、および、酸化チタンが含まれる。ギャップ充填材は、約50℃から約400℃の間、または、約100℃未満(例えば、約50℃)などの任意の適した温度で堆積されてよい。ギャップ充填材は、ビス(ジエチルアミノ)シラン、ビス(tert−ブチルアミノ)シラン、および、ジイソプロピルアミノシランなどの任意の適した前駆体を用いて堆積されてよい。
ギャップ充填材は、ALDを用いて共形に堆積される。ALDは、一連の自己制限反応を用いて材料の薄膜を堆積させる技術である。ALDプロセスは、表面媒介堆積反応を用いて膜を周期的に一層ベースで堆積させる。例として、ALDサイクルは次の動作を含んでよい:(i)前駆体の供給/吸着、(ii)チャンバからの前駆体のパージ、(iii)第2の反応剤の供給および任意でのプラズマ点火、および(iv)チャンバからの副生成物のパージ。基板の表面に膜を形成するための第2の反応剤と吸着した前駆体との間の反応は、膜の組成物および性質(不均一性、圧力、ウェットエッチング速度、ドライエッチング速度、電気特性(例えば、耐圧および漏洩電流)など)に影響を与える。
ALDプロセスの一例では、表面活性部位群を含む基板表面は、基板を収容するチャンバに供給された量でシリコン含有前駆体などの第1の前駆体の気相分布に曝露される。この第1の前駆体の化学吸着種、および/または、物理吸着分子を含む第1の前駆体の分子は、基板表面上に吸着する。本明細書に説明されるように化合物が基板表面に吸着するときは、吸着層は、化合物だけでなく化合物の派生物も含んでよいことを理解されたい。例えば、シリコン含有前駆体の吸着層は、シリコン含有前駆体だけでなくシリコン含有前駆体の派生物も含んでよい。第1の前駆体の投与後、チャンバは次に、主に吸着種または吸着種のみが残るように、気相に残る第1の前駆体のほとんどまたは全てを除去するように排気される。いくつかの実施形態では、チャンバは完全に排気されなくてもよい。例えば、リアクタは、気相中の第1の前駆体の分圧が反応を緩和するのに十分なほど低くなるように排気されてよい。酸素含有ガスなどの第2の反応剤は、これらの分子のいくつかが表面に吸着した第1の前駆体と反応するようにチャンバに導入される。いくつかのプロセスでは、第2の反応剤は、吸着した第1の前駆体と直ちに反応する。他の実施形態では、第2の反応剤は、プラズマなどの活性源が時間的に印加された後にのみ反応する。チャンバは次に、第2の反応剤の未結合分子を除去するために再び排気されてよい。上述のように、いくつかの実施形態では、チャンバは完全には排気されなくてもよい。膜厚を増やすために追加のALDサイクルが用いられてよい。
いくつかの実施形態では、ALD法はプラズマ活性化を含む。本明細書で説明されるように、本明細書で説明されるALD法および装置は、共形膜堆積(CFD)であってよく、2011年4月11日出願の「PLASMA ACTIVATED CONFORMAL FILM DEPOSITION」と題した米国特許出願第13/084,399号(現在は米国特許第8,728,956号)、および、2011年4月11日出願の「SILICON NITRIDE FILMS AND METHODS」と題した米国特許出願第13/084,305号に一般に記載されており、それらの全てが参照により本明細書に援用される。
酸化シリコンギャップ充填材の堆積のために、基板は以下のようにサイクルに曝露されてよい。シリコン含有前駆体は、シリコン含有前駆体を基板表面に吸着させるために導入される。開示の実施形態に従う使用に適したシリコン含有前駆体は、ポリシラン誘導体(H3Si−(SiH2n−SiH3)(n≧0)を含む。シラン誘導体の例は、シラン(SiH4)、ジシラン(Si26)、ならびに、メチルシラン、エチルシラン、イソプロピルシラン、t−ブチルシラン、ジメチルシラン、ジエチルシラン、ジ−t−ブチルシラン、アリルシラン、sec‐ブチルシラン、テキシルシラン、イソアミルシラン、t−ブチルジシラン、ジ−t−ブチルジシランなどのオルガノシランである。
ハロシランは、少なくとも1つのハロゲン基を含み、水素および/または炭素基を含んでも含まなくてもよい。ハロシランの例は、ヨードシラン、ブロモシラン、クロロシラン、および、フルオロシランである。プラズマが生成されるときに、ハロシラン、特にフルオロシランは、シリコン材をエッチングできる反応性ハロゲン化合物種を形成してよいが、いくつかの実施形態では、プラズマが生成されるときにハロシランはチャンバに導入されなくてもよいため、ハロシランからの反応性ハロゲン化合物種の形成は低減されてよい。特定のクロロシランは、テトラクロロシラン、トリクロロシラン、ジクロロシラン、モノクロロシラン、クロロアリルシラン、クロロメチルシラン、ジクロロメチルシラン、クロロジメチルシラン、エチルクロロシラン、t−ブチルクロロシラン、ジ−t−ブチルクロロシラン、クロロイソプロピルシラン、クロロ−sec−ブチルシラン、t−ブチルジメチルクロロシラン、テキシルジメチルクロロシランなどである。
アミノシランは、ケイ素原子に結合した少なくとも1つの窒素原子を含むが、水素、酸素、ハロゲン、および、炭素を含んでもよい。アミノシランの例には、モノ−、ジ−、トリ−、および、テトラ−アミノシラン(それぞれ、H3Si(NH2)、H2Si(NH22、HSi(NH23、Si(NH24)だけでなく、置換モノ、置換ジ−、置換トリ−、および、置換テトラ−アミノシラン(例えば、t−ブチルアミノシラン、メチルアミノシラン、tert−ブチルシランアミン、ビス(tert−ブチルアミノ)シラン(SiH2(NHC(CH332(BTBAS)、tert−ブチルシリルカルバメート、SiH(CH3)−(N(CH322、SiHCl−(N(CH322、(Si(CH32NH)3など)が含まれる。アミノシランのさらなる例は、トリシリルアミン(N(SiH3))である。
処理されている基板を収容するチャンバは、基板表面に吸着されない前駆体を除去するためにパージされてよい。チャンバのパージは、他の動作で用いられるキャリアガスもしくは異なるガスであるパージガスまたはスイープガスを流入させることを含んでよい。例示的なパージガスには、アルゴン、窒素、水素、および、ヘリウムが含まれる。様々な実施形態では、パージガスは不活性ガスである。例示的な不活性ガスには、アルゴン、窒素、および、ヘリウムが含まれる。いくつかの実施形態では、パージはチャンバの排気を含む。いくつかの実施形態では、パージは、プロセスチャンバを排気するための1つ以上の排気サブフェーズを含んでよい。その代わりに、いくつかの実施形態では、パージが省略されてよいことが理解されるだろう。
シリコン含有前駆体の吸着層を備える基板は酸化体に曝露され、酸化プラズマを用いて吸着前駆体を酸化シリコンに変換する条件下でプラズマが点火される。例示的な酸化体には、酸素ガス、水、二酸化炭素、亜酸化窒素、および、それらの組み合わせが含まれる。様々な実施形態では、基板は、プラズマの点火中に、同時に酸化体および不活性ガスに曝露される。例えば、一実施形態では、酸素およびアルゴンの混合物は、プラズマの点火中に基板に導入される。次に、酸化シリコンを形成するための反応から発生する未反応の酸化体および/または副生成物を除去するために、チャンバは再びパージされてよい。これらの投与、パージ、変換、パージの動作は複数サイクル繰り返されて、基板の上に共形に一層ずつギャップ充填材を堆積させてよい。
図3Bは、基板の上に共形に堆積されているギャップ充填材395の例示的な概略図を示す。より多くのサイクルが実施されるにつれて、スペーサ321の間のフィーチャは充填され、図3Cに示されるように側壁に堆積した材料が交わる継ぎ目360が形成されてよい。図3Cは、パターニングされたコア材313の上面の上の被りを有するように堆積されたギャップ充填材396を含む。いくつかの実施形態では、図2の動作205におけるギャップ充填材は、フィーチャをアンダーフィルするように、または、ギャップを完全に充填するには不十分な期間において堆積するように、多数のサイクルで実施されてよい。アンダーフィルについては、図3Hおよび図3Iに関連して以下にさらに説明される。いくつかの実施形態では、完全充填およびアンダーフィル両方の実施形態を示す図3J〜図3Oに関連して以下に説明されるように、動作205で堆積されたギャップ充填材は、対称的なスペーサを形成するための材料として用いられる。
図2に戻ると、動作207では、ギャップ充填材は、平坦面を形成するためにエッチバックされる。エッチバックまたは平坦化は、ウェットエッチングプロセス、ドライエッチングプロセス、または、化学機械平坦化(CMP)を用いて実施されてよい。様々な実施形態では、動作207は、ギャップ充填材、スペーサ材、および、パターニングされたコアにわたって平坦面を形成するように平坦化することをさらに含む。図3Dには、エッチングされたギャップ充填材399、スペーサ材325、および、コア材313が平坦化されている例が提供されている。エッチングされたギャップ充填材399に残った小さい継ぎ目360があってよいことに注意されたい。
別の実施形態では、基板上のフィーチャがアンダーフィルされるようにギャップ充填材を堆積させるために、図2の動作205が実施される。例えば、ALDの堆積サイクルは、側壁に堆積した膜の間の空間距離が約5nmから約50nmの間になるように、多数のサイクルで繰り返されてよい。アンダーフィルの実施形態を示す図3Bに続いてよい例が図3Hに示されている。微小ギャップ380がフィーチャの中央に残る図3Hに示されるように基板がアンダーフィルされるまで、ギャップ充填材は、図3BではALDによって基板の上に共形に堆積される。堆積されるギャップ充填材の量は、側壁に十分な厚さを少なくとも提供するように堆積された量に依存する。いくつかの実施形態では、フィーチャは、約x[nm]未満のフィーチャ開口部を有し、ギャップ充填材は、ギャップ充填材が約0.4×x[nm]から約0.5×x[nm]の間の厚さに堆積するのに十分な期間において堆積される。いくつかの実施形態では、フィーチャは、約5nm未満のフィーチャ開口部を有し、ギャップ充填材は、ギャップ充填材が約2nmから約2.5nmの間の厚さに堆積するのに十分な期間において堆積される。例えば、ギャップ充填材は、約50nmのフィーチャ開口部を有するフィーチャに対して約20nmから約30nmの間の厚さに堆積されてよい。フィーチャを完全に充填して図3Bに示されるような継ぎ目を形成する代わりに、基板は動作207でエッチバックされて、それでもなお微小ギャップ360を有するエッチングされたギャップ充填材398を形成してよい。しかし、ギャップ充填材は、対称的なスペーサ325を形成するために用いられる犠牲層であるため、いくつかの実施形態では、ギャップ充填材は、動作205において完全に充填されるのではなくアンダーフィルされてよい。
図2に戻ると、動作209では、ギャップ充填材は選択的に除去されて、基板上にパターニングされたコア材およびスペーサ材が残される。図3Eに例が提供されている。図のように、ギャップ充填材は除去されて、ターゲット層305の上にコア材313および側壁スペーサ325が生成される。ギャップ充填材が対称的なスペーサを形成するのに用いられる実施形態では、この動作は実施されないことに注意されたい。
図2に戻ると、動作211では、コア材が選択的にエッチングされて、対称的なスペーサがマスクとして形成される。図3Fに例が提供されている。図のように、スペーサ325は、図1Iに示されるスペーサ125と比べて対称的であり傾いていない。
図2に戻ると、動作215では、対称的なスペーサをマスクとして用いてターゲット層がエッチングされる。図3Gに例が提供されており、エッチングされたターゲット層315は、図1Jで生じた様々な臨界寸法と比べて一貫した臨界寸法αを有する。
図3J〜図3Rは、特定の開示の実施形態を実施するための別の実施形態を提供する。例えば、図3J〜図3Oは、図2の動作203が実施されないように基板の上にギャップ充填材を直接堆積させることによって、ギャップ充填材を用いて自立する対称的なスペーサを形成することを含む。図3P〜図3Rは、アンダーフィルを含む別の実施形態の例を示し、そこでは、図2の動作203が実施されず、ターゲット層をパターニングするためにアンダーフィルされたギャップ充填材がスペーサとして用いられる。これらの実施形態は、以下にさらに詳細に説明される。
図3Jでは、下層307、ターゲット層305、および、パターニングされたコア314を含む基板が提供される。図3Kでは、ギャップ充填材337が原子層堆積を用いて基板の上に共形に堆積される。例示的な用いられてよいギャップ充填材および用いられてよい堆積技術は、図2の動作205に関連して上述されている。図3Lでは、パターニングされたコア314の間のフィーチャまたはギャップが完全に充填されることによって、側壁の堆積材が交わる継ぎ目361の形成をもたらすまで、ギャップ充填材338が堆積されている。いくつかの実施形態では、図3P〜図3Rに関連して以下に説明されるように、フィーチャの中間に微小ギャップを残すようにアンダーフィルが実施されてよい。
図3Mでは、基板が平坦化されて、たった今平坦化されたコア317およびギャップ充填材339を含む平坦面が生成される。ギャップ充填材339には継ぎ目361がまだ存在してよいことに注意されたい。図2に関連して上述されたように、平坦化は動作207に従って実施されてよい。図3Nでは、平坦化されたコア材317が選択的にエッチングされて、各々がいまだ継ぎ目361を有してよい自立する対称的なスペーサ339が残される。コア材は、図2の動作211に関連して上述したように除去されてよい。図3Oでは、対称的なスペーサがマスクとして用いられてターゲット層305をエッチングし、次にマスクが除去されて、パターニングされたターゲット層335が生成される。ターゲット層をエッチングするのに用いられたエッチング種のイオン角度分布は、ターゲット層を効果的にエッチングして一貫した臨界寸法βを有するパターンを生成できることに注意されたい。
図3P〜図3Rは、ギャップ充填材を対称的なスペーサマスクとして用いながらフィーチャをアンダーフィルするための別の実施形態を提供する。例えば、図3Kの基板は複数サイクルの原子層堆積が施されてフィーチャを部分的に充填することによって、フィーチャ内にギャップ365が残されてよい。基板は図2の動作207によって平坦化されて、ギャップ充填材378のフィールド領域がコア材313のフィールド領域と面一になる平坦面を有する図3Pに示される基板が生成されてよい。図3Qでは、コア材は図2の動作211によって選択的に除去されて、各々がフィーチャのアンダーフィルでいまだギャップ365を備えてよい自立する対称的なスペーサ378が生成される。スペーサ378は、ターゲット層305をパターニングするのに用いられてよく、スペーサ378が対称的であるため、ターゲット層305をエッチングするのに用いられるエッチング種のイオン角度分布は角度エッチングを引き起こさず、そのため一貫した臨界寸法βを有するパターニングされたターゲット層345が形成される。
特定の開示の実施形態は、ギャップ充填材が約2nmから約200nmの間の臨界寸法を有する既存のフィーチャに堆積する用途に適している。開示の実施形態は、20nm未満のノードでの用途に適している。開示の実施形態は、ギャップ充填材が約20:1を超えるアスペクト比を有する既存のフィーチャに堆積するパターニングされた基板を形成するのにも適している。
装置:
図4は、プロセスチャンバ402を有する原子層堆積(ALD)プロセスステーション400の実施形態の概略図を表す。プロセスチャンバ402は、低圧環境を維持するために用いられてよい。複数のALDプロセスステーションが、共通の低圧プロセスツール環境に含まれてよい。例えば、図5は、マルチステーション処理ツール500の実施形態を表している。いくつかの実施形態では、以下に詳細に述べられるものを含むALDプロセスステーション400の1つ以上のハードウェアパラメータが、1つ以上のコンピュータ制御装置450によってプログラムで調整されてよい。
ALDプロセスステーション400は、プロセスガスを分配シャワーヘッド406に供給するための反応剤供給システム401aと流体連通する。反応剤供給システム401aは、シャワーヘッド406に供給するためのシリコン含有ガスまたは酸素含有ガスなどのプロセスガスを混合する、および/または、調整するための混合容器404を備える。1つ以上の混合容器吸入弁420は、混合容器404へのプロセスガスの導入を制御してよい。
例として、図4の実施形態は、混合容器404に供給される液体反応剤を蒸発させるための蒸発点403を含む。いくつかの実施形態では、蒸発点403は、加熱した蒸発器であってよい。かかる蒸発器から生成された飽和反応剤蒸気は、下流の供給配管に凝縮してよい。凝縮した反応剤への不和合ガスの曝露は、小粒子を生成する可能性がある。これらの小粒子は、配管を詰まらせ、弁動作を妨げ、基板を汚染するなどの可能性がある。これらの問題に対処するいくつかのアプローチには、残留反応剤を除去するための供給配管のパージおよび/または排気が含まれる。しかし、供給配管のパージは、プロセスステーションのサイクルタイムを増加させ、プロセスステーションのスループットを低下させる可能性がある。そのため、いくつかの実施形態では、蒸発点403の下流の供給配管がヒートトレースされてよい。いくつかの例では、混合容器404もヒートトレースされてよい。非限定的な一例では、蒸発点403の下流の配管は、混合容器404において約100℃から約150℃におよぶ昇温プロファイルを有する。
いくつかの実施形態では、液体前駆体または液体反応剤が液体注入器(図示せず)で蒸発されてよい。例えば、液体注入器は、液体反応剤のパルスを混合容器404の上流のキャリアガス流に注入してよい。一実施形態では、液体注入器は、液体をより高圧からより低圧に流すことによって反応剤を蒸発させてよい。別の例では、液体注入器は、加熱した供給配管で次に蒸発される分散微小液滴に液体を霧化してよい。小液滴は、大液滴より速く蒸発して、液体注入と完全蒸発との間の遅延を低減してよい。より急速な蒸発によって蒸発点403から下流の配管の長さが短縮されてよい。一つのシナリオでは、液体注入器は、混合容器404に直接取り付けられてよい。別のシナリオでは、液体注入器は、シャワーヘッド406に直接取り付けられてよい。
いくつかの実施形態では、蒸発およびプロセスチャンバ402への供給のための液体の質量流量を制御するために、蒸発点403の上流に液体流量コントローラ(LFC)が設けられてよい。例えば、LFCは、LFCの下流に位置する熱式質量流量計(MFM)を備えてよい。LFCのプランジャ弁は、次に、MFMと電気通信する比例積分微分(PID)コントローラによって提供される帰還制御信号に応答して調整されてよい。しかし、帰還制御を用いて液体流を安定させるのに1秒以上かかる可能性がある。これにより、液体反応剤を投与する時間が拡大する可能性がある。そのため、いくつかの実施形態では、LFCは、帰還制御モードと直接制御モードとの間で動的に切り替えられてよい。いくつかの実施形態では、これはLFCの認識チューブおよびPIDコントローラを停止させることによって実施されてよい。
シャワーヘッド406は、プロセスガスを基板412に向かって分配する。図4に示される実施形態では、基板412は、シャワーヘッド406の下に位置し、台座408の上に載っていることが示されている。シャワーヘッド406は、任意の適した形状であってよく、プロセスガスを基板412に分配するための任意の適した数および配置の給排気口を有してよい。
いくつかの実施形態では、台座408は上昇または下降されて、基板412を基板412とシャワーヘッド406との間の容積に曝露してよい。いくつかの実施形態では、台座408は、ヒータ410によって温度制御されてよい。台座408は、様々な開示の実施形態を実施するための動作中は、約25℃から約650℃の間などの任意の適した温度に設定されてよい。いくつかの実施形態では、台座の高さは、適したコンピュータ制御装置450によってプログラム調整されてよいことが理解されるだろう。
別のシナリオでは、台座408の高さの調整によって、特定の開示の実施形態で実施されるプラズマ活性化サイクルの際にプラズマ密度が変化してよい。プロセスフェーズの最後に、台座408は別の基板搬送フェーズ中に下降されて、基板412が台座408から除去されてよい。
いくつかの実施形態では、シャワーヘッド406の位置は、基板412とシャワーヘッド406との間の容積が変化するように台座408に対して調整されてよい。さらに、台座408および/またはシャワーヘッド406の垂直位置は、本開示の範囲内における任意の適した機構によって変更されてよいことが理解されるだろう。いくつかの実施形態では、台座408は、基板412の向きを回転させるための回転軸を備えてよい。いくつかの実施形態では、1つ以上のこれらの例示的な調整は、1つ以上の適したコンピュータ制御装置450によってプログラムで実施されてよい。コンピュータ制御装置450は、図5のコントローラ550に関連して以下に説明されるあらゆる特徴を含んでよい。
プラズマが上述のように用いられてよいいくつかの実施形態では、シャワーヘッド406および台座408は、プラズマを出力するための無線周波数(RF)電源414および整合ネットワーク416と電気的に連通する。いくつかの実施形態では、プラズマエネルギは、プロセスステーション圧力、ガス濃度、RF源電力、RF源周波数、および、プラズマ電力パルスタイミングのうちの1つ以上を制御することによって制御されてよい。例えば、RF電源414および整合ネットワーク416は任意の適した電力で操作されて、所望の組成のラジカル種を有するプラズマが形成されてよい。同様に、RF電源414は、任意の適した周波数のRF電力を提供してよい。いくつかの実施形態では、RF電源414は、互いに独立する高周波RF電源および低周波RF電源を制御するように構成されてよい。例示的な低周波RF周波数は、0kHzから500kHzの間の周波数を含んでよいが、それに限定されない。例示的な高周波RF周波数は、1.8MHzから2.45GHzの間、または約13.56MHzを超える、または27MHzを超える、または40MHzを超える、または60MHzを超える周波数を含んでよいが、それに限定されない。表面反応のためのプラズマエネルギを提供するために、任意の適したパラメータが別々にまたは連続して調整されてよいことが理解されるだろう。
いくつかの実施形態では、プラズマは、1つ以上のプラズマモニタによってin−situで監視されてよい。1つのシナリオでは、プラズマ電力は、1つ以上の電圧・電流センサ(例えば、VIプローブ)によって監視されてよい。別のシナリオでは、プラズマ密度および/またはプロセスガス濃度は、1つ以上の発光分光分析センサ(OES)によって測定されてよい。いくつかの実施形態では、1つ以上のプラズマパラメータは、かかるin−situのプラズマモニタからの測定値に基づいてプログラム調整されてよい。例えば、OESセンサは、プラズマ電力のプログラム制御を提供するためのフィードバックループで用いられてよい。いくつかの実施形態では、プラズマおよび他のプロセス特性を監視するのに他のモニタが用いられてよいことが理解されるだろう。かかるモニタは、赤外線(IR)モニタ、音響モニタ、および、圧力変換器を含んでよいが、それらに限定されない。
いくつかの実施形態では、コントローラ450のための命令は、入出力制御(IOC)シークエンシング命令によって提供されてよい。一例では、プロセスフェーズの条件を設定するための命令は、プロセスレシピの対応するレシピフェーズに含まれてよい。いくつかの場合では、プロセスフェーズのための全ての命令がそのプロセスフェーズと同時に実行されるように、プロセスレシピフェーズは順次配置されてよい。いくつかの実施形態では、1つ以上のリアクタパラメータを設定するための命令は、レシピフェーズに含まれてよい。例えば、第1のレシピフェーズは、不活性ガスおよび/または反応ガス(例えば、シリコン含有前駆体などの第1の前駆体)の流量を設定するための命令と、キャリアガス(アルゴンなど)の流量を設定するための命令と、第1のレシピフェーズのための時間遅延命令とを含んでよい。続く第2のレシピフェーズは、不活性ガスおよび/または反応ガスの流量を調節または停止するための命令と、キャリアガスまたはパージガスの流量を調節するための命令と、第2のレシピフェーズのための時間遅延命令とを含んでよい。第3のレシピフェーズは、酸素などの第2の反応ガスの流量を調節するための命令と、キャリアガスまたはパージガスの流量を調節するための命令と、高プラズマエネルギを有するプラズマを点火するための命令と、第3のレシピフェーズのための時間遅延命令とを含んでよい。続く第4のレシピフェーズは、不活性ガスおよび/または反応ガスの流量を調節または停止するための命令と、キャリアガスまたはパージガスの流量を調節するための命令と、第3のレシピフェーズのための時間遅延命令とを含んでよい。かかるレシピは、対称的なスペーサを順次形成するためにパターニングされた基板の上にギャップ充填材を堆積させるのに用いられてよい。いくつかのレシピは、パターニングされた基板をギャップ充填材と共にアンダーフィルするのに用いられてよい。これらのレシピフェーズは、本開示の範囲における任意の適した方法で、さらに細分化および/または反復されてよいことが理解されるだろう。
また、いくつかの実施形態では、プロセスステーション400の圧力制御がバタフライ弁418によって提供されてよい。図4の実施形態に示されるように、バタフライ弁418は、下流の真空ポンプ(図示せず)によって提供される真空を抑える。しかし、いくつかの実施形態では、プロセスステーション400の圧力制御は、プロセスステーション400に導入された1つ以上のガスの流量を変更することによって調整されてもよい。
上述のように、1つ以上のプロセスステーションがマルチステーション処理ツールに含まれてよい。図5は、搬入ロードロック502および搬出ロードロック504を備えるマルチステーション処理ツール500の実施形態の概略図を示し、搬入ロードロック502および搬出ロードロック504のいずれかまたは両方は、遠隔プラズマ源(図示せず)を備えてよい。ロボット506は、大気圧で、容器508を通って搬入されたカセットから大気口510を通ってウエハを搬入ロードロック502に移動するように構成される。ウエハ(図示せず)は搬入ロードロック502の台座512の上にロボット506によって設置され、大気口510が閉じられ、搬入ロードロック502がポンプダウンされる。搬入ロードロック502が遠隔プラズマ源を備える場合、ウエハは、処理チャンバ514に導入される前に、搬入ロードロック502で遠隔プラズマ処理に曝されてよい。また、ウエハは、例えば、水分および吸着したガスを除去するために、搬入ロードロック502で加熱されてもよい。次に、処理チャンバ514へのチャンバ搬送口516が開かれ、別のロボット(図示せず)が処理用のリアクタ内に示される第1のステーションの台座上のリアクタ内にウエハを設置する。図5に表された実施形態はロードロックを含むが、いくつかの実施形態では、ウエハがプロセスステーションに直接搬入されてよいことが理解されるだろう。
図の処理チャンバ514は、図5に示された実施形態では1から4まで番号が振られた4つのプロセスステーションを備える。各ステーションは、加熱された台座(ステーション1の518)およびガスライン吸入口を有する。いくつかの実施形態では、各プロセスステーションが異なるまたは複数の目的を有してよいことが理解されるだろう。例えば、いくつかの実施形態では、プロセスステーションは、ALDプロセスモードとプラズマ強化ALDプロセスモードとの間で切り替え可能であってよい。いくつかの実施形態では、堆積前駆体への曝露、ならびに、第2の反応剤およびプラズマへの曝露は、同じステーションで実施される。さらにまたはあるいは、いくつかの実施形態では、処理チャンバ514は、1つ以上の左右一対のALDおよびプラズマ強化ALDプロセスステーションを備えてよい。図の処理チャンバ514は4つのステーションを備えるが、本開示による処理チャンバは、任意の適した数のステーションを有してよいことが理解されるだろう。例えば、いくつかの実施形態では、処理チャンバは5つ以上のステーションを備えてよく、他の実施形態では、処理チャンバは3つ以下のステーションを有してよい。
図5は、処理チャンバ514内でウエハを搬送するためのウエハハンドリングシステム590の実施形態を表している。いくつかの実施形態では、ウエハハンドリングシステム590は、様々なプロセスステーションの間、および/または、プロセスステーションとロードロックとの間でウエハを搬送してよい。任意の適したウエハハンドリングシステムが採用されてよいことが理解されるだろう。非限定的な例には、ウエハ回転コンベアおよびウエハハンドリングロボットが含まれる。図5は、プロセスツール500のプロセス条件およびハードウェア状態を制御するために採用されたシステムコントローラ550の実施形態も表している。システムコントローラ550は、1つ以上のメモリ装置556、1つ以上の大容量記憶装置554、および、1つ以上のプロセッサ552を備えてよい。プロセッサ552は、CPUまたはコンピュータ、アナログおよび/またはデジタルの入出力接続部、ステッパモータコントローラ基板などを備えてよい。
いくつかの実施形態では、システムコントローラ550は、プロセスツール500の全ての動作を制御する。システムコントローラ550は、大容量記憶装置554に記憶され、記憶装置556に読み込まれ、プロセッサ552で実行されるシステム制御ソフトウェア558を実行する。あるいは、制御ロジックがコントローラ550でハードコード化されてよい。特定用途向け集積回路、プログラマブルロジックデバイス(例えば、フィールドプログラマブルゲートアレイ、すなわちFPGA)などがこれらの目的で用いられてよい。以下の説明では、「ソフトウェア」または「コード」が用いられるところはどこでも、その位置には機能的に同等のハードコード化されたロジックが用いられてよい。システム制御ソフトウェア558は、タイミング、ガスの混合、ガス流量、チャンバ圧および/またはステーション圧、チャンバ温度および/またはステーション温度、ウエハ温度、ターゲット電力レベル、RF電力レベル、基板台座、チャック位置および/またはサセプタ位置、ならびに、プロセスツール500によって実施される特定のプロセスの他のパラメータを制御するための命令を含んでよい。システム制御ソフトウェア558は、任意の適した方法で構成されてよい。例えば、様々なプロセスツール部品のサブルーチンまたは制御対象は、様々なプロセスツールのプロセスを実行するのに用いられるプロセスツール部品の動作を制御するように書き込まれてよい。システム制御ソフトウェア558は、任意の適したコンピュータ可読プログラミング言語でコード化されてよい。
いくつかの実施形態では、システム制御ソフトウェア558は、上述の様々なパラメータを制御するための入出力制御(IOC)シークエンシング命令を含んでよい。いくつかの実施形態では、システムコントローラ550と関連付けられた大容量記憶装置554および/または記憶装置556に記憶された他のコンピュータソフトウェアおよび/またはプログラムが採用されてよい。この目的のためのプログラムまたはプログラムの区分の例は、基板位置決めプログラム、プロセスガス制御プログラム、圧力制御プログラム、ヒータ制御プログラム、および、プラズマ制御プログラムを含む。
基板位置決めプログラムは、基板を台座518の上に搬入するのに用いられ、基板とプロセスツール500の他の部品との間の間隔を制御するのに用いられるプロセスツール部品のためのプログラムコードを含んでよい。
プロセスガス制御プログラムは、ガス組成(例えば、本明細書に記載されるシリコン含有ガス、酸素含有ガス、および、パージガス)および流量を制御するためのコード、ならびに、プロセスステーション内の圧力を安定させるために必要に応じて堆積前にガスを1つ以上のプロセスステーションに流入させるためのコードを含んでよい。圧力制御プログラムは、例えば、プロセスステーションの排気システム内の絞り弁、プロセスステーションへのガス流入などを調整することによって、プロセスステーション内の圧力を制御するためのコードを含んでよい。
ヒータ制御プログラムは、基板を加熱するのに用いられる加熱装置への電流を制御するためのコードを含んでよい。あるいは、ヒータ制御プログラムは、基板への伝熱ガス(ヘリウムなど)の供給を制御してよい。
プラズマ制御プログラムは、本明細書の実施形態に従って1つ以上のプロセスステーションの加工電極に印加されるRF電力レベルを設定するためのコードを含んでよい。
圧力制御プログラムは、本明細書の実施形態に従って反応チャンバ内の圧力を維持するためのコードを含んでよい。
いくつかの実施形態では、システムコントローラ550と関連付けられたユーザインターフェースがあってよい。ユーザインターフェースは、表示画面、装置および/またはプロセス条件の画像用ソフト表示装置、ならびに、ポインティングデバイス、キーボード、タッチスクリーン、マイクなどのユーザ入力装置を含んでよい。
いくつかの実施形態では、システムコントローラ550によって調整されたパラメータは、プロセス条件に関連してよい。非限定的な例には、プロセスガスの組成および流量、温度、圧力、プラズマ条件(例えば、RFバイアス電力レベル)などが含まれる。これらのパラメータは、ユーザインターフェースを用いて入力されるレシピの形式でユーザに提供されてよい。
プロセスを監視するための信号は、様々なプロセスツールセンサからシステムコントローラ550のアナログおよび/またはデジタルの入力接続部によって提供されてよい。プロセスを制御するための信号は、プロセスツール500のアナログおよびデジタル出力接続部に出力されてよい。監視されてよいプロセスツールセンサの非限定的な例には、マスフローコントローラ、圧力センサ(例えば、圧力計)、熱電対などが含まれる。適切にプログラミングされたフィードバック制御アルゴリズムは、プロセス条件を維持するためにこれらのセンサからのデータと共に用いられてよい。
システムコントローラ550は、上述の堆積プロセスを実施するためのプログラム命令を提供してよい。プログラム命令は、DC電力レベル、RFバイアス電力レベル、圧力、温度などの様々なプロセスパラメータを制御してよい。命令は、本明細書で説明される様々な実施形態に従って積層膜のin−situ堆積を動作するようにパラメータを制御してよい。
システムコントローラ550は、通常、装置が開示の実施形態に従って方法を実施するように命令を実行するよう構成された1つ以上の記憶装置、および、1つ以上のプロセッサを備えるだろう。開示の実施形態に従ってプロセス動作を制御するための命令を含む機械可読媒体は、システムコントローラ550に結合されてよい。
いくつかの実施形態では、システムコントローラ550は、上述の例の一部であってよいシステムの一部である。かかるシステムは、処理ツール、チャンバ、処理用プラットフォーム、および/または、特定の処理部品(ウエハ台座、ガス流システムなど)を含む半導体処理装置を備えうる。これらのシステムは、半導体ウエハまたは基板の処理前、処理中、および処理後にそれらの動作を制御するための電子機器と統合されてよい。電子機器は、システムの様々な部品または副部品を制御する「コントローラ」と称されてよい。システムコントローラ550は、処理条件および/またはシステムの種類に応じて、本明細書に開示されるあらゆるプロセス(処理ガスの供給、温度設定(例えば、加熱および/または冷却)、圧力設定、真空設定、電力設定、無線周波数(RF)発生器設定、RF整合回路設定、周波数設定、流量設定、流体供給設定、位置動作設定、ツールおよび他の搬送ツールならびに/または特定のシステムと接続もしくはインタフェースするロードロックに対するウエハの搬出入)を制御するようにプログラムされてよい。
概して、システムコントローラ550は、命令を受け取り、命令を発行し、動作を制御し、クリーニング動作を可能にし、エンドポイント測定を可能にするなどの様々な集積回路、ロジック、記憶、および/または、ソフトウェアを有する電子機器として定義されてよい。集積回路は、プログラム命令を記憶するファームウェア形式のチップ、デジタル信号プロセッサ(DSP)、特定用途向け集積回路(ASIC)として定義されるチップ、および/または、1つ以上のマイクロプロセッサ、もしくは、プログラム命令(例えば、ソフトウェア)を実行するマイクロコントローラを含んでよい。プログラム命令は、様々な個別設定(またはプログラムファイル)の形式でシステムコントローラ550に伝達される命令であって、特定のプロセスを半導体ウエハ上でもしくは半導体ウエハ用に、またはシステムに対して実行するための動作パラメータを定義してよい。いくつかの実施形態では、動作パラメータは、プロセスエンジニアによって定義されるレシピの一部であって、1つ以上の層、材料、金属、酸化物、シリコン、二酸化シリコン、表面、回路、および/または、ウエハダイの製作中における1つ以上の処理工程を実現してよい。
いくつかの実施形態では、システムコントローラ550は、システムと統合または結合された、そうでなければシステムにネットワーク接続された、もしくはこれらが組み合わされたコンピュータの一部であってよく、またはそのコンピュータに結合されてよい。例えば、システムコントローラ550は、「クラウド」内にある、または、ウエハ処理のリモートアクセスを可能にする全てもしくは一部のファブホストコンピュータシステムであってよい。コンピュータは、システムへのリモートアクセスを可能にして、製作動作の進捗状況を監視し、過去の製作動作の経歴を調査し、複数の製作動作から傾向または実施の基準を調査し、現在の処理のパラメータを変更し、現在の処理に続く処理工程を設定し、または、新しいプロセスを開始してよい。いくつかの例では、リモートコンピュータ(例えば、サーバ)は、ローカルネットワークまたはインターネットを含んでよいネットワークを通じて、プロセスレシピをシステムに提供できる。リモートコンピュータは、次にリモートコンピュータからシステムに連通されるパラメータおよび/もしくは設定のエントリまたはプログラミングを可能にするユーザインターフェースを含んでよい。いくつかの例では、システムコントローラ550は、1つ以上の動作中に実施される各処理工程のためのパラメータを特定するデータ形式の命令を受け取る。パラメータは、実施されるプロセスの種類、および、システムコントローラ550がインタフェースまたは制御するように構成されているツールの種類に特有であってよいことを理解されたい。そのため、上述のように、システムコントローラ550は、例えば、互いにネットワーク接続されている1つ以上の離散コントローラを含み、本明細書で説明されるプロセスや制御など共通の目的に向かって取り組むことにより配置されてよい。かかる目的で配置されたコントローラの例は、遠隔に(例えば、プラットフォームレベルで、または、遠隔コンピュータの一部として)位置し、組み合わせてチャンバ上のプロセスを制御する1つ以上の集積回路と連通しているチャンバ上の1つ以上の集積回路であろう。
制限するのではなく、例示のシステムは、プラズマエッチングチャンバまたはモジュール、堆積チャンバまたはモジュール、スピンリンスチャンバまたはモジュール、金属めっきチャンバまたはモジュール、クリーンチャンバまたはモジュール、ベベルエッジエッチングチャンバまたはモジュール、物理気相堆積(PVD)チャンバまたはモジュール、化学気相堆積(CVD)チャンバまたはモジュール、ALDチャンバまたはモジュール、原子層エッチング(ALE)チャンバまたはモジュール、イオン注入チャンバまたはモジュール、トラックチャンバまたはモジュール、ならびに、半導体ウエハの製作および/もしくは製造において関連してよいもしくは用いられてよいその他の半導体処理システムを含んでよい。
上述のように、ツールによって実施される処理工程に応じて、システムコントローラ550は、1つ以上の他のツール回路もしくはモジュール、他のツール部品、クラスタツール、他のツールインターフェース、隣接するツール、近接するツール、工場全体に設置されたツール、メインコンピュータ、別のコントローラ、または、半導体製造工場においてウエハ容器をツール位置および/もしくはロードポートに搬入出する材料搬送で用いられるツールと連通してよい。
本明細書に開示された方法を実施するための適切な装置は、各々その全てが本明細書に援用される、2011年4月11日出願の「PLASMA ACTIVATED CONFORMAL FILM DEPOSITION」と題した米国特許出願第13/084,399号(現在は米国特許第8,728,956号)、および、2011年4月11日出願の「SILICON NITRIDE FILMS AND METHODS」と題した米国特許出願第13/084,305号にさらに記載および説明されている。
本明細書に説明される装置/プロセスは、例えば、半導体デバイス、ディスプレイ、LED、太陽光発電パネルなどの製作もしくは製造のためのリソグラフィパターニングのツールまたはプロセスと併せて用いられてよい。必ずしも一般的ではないが、かかるツール/プロセスは、共通の製作設備で用いられる、または、併用されるだろう。膜のリソグラフィパターニングは、通常、(1)スピンオンツールまたはスプレーオンツールを用いてワークピース(すなわち、基板)にフォトレジストを塗布する工程、(2)ホットプレート、加熱炉、または、紫外線硬化ツールを用いてフォトレジストを硬化する工程、(3)ウエハステッパなどのツールを用いてフォトレジストを可視光、紫外線、または、X線に曝露する工程、(4)選択的にレジストを除去することでウェットベンチなどのツールを用いてパターニングできるようにレジストを現像する工程、(5)ドライエッチングツールまたはプラズマ支援エッチングツールを用いることによってレジストパターンを下地膜またはワークピースに転写する工程、(6)RFまたは電磁波プラズマレジスト剥離剤などのツールを用いてレジストを除去する工程、のいくつかまたは全ての動作を含み、各動作は、多数の可能なツールによって可能になる。
スペーサ材に選択的なコア材のエッチングなどの本明細書で説明されたエッチング動作は、任意の適したプロセスチャンバで実施されてよい。いくつかの実施形態では、基板は、図6に関連して以下に説明される誘導結合プラズマ(ICP)リアクタでエッチングされてよい。
ここでは、特定の実施形態においてエッチング動作に適してよい誘導結合プラズマ(ICP)リアクタについて説明される。かかるICPリアクタは、全ての目的のためにその全てが参照によって本明細書に援用される、2013年12月10出願の「IMAGE REVERSAL WITH AHM GAP FILL FOR MULTIPLE PATTERNING」と題した米国特許出願公開第2014/0170853にも記載されている。本明細書ではICPリアクタが説明されるが、いくつかの実施形態では、容量結合プラズマリアクタが用いられてもよいことを理解されたい。
図6は、本明細書の特定の実施形態を実施するのに適した誘導結合プラズマ統合エッチング堆積装置600の断面図を概略的に示している。この装置の例は、カリフォルニア州フレモントのラムリサーチ株式会社によって製作されたKiyo(商標登録)リアクタである。誘導結合プラズマ装置600は、チャンバ壁601および窓611によって構造的に規定された全体プロセスチャンバを備える。チャンバ壁601は、ステンレス鋼またはアルミニウムから製作されてよい。窓611は、石英または他の誘電物質から製作されてよい。任意の内部プラズマ格子650は、全体処理チャンバを上部サブチャンバ602および下部サブチャンバ603に分割する。ほとんどの実施形態では、サブチャンバ602および603で構成されたチャンバ空間を利用できるように、プラズマ格子650は取り外されてよい。チャック617は、底部内面付近の下部サブチャンバ603の中に位置する。チャック617は、エッチングおよび堆積プロセスが実施される半導体ウエハ619を受け入れて保持するように構成される。チャック617は、ウエハ619が存在するときは、それを支持するための静電チャックでありうる。いくつかの実施形態では、エッジリング(図示せず)は、チャック617を囲み、ウエハ619がチャック617の上に存在するときにウエハ619の表面とほぼ同一平面になる上面を有する。チャック617は、ウエハをチャックおよびデチャックするための静電電極を備えてもよい。フィルタおよびDCクランプ電源(図示せず)がこの目的のために提供されてよい。ウエハ619をチャック617から持ち上げるための他の制御システムも提供されうる。チャック617は、RF電源623を用いて電気的に充填されうる。RF電源623は、接続部627を通じて整合回路621に接続される。整合回路621は、接続部625を通じてチャック617に接続される。このようにして、RF電源623はチャック617に接続される。
プラズマ生成のための要素は、窓611の上方に位置するコイル633を含む。いくつかの実施形態では、コイルは開示の実施形態では用いられない。コイル633は、導電性材料から製作され、少なくとも1回のターンを含む。図6に示されたコイル633の例は、3ターンを含む。コイル633の断面は記号で示されており、「X」を付したコイルは回転して紙面に延び、「●」を付したコイルは回転して紙面から出るように延びる。プラズマ生成のための要素には、RF電力をコイル633に供給するように構成されたRF電源641も含まれる。一般に、RF電源641は、接続部645を通じて整合回路639に接続される。整合回路639は、接続部643を通じてコイル633に接続される。このようにして、RF電源641はコイル633に接続される。任意のファラデーシールド649が、コイル633と窓611との間に設置される。ファラデーシールド649は、コイル633に対して離間した関係に維持される。ファラデーシールド649は、窓611のすぐ上方に配置される。コイル633、ファラデーシールド649、および、窓611は、互いに実質的に平行になるように各々構成される。ファラデーシールドは、金属または他の種がプラズマチャンバの誘電体窓に堆積するのを防いでよい。
プロセスガスは、上部チャンバに位置する1つ以上のメインガス流入口660を通じて、および/または、1つ以上のサイドガス流入口670を通じて、処理チャンバ内に流入されてよい。同様に、明確には示されていないが、プロセスガスを容量結合プラズマ処理チャンバに供給するのに類似のガス流導入口が用いられてよい。真空ポンプ(例えば、1段階もしくは2段階の機械式ドライポンプ、および/または、ターボ分子ポンプ640)は、プロセスガスをプロセスチャンバ624から引き出してプロセスチャンバ600内の圧力を維持するのに用いられうる。例えば、ポンプは、チャンバ601を排気するのに用いられてよい。真空ポンプによって提供された真空環境の適用を選択的に制御するために、弁制御された導管が真空ポンプを処理チャンバに流体接続するのに用いられてよい。これは、プラズマ処理動作中に、絞り弁(図示せず)または振り子弁(図示せず)などの閉ループ制御された流量制御装置を採用することで実行されてよい。同様に、真空ポンプ、および、容量結合プラズマ処理チャンバへの弁制御された流体接続部も採用されてよい。
装置の動作中に、1つ以上のプロセスガスがメインガス流入口660および/または670を通じて供給されてよい。特定の実施形態では、プロセスガスは、メインガス流入口660のみを通じて、または、サイドガス流入口670のみを通じて供給されてよい。場合によっては、図に示されるガス流入口は、より複雑なガス流入口(例えば、1つ以上のシャワーヘッド)に置き換えられてよい。ファラデーシールド649および/または任意の格子650は、チャンバへのプロセスガス供給を可能にする内部流路および孔を備えてよい。ファラデーシールド649および任意の格子650のいずれかまたは両方は、プロセスガス供給用のシャワーヘッドとして機能してよい。いくつかの実施形態では、液体反応剤が蒸発すると蒸発した反応物がガス流入口660および/または670を通ってチャンバ内に導入されるように、液体蒸発供給システムは、チャンバ601の上流に設置されてよい。
無線周波数電力はRF電源641からコイル633に供給されて、RF電流がコイル633を通じて流される。コイル633を通じて流れるRF電流は、コイル633の周りに電磁場を生成する。電磁場は、上部サブチャンバ602の中で誘導電流を生成する。様々な発生イオンおよびラジカルとウエハ619との物理的および化学的相互作用によって、ウエハのフィーチャが選択的にエッチングされるとともに、ウエハ上に層が堆積される。
上部サブチャンバ602および下部サブチャンバ603の両方が存在するようにプラズマ格子が用いられる場合、誘導電流は上部サブチャンバ602に存在するガスに作用して、上部サブチャンバ602で電子・イオンプラズマが生成される。任意の内部プラズマ格子650は、下部サブチャンバ603のホットエレクトロンの量を制限する。いくつかの実施形態では、この装置は、下部サブチャンバ603に存在するプラズマがイオン・イオンプラズマになるように設計されて操作される。
上部の電子・イオンプラズマおよび下部のイオン・イオンプラズマの両方は、陽イオンおよび陰イオンを含んでよい。イオン・イオンプラズマは、より大きな陽イオン対陰イオンの比率を有するだろう。揮発性のエッチングおよび/または堆積副生成物は、ポート622を通じて下部サブチャンバ603から除去されてよい。本明細書に開示されたチャック617は、約10℃から約850℃の範囲の高温で動作してよい。温度は、プロセス動作および特定のレシピに依存するだろう。
チャンバ601は、クリーンルームまたは製造施設に設置されるときに、設備(図示せず)に結合されてよい。設備には、処理ガス、真空、温度制御、および、環境微粒子制御を提供する配管が含まれる。これらの設備は、目的の製造施設に設置されるときにチャンバ601に結合される。また、チャンバ601は、ロボットが一般的なオートメーションを用いて半導体ウエハをチャンバ601に搬入出できるようにする搬送チャンバに結合されてよい。
いくつかの実施形態では、システムコントローラ630(1つ以上の物理的または論理的コントローラを含んでよい)は、処理チャンバの一部または全ての動作を制御する。システムコントローラ630は、システムコントローラ550に関連して上述された任意の1つ以上の特徴を備えてよい。
図7は、真空搬送モジュール738(VTM)とインタフェースする様々なモジュールを備える半導体プロセスクラスタアーキテクチャを表している。ウエハを複数の保管設備および処理モジュールの間で「搬送」するための搬送モジュールの配置は、「クラスタツールアーキテクチャ」システムと呼ばれてもよい。ロードロックまたは搬送モジュールとしても知られるエアロック730は、個々に最適化されて様々な製造プロセスを実施してよい4つの処理モジュール720a〜720dを備えるVTM738に示されている。例として、処理モジュール720a〜720dは、基板のエッチング、堆積、イオン注入、ウエハ洗浄、スパッタリング、および/または、他の半導体プロセスを実施するために実装されてよい。いくつかの実施形態では、ALDおよび選択的エッチングは、同じモジュールで実施される。いくつかの実施形態では、ALDおよび選択的エッチングは、同じツールの異なるモジュールで実施される。1つ以上の基板エッチング処理モジュール(720a〜720dのいずれか)は、本明細書に開示されるように、すなわち、共形膜の堆積、ALDによる選択的な成膜、パターンエッチング、および、開示の実施形態に従う他の適した機能のために実装されてよい。エアロック730およびプロセスモジュール720は、「ステーション」と呼ばれてよい。各ステーションは、ステーションをVTM738に接続する端面736を有する。各端面の内側では、ウエハ726がそれぞれのステーションの間を移動するときにその通過を検出するためにセンサ1〜18が用いられる。
ロボット722は、ウエハ726をステーション間で搬送する。一実施形態では、ロボット722は1つのアームを有し、別の実施形態では、ロボット722は2つのアームを有する。各アームは、ウエハ726などのウエハを搬送のために取り上げるエンドエフェクタ724を有する。大気搬送モジュール(ATM)740におけるフロントエンドロボット732は、カセットまたはロードポートモジュール(LPM)742の前面開閉搬送容器(FOUP)734からエアロック730にウエハ726を搬送するのに用いられる。プロセスモジュール720の内部のモジュール中心部728は、ウエハ726を設置するための一位置である。ATM740内のアライナ744は、ウエハを位置合わせするのに用いられる。
例示的な処理方法では、ウエハは、LPM742内のFOUP734の1つに設置される。フロントエンドロボット732は、ウエハをFOUP734からアライナ744に搬送し、これによりウエハ726がエッチングまたは処理される前に適切に中心に置かれることが可能になる。位置合わせ後に、ウエハ726は、フロントエンドロボット732によってエアロック730に移動される。エアロックモジュールがATMとVTMとの間の環境を整合させる能力を有するため、ウエハ726は、損傷することなく2つの圧力環境間を移動することができる。ウエハ726は、ロボット722によってエアロックモジュール730からVTM738を通ってプロセスモジュール720a〜720dの1つに移動される。このウエハの動きを達成するために、ロボット722は、その各々のアームのエンドエフェクタ724を用いる。ウエハ726は、処理されると、ロボット722によってプロセスモジュール720a〜720dからエアロックモジュール730に移動される。ウエハ726は、ここからフロントエンドロボット732によってFOUP734の1つ、または、アライナ744に移動されてよい。
ウエハの動きを制御するコンピュータは、クラスタアーキテクチャにローカルでありうる、または、製造現場でクラスタアーキテクチャの外部もしくは遠隔地に位置して、ネットワークを介してクラスタアーキテクチャに接続しうる。図5に関連して上述されたコントローラは、図7のツールと共に実装されてよい。
実験:
実験は、基板上のパターニングされたコア材の上にアスペクト比4:1および30nm以上の開口を有するギャップにギャップ充填酸化シリコン材を堆積させるために行われた。3つの基板各々には、次の動作:シリコン含有前駆体の投与、パージ、プラズマを伴う酸素/アルゴン、パージ、のうちの複数の堆積サイクルが実施された。第1の基板は50℃で堆積され、第2の基板は200℃で堆積され、第3の基板は400℃で堆積された。全ての3つの基板は、対応する温度で優れた充填能力を示した。これは、ギャップ充填材使用の汎用性が広いことを示唆している。膜密度は、異なる温度で変化し、より高い堆積温度ではより高い膜密度を有する。
結論:
前述の実施形態は、明確な理解を目的としてある程度詳細に説明されてきたが、添付の特許請求の範囲において一定の変更および修正が行われてよいことは明らかだろう。本実施形態のプロセス、システム、および、装置を実装する多くの別の方法があることに注意されたい。従って、本実施形態は、限定的ではなく例示と見なされるべきであり、本明細書に記載された詳細に限定されるものではない。
図1Hでは、第2の共形膜120が異方的にエッチングされて、パターニングされた第2のコア113の側面に位置する第2のスペーサ121が形成される。図1Iでは、パターニングされた第2のコア113が選択的に除去されて、自立する第2のスペーサ121が残される。ここで、パターニングされた第2のコア113は、図1Dにおいてパターニングされた第1のコア101のエッチングと同様または類似の化学物質を用いてエッチングされてよい。
図1Jでは、第2のスペーサ121のパターンがターゲット層105に転写されるときは、パターニングされたターゲット層115は、全て異なる値であってよい様々な臨界寸法α、β、および、γを有する。スペーサ121の非対称性は、ターゲット層を形成するための不均一なエッチングを招き、それによってピッチウォーキングが起こる。非対称なスペーサ121を用いるターゲット層105のエッチングによって、ターゲット層の斜めエッチングを引き起こすエッチング種のイオン角度分布が起こる。パターニングされたコア材の除去中のドライエッチング条件がシャドーイング効果をもたらすため、このプロセスは部分的にピッチウォーキングを引き起こす。
図3Bは、基板の上に共形に堆積されているギャップ充填材395の例示的な概略図を示す。より多くのサイクルが実施されるにつれて、スペーサ321の間のフィーチャは充填され、図3Cに示されるように側壁に堆積した材料が交わる継ぎ目360が形成されてよい。図3Cは、パターニングされたコア材313の上面の上の被りを有するように堆積されたギャップ充填材396を含む。いくつかの実施形態では、図2の動作205におけるギャップ充填材の堆積は、フィーチャをアンダーフィルするように、または、ギャップを完全に充填するには不十分な期間において堆積するように、多数のサイクルで実施されてよい。アンダーフィルについては、図3Hおよび図3Iに関連して以下にさらに説明される。いくつかの実施形態では、完全充填およびアンダーフィル両方の実施形態を示す図3J〜図3Oに関連して以下に説明されるように、動作205で堆積されたギャップ充填材は、対称的なスペーサを形成するための材料として用いられる。
図3P〜図3Rは、ギャップ充填材を対称的なスペーサマスクとして用いながらフィーチャをアンダーフィルするための別の実施形態を提供する。例えば、図3の基板は複数サイクルの原子層堆積が施されてフィーチャを部分的に充填することによって、フィーチャ内にギャップ365が残されてよい。基板は図2の動作207によって平坦化されて、ギャップ充填材378のフィールド領域がコア材313のフィールド領域と面一になる平坦面を有する図3Pに示される基板が生成されてよい。図3Qでは、コア材は図2の動作211によって選択的に除去されて、各々がフィーチャのアンダーフィルでいまだギャップ365を備えてよい自立する対称的なスペーサ378が生成される。スペーサ378は、ターゲット層305をパターニングするのに用いられてよく、スペーサ378が対称的であるため、ターゲット層305をエッチングするのに用いられるエッチング種のイオン角度分布は角度エッチングを引き起こさず、そのため一貫した臨界寸法βを有するパターニングされたターゲット層345が形成される。
いくつかの実施形態では、コントローラ450のための命令は、入出力制御(IOC)シークエンシング命令によって提供されてよい。一例では、プロセスフェーズの条件を設定するための命令は、プロセスレシピの対応するレシピフェーズに含まれてよい。いくつかの場合では、プロセスフェーズのための全ての命令がそのプロセスフェーズと同時に実行されるように、プロセスレシピフェーズは順次配置されてよい。いくつかの実施形態では、1つ以上のリアクタパラメータを設定するための命令は、レシピフェーズに含まれてよい。例えば、第1のレシピフェーズは、不活性ガスおよび/または反応ガス(例えば、シリコン含有前駆体などの第1の前駆体)の流量を設定するための命令と、キャリアガス(アルゴンなど)の流量を設定するための命令と、第1のレシピフェーズのための時間遅延命令とを含んでよい。続く第2のレシピフェーズは、不活性ガスおよび/または反応ガスの流量を調節または停止するための命令と、キャリアガスまたはパージガスの流量を調節するための命令と、第2のレシピフェーズのための時間遅延命令とを含んでよい。第3のレシピフェーズは、酸素などの第2の反応ガスの流量を調節するための命令と、キャリアガスまたはパージガスの流量を調節するための命令と、高プラズマエネルギを有するプラズマを点火するための命令と、第3のレシピフェーズのための時間遅延命令とを含んでよい。続く第4のレシピフェーズは、不活性ガスおよび/または反応ガスの流量を調節または停止するための命令と、キャリアガスまたはパージガスの流量を調節するための命令と、第のレシピフェーズのための時間遅延命令とを含んでよい。かかるレシピは、対称的なスペーサを順次形成するためにパターニングされた基板の上にギャップ充填材を堆積させるのに用いられてよい。いくつかのレシピは、パターニングされた基板をギャップ充填材と共にアンダーフィルするのに用いられてよい。これらのレシピフェーズは、本開示の範囲における任意の適した方法で、さらに細分化および/または反復されてよいことが理解されるだろう。
プロセスガスは、上部チャンバに位置する1つ以上のメインガス流入口660を通じて、および/または、1つ以上のサイドガス流入口670を通じて、処理チャンバ内に流入されてよい。同様に、明確には示されていないが、プロセスガスを容量結合プラズマ処理チャンバに供給するのに類似のガス流導入口が用いられてよい。真空ポンプ(例えば、1段階もしくは2段階の機械式ドライポンプ、および/または、ターボ分子ポンプ640)は、プロセスガスをプロセスチャンバ601から引き出してプロセスチャンバ601内の圧力を維持するのに用いられうる。例えば、ポンプは、チャンバ601を排気するのに用いられてよい。真空ポンプによって提供された真空環境の適用を選択的に制御するために、弁制御された導管が真空ポンプを処理チャンバに流体接続するのに用いられてよい。これは、プラズマ処理動作中に、絞り弁(図示せず)または振り子弁(図示せず)などの閉ループ制御された流量制御装置を採用することで実行されてよい。同様に、真空ポンプ、および、容量結合プラズマ処理チャンバへの弁制御された流体接続部も採用されてよい。

Claims (25)

  1. 基板を処理する方法であって、
    ギャップを形成するようにパターニングされたコア材と、ターゲット層とを有する前記基板を提供することと、
    ギャップ充填材が前記基板上の前記ギャップに堆積するように、ギャップ充填材を前記コア材の上に共形に堆積させることと、
    前記基板を平坦化して、前記ギャップ充填材および前記コア材を含む平面を形成することと、
    前記コア材を選択的にエッチングして、前記ターゲット層をエッチングするためのマスクとして用いられる対称形状のスペーサを形成することと
    を含む、方法。
  2. 請求項1に記載の方法であって、
    前記ギャップ充填材は、原子層堆積によって堆積される、方法。
  3. 請求項1に記載の方法であって、
    前記ギャップ充填材は、前記ギャップを完全に充填するには不十分な期間において原子層堆積によって堆積される、方法。
  4. 請求項1に記載の方法であって、
    前記ギャップ充填材は、酸化シリコン、窒化シリコン、炭化シリコン、および、酸化チタンからなる群より選択される、方法。
  5. 請求項1に記載の方法であって、
    前記ギャップは、約x[nm]未満の開口を有し、前記ギャップ充填材は、前記ギャップ充填材を約0.4×x[nm]から約0.5×x[nm]の間の厚さに堆積させるのに十分な期間において堆積する、方法。
  6. 請求項5に記載の方法であって、
    xは50である、方法。
  7. 請求項5に記載の方法であって、
    xは50未満である、方法。
  8. 請求項1に記載の方法であって、
    前記対称形状のスペーサは、前記ギャップ充填材を含む、方法。
  9. 請求項1に記載の方法であって、
    前記コア材は炭素を含む、方法。
  10. 請求項9に記載の方法であって、
    前記コア材は、スピンオン炭素、ダイヤモンド状炭素、および、ギャップ充填アッシング可能ハードマスクからなる群より選択される、方法。
  11. 請求項1に記載の方法であって、
    前記ギャップ充填材を堆積させることは、前記基板をシリコン含有前駆体および酸化プラズマの交互パルスに曝露することを含む、方法。
  12. 方法であって、
    マスクを形成するスペーサを含む基板を提供することであって、各スペーサは、前記スペーサの上面に垂直な側壁を備え、前記スペーサは、ギャップ充填材をコア材のギャップに共形に堆積させることによって形成されることと、
    前記ギャップ充填材および前記コア材を平坦化して平面を形成することと、
    前記コア材を選択的に除去することと、
    前記スペーサを前記マスクとして用いてターゲット層をエッチングすることと
    を含む、方法。
  13. 方法であって、
    マスクを形成するスペーサを含む基板を提供することであって、各スペーサは、90°±5°の角度で前記スペーサの上面に交わる側壁を備え、前記スペーサは、ギャップ充填材をコア材のギャップに共形に堆積させることによって形成されることと、
    前記ギャップ充填材および前記コア材を平坦化して平面を形成することと、
    前記コア材を選択的に除去することと、
    前記スペーサを前記マスクとして用いてターゲット層をエッチングすることと
    を含む、方法。
  14. 方法であって、
    ギャップを形成するようにパターニングされたコア材と、ターゲット層とを有する基板を提供することと、
    ギャップ充填材が前記基板上の前記ギャップに堆積するように、ギャップ充填材を前記コア材の上に共形に堆積させることと、
    前記基板を平坦化して、前記ギャップ充填材および前記コア材を含む平面を形成することと、
    前記コア材を選択的にエッチングして、対称形状のスペーサを形成することと、
    前記対称形状のスペーサをマスクとして用いて前記ターゲット層をエッチングすることとを含み、
    前記対称形状のスペーサは、平坦な上部形状を有し、前記対称形状のスペーサの垂直面が前記対称形状のスペーサの上部水平面から90°または約90°に配向されている、方法。
  15. 方法であって、
    ギャップを形成するようにパターニングされたコア材と、ターゲット層とを有する基板を提供することと、
    ギャップ充填材が前記基板上の前記ギャップに堆積するように、ギャップ充填材を前記コア材の上に共形に堆積させることと、
    前記基板を平坦化して、水平な平坦上部形状を有する前記ギャップ充填材と、前記コア材とを含む平面を形成することと、
    前記コア材を選択的にエッチングして、前記ターゲット層をエッチングするためのマスクとして用いられる対称形状のスペーサを形成することと
    を含む、方法。
  16. 方法であって、
    ギャップを形成するようにパターニングされたコア材と、ターゲット層とを有する基板を提供することと、
    ギャップ充填材が前記基板上の前記ギャップに堆積するように、ギャップ充填材を前記コア材の上に共形に堆積させることと、
    前記基板を平坦化して、前記ギャップ充填材および前記コア材を含む平面を形成することと、
    前記コア材を選択的にエッチングして、前記ターゲット層をエッチングするためのマスクとして用いられる対称形状のスペーサを形成することとを含み、
    前記対称形状のスペーサは、前記対称形状のスペーサの上面に実質的に垂直な側壁を有する、方法。
  17. 請求項1から16のいずれか一項に記載の方法であって、
    前記マスクの対称形状のスペーサ間の臨界寸法は、約50nm未満である、方法。
  18. 請求項1から16のいずれか一項に記載の方法であって、
    前記対称形状のスペーサは、複数のパターニング技術に用いられる、方法。
  19. 請求項1から16のいずれか一項に記載の方法であって、さらに、
    前記ギャップ充填材を堆積させる前に、前記基板の上に別の共形膜を堆積させ前記共形膜を異方性エッチングして、前記コア材の前記側壁上に側壁スペーサを形成することを含む、方法。
  20. 請求項19に記載の方法であって、
    前記基板を選択的にエッチングして前記対称形状のスペーサを形成することは、前記側壁スペーサに対して選択的に前記コア材および前記ギャップ充填材を除去することを含む、方法。
  21. 請求項1から16のいずれか一項に記載の方法であって、
    前記基板を選択的にエッチングして前記対称形状のスペーサを形成することは、前記ギャップ充填材に対して選択的に前記コア材を除去することを含む、方法。
  22. 基板をパターニングする装置であって、
    1つ以上のプロセスチャンバと、
    前記1つ以上のプロセスチャンバおよび関連する流量制御ハードウェアへの1つ以上のガス流入口と、
    低周波無線周波数(LFRF)発生器と、
    高周波無線周波数(HFRF)発生器と、
    少なくとも1つのプロセッサおよびメモリを有するコントローラと、を備え、
    前記少なくとも1つのプロセッサおよび前記メモリは、互いに通信可能に接続され、
    前記少なくとも1つのプロセッサは、前記流量制御ハードウェア、前記LFRF発生器、および、前記HFRF発生器と少なくとも動作可能に接続され、
    前記メモリは、前記流量制御ハードウェア、前記HFRF発生器、および、前記LFRF発生器を少なくとも制御するように前記少なくとも1つのプロセッサを制御するためのコンピュータ実行可能な命令を記憶して、
    ギャップ充填材前駆体の導入を行わせて、ターゲット層を含む基板のコア材の上にギャップ充填材を共形に堆積させ、前記コア材はギャップを形成するようにパターニングされており、前記堆積は前記ギャップ充填材が前記基板上の前記ギャップに堆積するように行われ、
    前記基板の平坦化を行わせて、前記ギャップ充填材および前記コア材を含む平面を形成し、
    前記コア材の選択的なエッチングを行わせて、前記ターゲット層をエッチングするためのマスクとして用いられる対称形状のスペーサを形成する、装置。
  23. 基板をパターニングする装置であって、
    1つ以上のプロセスチャンバと、
    前記1つ以上のプロセスチャンバおよび関連する流量制御ハードウェアへの1つ以上のガス流入口と、
    低周波無線周波数(LFRF)発生器と、
    高周波無線周波数(HFRF)発生器と、
    少なくとも1つのプロセッサおよびメモリを有するコントローラと、を備え、
    前記少なくとも1つのプロセッサおよび前記メモリは、互いに通信可能に接続され、
    前記少なくとも1つのプロセッサは、前記流量制御ハードウェア、前記LFRF発生器、および、前記HFRF発生器と少なくとも動作可能に接続され、
    前記メモリは、前記流量制御ハードウェア、前記HFRF発生器、および、前記LFRF発生器を少なくとも制御するように前記少なくとも1つのプロセッサを制御するためのコンピュータ実行可能な命令を記憶して、
    前記1つ以上のプロセスチャンバへの基板の搬送を行わせ、前記基板は、マスクを形成するスペーサを含み、各スペーサは、前記スペーサの上面に垂直な側壁を備え、前記スペーサは、ギャップ充填材をコア材のギャップに共形に堆積させることによって形成され、
    前記ギャップ充填材および前記コア材の平坦化を行わせて平面を形成し、
    前記コア材の選択的な除去を行わせ、
    前記スペーサを前記マスクとして用いてターゲット層のエッチングを行わせる、装置。
  24. 基板をパターニングする装置であって、
    1つ以上のプロセスチャンバと、
    前記1つ以上のプロセスチャンバおよび関連する流量制御ハードウェアへの1つ以上のガス流入口と、
    低周波無線周波数(LFRF)発生器と、
    高周波無線周波数(HFRF)発生器と、
    少なくとも1つのプロセッサおよびメモリを有するコントローラと、を備え、
    前記少なくとも1つのプロセッサおよび前記メモリは、互いに通信可能に接続され、
    前記少なくとも1つのプロセッサは、前記流量制御ハードウェア、前記HFRF発生器、および、前記LFRF発生器と少なくとも動作可能に接続され、
    前記メモリは、前記流量制御ハードウェア、前記HFRF発生器、および、前記LFRF発生器を少なくとも制御するように前記少なくとも1つのプロセッサを制御するためのコンピュータ実行可能な命令を記憶して、
    前記1つ以上のプロセスチャンバへの基板の搬送を行わせ、前記基板は、マスクを形成するスペーサを含み、各スペーサは、90°±5°の角度で前記スペーサの上面に交わる側壁を備え、前記スペーサは、ギャップ充填材をコア材のギャップに共形に堆積させることによって形成され、
    前記ギャップ充填材および前記コア材の平坦化を行わせて平面を形成し、
    前記コア材の選択的な除去を行わせ、
    前記スペーサを前記マスクとして用いてターゲット層のエッチングを行わせる、装置。
  25. 請求項22から24のいずれか一項に記載の装置であって、
    前記コントローラは、さらに、前記ギャップ充填材を堆積させる前に前記基板の上に別の共形膜の堆積を行わせるための命令と、前記共形膜の異方性エッチングを行わせて前記コア材の前記側壁上に側壁スペーサを形成するための命令とを含む、装置。
JP2017216857A 2016-11-11 2017-11-10 Aldギャップ充填スペーサマスクを用いる自己整合型マルチパターニングプロセスフロー Pending JP2018085504A (ja)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US15/349,746 2016-11-11
US15/349,746 US10832908B2 (en) 2016-11-11 2016-11-11 Self-aligned multi-patterning process flow with ALD gapfill spacer mask

Publications (2)

Publication Number Publication Date
JP2018085504A true JP2018085504A (ja) 2018-05-31
JP2018085504A5 JP2018085504A5 (ja) 2018-07-12

Family

ID=62106389

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2017216857A Pending JP2018085504A (ja) 2016-11-11 2017-11-10 Aldギャップ充填スペーサマスクを用いる自己整合型マルチパターニングプロセスフロー

Country Status (6)

Country Link
US (1) US10832908B2 (ja)
JP (1) JP2018085504A (ja)
KR (1) KR102514839B1 (ja)
CN (1) CN108183071B (ja)
SG (1) SG10201709240QA (ja)
TW (1) TW201833992A (ja)

Cited By (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2019204815A (ja) * 2018-05-21 2019-11-28 東京エレクトロン株式会社 基板処理方法及び基板処理装置
WO2020242645A1 (en) * 2019-05-24 2020-12-03 Applied Materials, Inc. Method for forming and patterning a layer and/or substrate
JP2021536680A (ja) * 2018-09-07 2021-12-27 ケーエルエー コーポレイション 制御された寸法を有する半導体ウェハフィーチャを製作するためのシステムおよび方法
JP7407583B2 (ja) 2018-12-12 2024-01-04 東京エレクトロン株式会社 自己整合マルチパターニングにおいてスペーサプロファイルを再整形する方法

Families Citing this family (17)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US9564312B2 (en) 2014-11-24 2017-02-07 Lam Research Corporation Selective inhibition in atomic layer deposition of silicon-containing films
US10629435B2 (en) 2016-07-29 2020-04-21 Lam Research Corporation Doped ALD films for semiconductor patterning applications
US10454029B2 (en) 2016-11-11 2019-10-22 Lam Research Corporation Method for reducing the wet etch rate of a sin film without damaging the underlying substrate
US10134579B2 (en) 2016-11-14 2018-11-20 Lam Research Corporation Method for high modulus ALD SiO2 spacer
CN109216163A (zh) * 2017-06-29 2019-01-15 中芯国际集成电路制造(上海)有限公司 半导体器件的制造方法
US10340149B2 (en) * 2017-09-05 2019-07-02 Nanya Technology Corporation Method of forming dense hole patterns of semiconductor devices
US10269559B2 (en) 2017-09-13 2019-04-23 Lam Research Corporation Dielectric gapfill of high aspect ratio features utilizing a sacrificial etch cap layer
KR20200108016A (ko) * 2018-01-19 2020-09-16 에이에스엠 아이피 홀딩 비.브이. 플라즈마 보조 증착에 의해 갭 충진 층을 증착하는 방법
CN112005343A (zh) 2018-03-02 2020-11-27 朗姆研究公司 使用水解的选择性沉积
US10643846B2 (en) 2018-06-28 2020-05-05 Lam Research Corporation Selective growth of metal-containing hardmask thin films
CN110828301B (zh) * 2018-08-09 2023-02-28 长鑫存储技术有限公司 用于形成图形的方法
US11069528B2 (en) * 2018-10-31 2021-07-20 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor device and method
TWI736820B (zh) * 2018-12-27 2021-08-21 力晶積成電子製造股份有限公司 半導體元件的製造方法
US11355342B2 (en) * 2019-06-13 2022-06-07 Nanya Technology Corporation Semiconductor device with reduced critical dimensions and method of manufacturing the same
CN110459465B (zh) * 2019-08-30 2022-03-04 上海华力微电子有限公司 自对准双层图形的形成方法
TWI730821B (zh) * 2020-06-22 2021-06-11 力晶積成電子製造股份有限公司 多重圖案化方法
US11482411B2 (en) * 2020-06-30 2022-10-25 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device and method

Family Cites Families (282)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
FR93097E (fr) 1965-10-11 1969-02-07 Ibm Procédé de dépot de films isolants et dispositifs électriques utilisant de tels films.
US4158717A (en) 1977-02-14 1979-06-19 Varian Associates, Inc. Silicon nitride film and method of deposition
US4419809A (en) 1981-12-30 1983-12-13 International Business Machines Corporation Fabrication process of sub-micrometer channel length MOSFETs
US4500563A (en) 1982-12-15 1985-02-19 Pacific Western Systems, Inc. Independently variably controlled pulsed R.F. plasma chemical vapor processing
US4575921A (en) 1983-11-04 1986-03-18 General Motors Corporation Silicon nitride formation and use in self-aligned semiconductor device manufacturing method
CA1327338C (en) 1987-02-02 1994-03-01 Chorng-Ping Chang Process for producing devices containing silicon nitride films
EP0313683A1 (en) 1987-10-30 1989-05-03 International Business Machines Corporation Method for fabricating a semiconductor integrated circuit structure having a submicrometer length device element
US5420067A (en) 1990-09-28 1995-05-30 The United States Of America As Represented By The Secretary Of The Navy Method of fabricatring sub-half-micron trenches and holes
US5091332A (en) 1990-11-19 1992-02-25 Intel Corporation Semiconductor field oxidation process
DE69130947T2 (de) 1991-01-08 1999-07-08 Fujitsu Ltd Verfahren zur bildung eines siliciumoxid-filmes
US5202272A (en) 1991-03-25 1993-04-13 International Business Machines Corporation Field effect transistor formed with deep-submicron gate
US5230929A (en) 1992-07-20 1993-07-27 Dow Corning Corporation Plasma-activated chemical vapor deposition of fluoridated cyclic siloxanes
US5496608A (en) 1993-09-22 1996-03-05 Brother Kogyo Kabushiki Kaisha Optical recording medium
US5528719A (en) 1993-10-26 1996-06-18 Sumitomo Metal Mining Company Limited Optical fiber guide structure and method of fabricating same
US6342277B1 (en) 1996-08-16 2002-01-29 Licensee For Microelectronics: Asm America, Inc. Sequential chemical vapor deposition
US5670432A (en) 1996-08-01 1997-09-23 Taiwan Semiconductor Manufacturing Company, Ltd. Thermal treatment to form a void free aluminum metal layer for a semiconductor device
US5731235A (en) 1996-10-30 1998-03-24 Micron Technology, Inc. Methods of forming a silicon nitrite film, a capacitor dielectric layer and a capacitor
US5891805A (en) 1996-12-13 1999-04-06 Intel Corporation Method of forming contacts
US6039834A (en) 1997-03-05 2000-03-21 Applied Materials, Inc. Apparatus and methods for upgraded substrate processing system with microwave plasma source
US6153519A (en) 1997-03-31 2000-11-28 Motorola, Inc. Method of forming a barrier layer
US6225175B1 (en) 1997-06-20 2001-05-01 Texas Instruments Incorporated Process for defining ultra-thin geometries
US5854105A (en) 1997-11-05 1998-12-29 Vanguard International Semiconductor Corporation Method for making dynamic random access memory cells having double-crown stacked capacitors with center posts
US5856003A (en) 1997-11-17 1999-01-05 Taiwan Semiconductor Manufacturing Company, Ltd. Method for forming pseudo buried layer for sub-micron bipolar or BiCMOS device
US5976990A (en) 1998-01-09 1999-11-02 Micron Technology, Inc. Method for optimization of thin film deposition
US6380056B1 (en) 1998-10-23 2002-04-30 Taiwan Semiconductor Manufacturing Company Lightly nitridation surface for preparing thin-gate oxides
US6197701B1 (en) 1998-10-23 2001-03-06 Taiwan Semiconductor Manufacturing Company Lightly nitridation surface for preparing thin-gate oxides
US6228779B1 (en) 1998-11-06 2001-05-08 Novellus Systems, Inc. Ultra thin oxynitride and nitride/oxide stacked gate dielectrics fabricated by high pressure technology
US6403416B1 (en) 1999-01-07 2002-06-11 Taiwan Semiconductor Manufacturing Company Method for making a double-cylinder-capacitor structure for dynamic random access memory (DRAM)
KR100273473B1 (ko) 1999-04-06 2000-11-15 이경수 박막 형성 방법
KR100340716B1 (ko) 1999-10-29 2002-06-20 윤종용 실리콘 질화막 형성방법
CA2387373A1 (en) 1999-11-02 2001-06-28 Tokyo Electron Limited Method and apparatus for supercritical processing of a workpiece
KR100338125B1 (ko) 1999-12-31 2002-05-24 구본준, 론 위라하디락사 박막 트랜지스터 및 그 제조방법
DE60125338T2 (de) 2000-03-07 2007-07-05 Asm International N.V. Gradierte dünne schichten
WO2001082368A2 (en) 2000-04-25 2001-11-01 Tokyo Electron Limited Method of depositing metal film and metal deposition cluster tool including supercritical drying/cleaning module
KR100366621B1 (ko) 2000-06-28 2003-01-09 삼성전자 주식회사 반도체 소자의 도전성 콘택체를 형성하는 방법
US6632741B1 (en) * 2000-07-19 2003-10-14 International Business Machines Corporation Self-trimming method on looped patterns
US6548368B1 (en) 2000-08-23 2003-04-15 Applied Materials, Inc. Method of forming a MIS capacitor
US6416822B1 (en) 2000-12-06 2002-07-09 Angstrom Systems, Inc. Continuous method for depositing a film by modulated ion-induced atomic layer deposition (MII-ALD)
US6428859B1 (en) 2000-12-06 2002-08-06 Angstron Systems, Inc. Sequential method for depositing a film by modulated ion-induced atomic layer deposition (MII-ALD)
US6632478B2 (en) 2001-02-22 2003-10-14 Applied Materials, Inc. Process for forming a low dielectric constant carbon-containing film
JP3696119B2 (ja) 2001-04-26 2005-09-14 株式会社日立製作所 半導体装置、及び半導体装置の製造方法
US6709928B1 (en) 2001-07-31 2004-03-23 Cypress Semiconductor Corporation Semiconductor device having silicon-rich layer and method of manufacturing such a device
JP4116283B2 (ja) 2001-11-30 2008-07-09 レール・リキード−ソシエテ・アノニム・プール・レテュード・エ・レクスプロワタシオン・デ・プロセデ・ジョルジュ・クロード ヘキサキス(モノヒドロカルビルアミノ)ジシランおよびその製造方法
US6638879B2 (en) 2001-12-06 2003-10-28 Macronix International Co., Ltd. Method for forming nitride spacer by using atomic layer deposition
US6911391B2 (en) 2002-01-26 2005-06-28 Applied Materials, Inc. Integration of titanium and titanium nitride layers
KR20030081144A (ko) 2002-04-11 2003-10-17 가부시키가이샤 히다치 고쿠사이 덴키 종형 반도체 제조 장치
US6518167B1 (en) 2002-04-16 2003-02-11 Advanced Micro Devices, Inc. Method of forming a metal or metal nitride interface layer between silicon nitride and copper
US7041335B2 (en) 2002-06-04 2006-05-09 Applied Materials, Inc. Titanium tantalum nitride silicide layer
KR100469126B1 (ko) 2002-06-05 2005-01-29 삼성전자주식회사 수소 함유량이 적은 박막 형성방법
WO2004009861A2 (en) 2002-07-19 2004-01-29 Asm America, Inc. Method to form ultra high quality silicon-containing compound layers
US7294582B2 (en) 2002-07-19 2007-11-13 Asm International, N.V. Low temperature silicon compound deposition
US6794284B2 (en) 2002-08-28 2004-09-21 Micron Technology, Inc. Systems and methods for forming refractory metal nitride layers using disilazanes
US6967159B2 (en) 2002-08-28 2005-11-22 Micron Technology, Inc. Systems and methods for forming refractory metal nitride layers using organic amines
US20070212850A1 (en) * 2002-09-19 2007-09-13 Applied Materials, Inc. Gap-fill depositions in the formation of silicon containing dielectric materials
JP4358492B2 (ja) 2002-09-25 2009-11-04 レール・リキード−ソシエテ・アノニム・プール・レテュード・エ・レクスプロワタシオン・デ・プロセデ・ジョルジュ・クロード 熱化学気相成長法によるシリコン窒化物膜またはシリコンオキシ窒化物膜の製造方法
US7531679B2 (en) 2002-11-14 2009-05-12 Advanced Technology Materials, Inc. Composition and method for low temperature deposition of silicon-containing films such as films including silicon nitride, silicon dioxide and/or silicon-oxynitride
KR100496265B1 (ko) 2002-11-29 2005-06-17 한국전자통신연구원 반도체 소자의 박막 형성방법
US7172792B2 (en) 2002-12-20 2007-02-06 Applied Materials, Inc. Method for forming a high quality low temperature silicon nitride film
CN101572232B (zh) 2002-12-20 2011-12-21 应用材料有限公司 形成高质量的低温氮化硅层的方法
US7713592B2 (en) 2003-02-04 2010-05-11 Tegal Corporation Nanolayer deposition process
JP4329403B2 (ja) 2003-05-19 2009-09-09 東京エレクトロン株式会社 プラズマ処理装置
US7125582B2 (en) 2003-07-30 2006-10-24 Intel Corporation Low-temperature silicon nitride deposition
DE10335099B4 (de) 2003-07-31 2006-06-08 Advanced Micro Devices, Inc., Sunnyvale Verfahren zum Verbessern der Dickengleichförmigkeit von Siliziumnitridschichten für mehrere Halbleiterscheiben
US6943097B2 (en) 2003-08-19 2005-09-13 International Business Machines Corporation Atomic layer deposition of metallic contacts, gates and diffusion barriers
US20050227017A1 (en) 2003-10-31 2005-10-13 Yoshihide Senzaki Low temperature deposition of silicon nitride
US20050109276A1 (en) 2003-11-25 2005-05-26 Applied Materials, Inc. Thermal chemical vapor deposition of silicon nitride using BTBAS bis(tertiary-butylamino silane) in a single wafer chamber
US7291271B2 (en) 2003-12-09 2007-11-06 Separation Design Group, Llc Meso-frequency traveling wave electro-kinetic continuous adsorption system
KR100583105B1 (ko) * 2003-12-24 2006-05-23 주식회사 하이닉스반도체 반도체 소자의 화학적 기계적 연마 공정의 종말점 검출 방법
JP2005210076A (ja) 2003-12-25 2005-08-04 Semiconductor Leading Edge Technologies Inc 窒化珪素膜の成膜方法及びこの方法を使用する半導体装置の製造方法
KR100560654B1 (ko) 2004-01-08 2006-03-16 삼성전자주식회사 질화실리콘막을 형성을 위한 질소화합물 및 이를 이용한질화실리콘 막의 형성방법
US20050170104A1 (en) 2004-01-29 2005-08-04 Applied Materials, Inc. Stress-tuned, single-layer silicon nitride film
JP4279176B2 (ja) 2004-03-02 2009-06-17 株式会社アルバック シリコン窒化膜の形成方法
US7550067B2 (en) 2004-06-25 2009-06-23 Guardian Industries Corp. Coated article with ion treated underlayer and corresponding method
US7585396B2 (en) 2004-06-25 2009-09-08 Guardian Industries Corp. Coated article with ion treated overcoat layer and corresponding method
JP4396547B2 (ja) 2004-06-28 2010-01-13 東京エレクトロン株式会社 成膜方法、成膜装置及び記憶媒体
JP4595702B2 (ja) 2004-07-15 2010-12-08 東京エレクトロン株式会社 成膜方法、成膜装置及び記憶媒体
JP4179311B2 (ja) 2004-07-28 2008-11-12 東京エレクトロン株式会社 成膜方法、成膜装置及び記憶媒体
JP4470023B2 (ja) 2004-08-20 2010-06-02 レール・リキード−ソシエテ・アノニム・プール・レテュード・エ・レクスプロワタシオン・デ・プロセデ・ジョルジュ・クロード シリコン窒化物膜の製造方法
US7271464B2 (en) 2004-08-24 2007-09-18 Micron Technology, Inc. Liner for shallow trench isolation
US7629270B2 (en) 2004-08-27 2009-12-08 Asm America, Inc. Remote plasma activated nitridation
US7910288B2 (en) 2004-09-01 2011-03-22 Micron Technology, Inc. Mask material conversion
US20060084283A1 (en) 2004-10-20 2006-04-20 Paranjpe Ajit P Low temperature sin deposition methods
JP4701691B2 (ja) 2004-11-29 2011-06-15 東京エレクトロン株式会社 エッチング方法
US7429820B2 (en) * 2004-12-07 2008-09-30 Motorola, Inc. Field emission display with electron trajectory field shaping
US7482247B1 (en) 2004-12-30 2009-01-27 Novellus Systems, Inc. Conformal nanolaminate dielectric deposition and etch bag gap fill process
US20060162661A1 (en) 2005-01-22 2006-07-27 Applied Materials, Inc. Mixing energized and non-energized gases for silicon nitride deposition
KR100622609B1 (ko) 2005-02-16 2006-09-19 주식회사 하이닉스반도체 박막 형성 방법
US7629267B2 (en) 2005-03-07 2009-12-08 Asm International N.V. High stress nitride film and method for formation thereof
JP4258518B2 (ja) 2005-03-09 2009-04-30 東京エレクトロン株式会社 成膜方法、成膜装置及び記憶媒体
JP4506677B2 (ja) 2005-03-11 2010-07-21 東京エレクトロン株式会社 成膜方法、成膜装置及び記憶媒体
JP2006261434A (ja) 2005-03-17 2006-09-28 L'air Liquide Sa Pour L'etude & L'exploitation Des Procede S Georges Claude シリコン酸化膜の形成方法
JP4607637B2 (ja) 2005-03-28 2011-01-05 東京エレクトロン株式会社 シリコン窒化膜の形成方法、シリコン窒化膜の形成装置及びプログラム
US7875556B2 (en) 2005-05-16 2011-01-25 Air Products And Chemicals, Inc. Precursors for CVD silicon carbo-nitride and silicon nitride films
US7429536B2 (en) * 2005-05-23 2008-09-30 Micron Technology, Inc. Methods for forming arrays of small, closely spaced features
US7560390B2 (en) * 2005-06-02 2009-07-14 Micron Technology, Inc. Multiple spacer steps for pitch multiplication
US7651955B2 (en) 2005-06-21 2010-01-26 Applied Materials, Inc. Method for forming silicon-containing materials during a photoexcitation deposition process
US7700492B2 (en) 2005-06-22 2010-04-20 Tokyo Electron Limited Plasma etching method and apparatus, control program and computer-readable storage medium storing the control program
JP4305427B2 (ja) 2005-08-02 2009-07-29 東京エレクトロン株式会社 成膜方法、成膜装置及び記憶媒体
US7696101B2 (en) * 2005-11-01 2010-04-13 Micron Technology, Inc. Process for increasing feature density during the manufacture of a semiconductor device
US7465669B2 (en) 2005-11-12 2008-12-16 Applied Materials, Inc. Method of fabricating a silicon nitride stack
KR100714305B1 (ko) * 2005-12-26 2007-05-02 삼성전자주식회사 자기정렬 이중패턴의 형성방법
US7301210B2 (en) 2006-01-12 2007-11-27 International Business Machines Corporation Method and structure to process thick and thin fins and variable fin to fin spacing
JP4434149B2 (ja) 2006-01-16 2010-03-17 東京エレクトロン株式会社 成膜方法、成膜装置及び記憶媒体
US7491630B2 (en) 2006-03-15 2009-02-17 Freescale Semiconductor, Inc. Undoped gate poly integration for improved gate patterning and cobalt silicide extendibility
JP4929811B2 (ja) 2006-04-05 2012-05-09 東京エレクトロン株式会社 プラズマ処理装置
JP2007281181A (ja) 2006-04-06 2007-10-25 Elpida Memory Inc 半導体装置の製造方法
US7902074B2 (en) 2006-04-07 2011-03-08 Micron Technology, Inc. Simplified pitch doubling process flow
FR2900276B1 (fr) 2006-04-25 2008-09-12 St Microelectronics Sa Depot peald d'un materiau a base de silicium
US8232176B2 (en) 2006-06-22 2012-07-31 Applied Materials, Inc. Dielectric deposition and etch back processes for bottom up gapfill
US7611980B2 (en) 2006-08-30 2009-11-03 Micron Technology, Inc. Single spacer process for multiplying pitch by a factor greater than two and related intermediate IC structures
JP4929932B2 (ja) 2006-09-01 2012-05-09 東京エレクトロン株式会社 成膜方法、成膜装置及び記憶媒体
KR101057877B1 (ko) 2006-09-19 2011-08-19 도쿄엘렉트론가부시키가이샤 플라즈마 세정 방법 및 플라즈마 cvd 방법
US7939455B2 (en) 2006-09-29 2011-05-10 Tokyo Electron Limited Method for forming strained silicon nitride films and a device containing such films
US20080139003A1 (en) 2006-10-26 2008-06-12 Shahid Pirzada Barrier coating deposition for thin film devices using plasma enhanced chemical vapor deposition process
US20080119057A1 (en) 2006-11-20 2008-05-22 Applied Materials,Inc. Method of clustering sequential processing for a gate stack structure
US20080124946A1 (en) 2006-11-28 2008-05-29 Air Products And Chemicals, Inc. Organosilane compounds for modifying dielectrical properties of silicon oxide and silicon nitride films
US20080213479A1 (en) 2007-02-16 2008-09-04 Tokyo Electron Limited SiCN film formation method and apparatus
US20080207007A1 (en) 2007-02-27 2008-08-28 Air Products And Chemicals, Inc. Plasma Enhanced Cyclic Chemical Vapor Deposition of Silicon-Containing Films
US7488659B2 (en) 2007-03-28 2009-02-10 International Business Machines Corporation Structure and methods for stress concentrating spacer
US20080242032A1 (en) 2007-03-29 2008-10-02 Texas Instruments Incorporated Carbon-Doped Epitaxial SiGe
US7651961B2 (en) 2007-03-30 2010-01-26 Tokyo Electron Limited Method for forming strained silicon nitride films and a device containing such films
US7807578B2 (en) 2007-06-01 2010-10-05 Applied Materials, Inc. Frequency doubling using spacer mask
US7923373B2 (en) 2007-06-04 2011-04-12 Micron Technology, Inc. Pitch multiplication using self-assembling materials
JP5151260B2 (ja) 2007-06-11 2013-02-27 東京エレクトロン株式会社 成膜方法及び成膜装置
KR100956210B1 (ko) 2007-06-19 2010-05-04 에어 프로덕츠 앤드 케미칼스, 인코오포레이티드 금속 실리콘 질화물 박막의 플라즈마 강화 사이클릭증착방법
US7910497B2 (en) 2007-07-30 2011-03-22 Applied Materials, Inc. Method of forming dielectric layers on a substrate and apparatus therefor
JP5098882B2 (ja) 2007-08-31 2012-12-12 東京エレクトロン株式会社 プラズマ処理装置
WO2009039251A1 (en) 2007-09-18 2009-03-26 L'air Liquide - Societe Anonyme Pour L'etude Et L'exploitation Des Procedes Georges Claude Method of forming silicon-containing films
US7651959B2 (en) 2007-12-03 2010-01-26 Asm Japan K.K. Method for forming silazane-based dielectric film
US8440569B2 (en) 2007-12-07 2013-05-14 Cadence Design Systems, Inc. Method of eliminating a lithography operation
US20090155606A1 (en) 2007-12-13 2009-06-18 Asm Genitech Korea Ltd. Methods of depositing a silicon nitride film
US7678715B2 (en) 2007-12-21 2010-03-16 Applied Materials, Inc. Low wet etch rate silicon nitride film
JP4935684B2 (ja) 2008-01-12 2012-05-23 東京エレクトロン株式会社 成膜方法及び成膜装置
JP4935687B2 (ja) 2008-01-19 2012-05-23 東京エレクトロン株式会社 成膜方法及び成膜装置
JP5297048B2 (ja) 2008-01-28 2013-09-25 三菱重工業株式会社 プラズマ処理方法及びプラズマ処理装置
JP2009260151A (ja) 2008-04-18 2009-11-05 Tokyo Electron Ltd 金属ドープ層の形成方法、成膜装置及び記憶媒体
US8383525B2 (en) 2008-04-25 2013-02-26 Asm America, Inc. Plasma-enhanced deposition process for forming a metal oxide thin film and related structures
US8133797B2 (en) 2008-05-16 2012-03-13 Novellus Systems, Inc. Protective layer to enable damage free gap fill
US7622369B1 (en) 2008-05-30 2009-11-24 Asm Japan K.K. Device isolation technology on semiconductor substrate
US8298628B2 (en) 2008-06-02 2012-10-30 Air Products And Chemicals, Inc. Low temperature deposition of silicon-containing films
JP5190307B2 (ja) 2008-06-29 2013-04-24 東京エレクトロン株式会社 成膜方法、成膜装置及び記憶媒体
US8076208B2 (en) 2008-07-03 2011-12-13 Micron Technology, Inc. Method for forming transistor with high breakdown voltage using pitch multiplication technique
JP5233562B2 (ja) 2008-10-04 2013-07-10 東京エレクトロン株式会社 成膜方法及び成膜装置
US7745346B2 (en) 2008-10-17 2010-06-29 Novellus Systems, Inc. Method for improving process control and film conformality of PECVD film
JP2010103303A (ja) 2008-10-23 2010-05-06 Toshiba Corp 磁気抵抗素子及びその製造方法
US8647722B2 (en) 2008-11-14 2014-02-11 Asm Japan K.K. Method of forming insulation film using plasma treatment cycles
US10378106B2 (en) 2008-11-14 2019-08-13 Asm Ip Holding B.V. Method of forming insulation film by modified PEALD
US20100136313A1 (en) 2008-12-01 2010-06-03 Asm Japan K.K. Process for forming high resistivity thin metallic film
US7833906B2 (en) 2008-12-11 2010-11-16 Asm International N.V. Titanium silicon nitride deposition
US9640396B2 (en) 2009-01-07 2017-05-02 Brewer Science Inc. Spin-on spacer materials for double- and triple-patterning lithography
US7972980B2 (en) 2009-01-21 2011-07-05 Asm Japan K.K. Method of forming conformal dielectric film having Si-N bonds by PECVD
US7919416B2 (en) 2009-01-21 2011-04-05 Asm Japan K.K. Method of forming conformal dielectric film having Si-N bonds by PECVD
US8268727B2 (en) * 2009-04-20 2012-09-18 GlobalFoundries, Inc. Methods for fabricating FinFET semiconductor devices using planarized spacers
US8791034B2 (en) 2009-06-26 2014-07-29 Cornell University Chemical vapor deposition process for aluminum silicon nitride
KR20110002208A (ko) 2009-07-01 2011-01-07 삼성전자주식회사 반도체 소자의 형성방법
JP2011023718A (ja) 2009-07-15 2011-02-03 Asm Japan Kk PEALDによってSi−N結合を有するストレス調節された誘電体膜を形成する方法
US8105901B2 (en) 2009-07-27 2012-01-31 International Business Machines Corporation Method for double pattern density
US7989365B2 (en) 2009-08-18 2011-08-02 Applied Materials, Inc. Remote plasma source seasoning
US8173554B2 (en) 2009-10-14 2012-05-08 Asm Japan K.K. Method of depositing dielectric film having Si-N bonds by modified peald method
US8354331B2 (en) 2009-12-01 2013-01-15 International Business Machines Corporation Multiplying pattern density by single sidewall imaging transfer
US8021949B2 (en) 2009-12-01 2011-09-20 International Business Machines Corporation Method and structure for forming finFETs with multiple doping regions on a same chip
JP2013515376A (ja) 2009-12-22 2013-05-02 アプライド マテリアルズ インコーポレイテッド 連続プラズマを用いるpecvd(プラズマ化学気相堆積)マルチステップ処理
JP2011192776A (ja) 2010-03-15 2011-09-29 Toshiba Corp 半導体装置の製造方法
JP5742185B2 (ja) 2010-03-19 2015-07-01 東京エレクトロン株式会社 成膜装置、成膜方法、回転数の最適化方法及び記憶媒体
US20110244142A1 (en) 2010-03-30 2011-10-06 Applied Materials, Inc. Nitrogen doped amorphous carbon hardmask
JP5815669B2 (ja) 2010-04-01 2015-11-17 レール・リキード−ソシエテ・アノニム・プール・レテュード・エ・レクスプロワタシオン・デ・プロセデ・ジョルジュ・クロード アミノ金属前駆体とハロゲン化金属前駆体との化合を使用する金属窒化物含有膜堆積
US8993460B2 (en) 2013-01-10 2015-03-31 Novellus Systems, Inc. Apparatuses and methods for depositing SiC/SiCN films via cross-metathesis reactions with organometallic co-reactants
US9611544B2 (en) 2010-04-15 2017-04-04 Novellus Systems, Inc. Plasma activated conformal dielectric film deposition
US9892917B2 (en) 2010-04-15 2018-02-13 Lam Research Corporation Plasma assisted atomic layer deposition of multi-layer films for patterning applications
US8728956B2 (en) 2010-04-15 2014-05-20 Novellus Systems, Inc. Plasma activated conformal film deposition
US9390909B2 (en) 2013-11-07 2016-07-12 Novellus Systems, Inc. Soft landing nanolaminates for advanced patterning
US9076646B2 (en) 2010-04-15 2015-07-07 Lam Research Corporation Plasma enhanced atomic layer deposition with pulsed plasma exposure
US8637411B2 (en) 2010-04-15 2014-01-28 Novellus Systems, Inc. Plasma activated conformal dielectric film deposition
US8669185B2 (en) 2010-07-30 2014-03-11 Asm Japan K.K. Method of tailoring conformality of Si-containing film
KR101147728B1 (ko) 2010-08-02 2012-05-25 주식회사 유진테크 사이클릭 박막 증착 방법
US8394466B2 (en) 2010-09-03 2013-03-12 Asm Japan K.K. Method of forming conformal film having si-N bonds on high-aspect ratio pattern
US8138097B1 (en) * 2010-09-20 2012-03-20 Kabushiki Kaisha Toshiba Method for processing semiconductor structure and device based on the same
US9685320B2 (en) 2010-09-23 2017-06-20 Lam Research Corporation Methods for depositing silicon oxide
US9040127B2 (en) 2010-09-24 2015-05-26 Applied Materials, Inc. Low temperature silicon carbide deposition process
US20120213940A1 (en) 2010-10-04 2012-08-23 Applied Materials, Inc. Atomic layer deposition of silicon nitride using dual-source precursor and interleaved plasma
WO2012057889A1 (en) 2010-10-29 2012-05-03 Applied Materials, Inc. Atomic layer deposition film with tunable refractive index and absorption coefficient and methods of making
US8679914B2 (en) 2010-11-02 2014-03-25 Micron Technology, Inc. Method of forming a chalcogenide material and methods of forming a resistive random access memory device including a chalcogenide material
US8288083B2 (en) * 2010-11-05 2012-10-16 Micron Technology, Inc. Methods of forming patterned masks
KR20120062385A (ko) 2010-12-06 2012-06-14 에스케이하이닉스 주식회사 반도체 메모리 소자의 형성방법
KR101225601B1 (ko) 2010-12-16 2013-01-24 한국과학기술원 대면적 나노스케일 패턴형성방법
JP5682290B2 (ja) 2010-12-20 2015-03-11 東京エレクトロン株式会社 炭素含有薄膜のスリミング方法及び酸化装置
JP5689398B2 (ja) 2010-12-21 2015-03-25 東京エレクトロン株式会社 窒化シリコン膜の成膜方法及び成膜装置
US8901016B2 (en) 2010-12-28 2014-12-02 Asm Japan K.K. Method of forming metal oxide hardmask
KR101172272B1 (ko) * 2010-12-30 2012-08-09 에스케이하이닉스 주식회사 매립비트라인을 구비한 반도체장치 제조 방법
US8883649B2 (en) * 2011-03-23 2014-11-11 International Business Machines Corporation Sidewall image transfer process
WO2012136876A1 (en) 2011-04-07 2012-10-11 Picosun Oy Atomic layer deposition with plasma source
US8298951B1 (en) 2011-04-13 2012-10-30 Asm Japan K.K. Footing reduction using etch-selective layer
US8298954B1 (en) 2011-05-06 2012-10-30 International Business Machines Corporation Sidewall image transfer process employing a cap material layer for a metal nitride layer
TW201319299A (zh) 2011-09-13 2013-05-16 Applied Materials Inc 用於低溫電漿輔助沉積的活化矽前驅物
CN107342216B (zh) 2011-09-23 2022-05-31 诺发系统公司 等离子体活化保形电介质膜沉积
US8809169B2 (en) * 2011-09-30 2014-08-19 Tokyo Electron Limited Multi-layer pattern for alternate ALD processes
US9318431B2 (en) 2011-11-04 2016-04-19 Taiwan Semiconductor Manufacturing Company, Ltd. Integrated circuit having a MOM capacitor and method of making same
US20130115778A1 (en) 2011-11-04 2013-05-09 Applied Materials, Inc. Dry Etch Processes
US20130189845A1 (en) 2012-01-19 2013-07-25 Applied Materials, Inc. Conformal amorphous carbon for spacer and spacer protection applications
US8592328B2 (en) 2012-01-20 2013-11-26 Novellus Systems, Inc. Method for depositing a chlorine-free conformal sin film
JP5882776B2 (ja) 2012-02-14 2016-03-09 信越化学工業株式会社 レジスト下層膜形成用組成物、及びパターン形成方法
US8846484B2 (en) 2012-02-15 2014-09-30 Intermolecular, Inc. ReRAM stacks preparation by using single ALD or PVD chamber
US20150031218A1 (en) 2012-03-15 2015-01-29 Tokyo Electron Limited Film forming process and film forming apparatus
JP6125247B2 (ja) 2012-03-21 2017-05-10 株式会社日立国際電気 半導体装置の製造方法、基板処理方法、基板処理装置およびプログラム
US8936977B2 (en) 2012-05-29 2015-01-20 Globalfoundries Singapore Pte. Ltd. Late in-situ doped SiGe junctions for PMOS devices on 28 nm low power/high performance technologies using a silicon oxide encapsulation, early halo and extension implantations
US8703578B2 (en) 2012-05-29 2014-04-22 Globalfoundries Singapore Pte. Ltd. Middle in-situ doped SiGe junctions for PMOS devices on 28 nm low power/high performance technologies using a silicon oxide encapsulation, early halo and extension implantations
US9487869B2 (en) 2012-06-01 2016-11-08 Carnegie Mellon University Pattern transfer with self-assembled nanoparticle assemblies
US8962078B2 (en) 2012-06-22 2015-02-24 Tokyo Electron Limited Method for depositing dielectric films
CN103515197A (zh) 2012-06-26 2014-01-15 中芯国际集成电路制造(上海)有限公司 自对准多重图形化的掩膜层及其形成方法
US9023737B2 (en) 2012-07-11 2015-05-05 Asm Ip Holding B.V. Method for forming conformal, homogeneous dielectric film by cyclic deposition and heat treatment
US20140023794A1 (en) 2012-07-23 2014-01-23 Maitreyee Mahajani Method And Apparatus For Low Temperature ALD Deposition
US8716136B1 (en) 2012-10-19 2014-05-06 Globalfoundries Inc. Method of forming a semiconductor structure including a wet etch process for removing silicon nitride
KR102207992B1 (ko) 2012-10-23 2021-01-26 램 리써치 코포레이션 서브-포화된 원자층 증착 및 등각막 증착
KR102052936B1 (ko) 2012-11-13 2019-12-06 삼성전자 주식회사 반도체 소자 제조 방법
US8784951B2 (en) 2012-11-16 2014-07-22 Asm Ip Holding B.V. Method for forming insulation film using non-halide precursor having four or more silicons
US9362133B2 (en) 2012-12-14 2016-06-07 Lam Research Corporation Method for forming a mask by etching conformal film on patterned ashable hardmask
US9446965B2 (en) 2013-02-19 2016-09-20 Nanotech Industrial Solutions, Inc. Applications for inorganic fullerene-like particles
US8623770B1 (en) * 2013-02-21 2014-01-07 HGST Netherlands B.V. Method for sidewall spacer line doubling using atomic layer deposition of a titanium oxide
US9564309B2 (en) 2013-03-14 2017-02-07 Asm Ip Holding B.V. Si precursors for deposition of SiN at low temperatures
US9824881B2 (en) 2013-03-14 2017-11-21 Asm Ip Holding B.V. Si precursors for deposition of SiN at low temperatures
US20140273531A1 (en) 2013-03-14 2014-09-18 Asm Ip Holding B.V. Si PRECURSORS FOR DEPOSITION OF SiN AT LOW TEMPERATURES
KR102151611B1 (ko) 2013-03-15 2020-09-03 어플라이드 머티어리얼스, 인코포레이티드 초-콘포말한 탄소 막 증착
TW201441408A (zh) 2013-03-15 2014-11-01 Applied Materials Inc 包含氮化矽之膜的電漿輔助原子層沉積
US20140273530A1 (en) 2013-03-15 2014-09-18 Victor Nguyen Post-Deposition Treatment Methods For Silicon Nitride
US20140271097A1 (en) 2013-03-15 2014-09-18 Applied Materials, Inc. Processing systems and methods for halide scavenging
US9012336B2 (en) 2013-04-08 2015-04-21 Applied Materials, Inc. Method for conformal treatment of dielectric films using inductively coupled plasma
US9209274B2 (en) 2013-07-19 2015-12-08 Globalfoundries Inc. Highly conformal extension doping in advanced multi-gate devices
KR102081195B1 (ko) 2013-08-28 2020-02-25 삼성전자주식회사 반도체 장치 및 이의 제조 방법
US20150251917A1 (en) * 2013-10-21 2015-09-10 Qualcomm Mems Technologies, Inc. Method of patterning pillars
US9159579B2 (en) 2013-10-25 2015-10-13 Taiwan Semiconductor Manufacturing Company, Ltd. Lithography using multilayer spacer for reduced spacer footing
KR101860243B1 (ko) 2013-11-08 2018-05-21 도쿄엘렉트론가부시키가이샤 Euv 리소그래피를 가속화하기 위한 사후처리 방법을 이용한 방법
US10084016B2 (en) 2013-11-21 2018-09-25 Micron Technology, Inc. Cross-point memory and methods for fabrication of same
TWI480415B (zh) 2013-11-27 2015-04-11 Ind Tech Res Inst 多模式薄膜沉積設備以及薄膜沉積方法
US9123776B2 (en) * 2013-12-04 2015-09-01 Taiwan Semiconductor Manufacturing Company, Ltd. Self-aligned double spacer patterning process
US9614053B2 (en) * 2013-12-05 2017-04-04 Taiwan Semiconductor Manufacturing Company, Ltd. Spacers with rectangular profile and methods of forming the same
CN105917445B (zh) * 2014-01-13 2020-05-22 应用材料公司 具有空间原子层沉积的自对准式双图案化
US9806129B2 (en) 2014-02-25 2017-10-31 Micron Technology, Inc. Cross-point memory and methods for fabrication of same
US9660080B2 (en) * 2014-02-28 2017-05-23 Stmicroelectronics, Inc. Multi-layer strained channel FinFET
CN103972076A (zh) * 2014-05-20 2014-08-06 上海华力微电子有限公司 一种自对准双层图形的形成方法
US9406522B2 (en) 2014-07-24 2016-08-02 Applied Materials, Inc. Single platform, multiple cycle spacer deposition and etch
WO2016022518A1 (en) * 2014-08-08 2016-02-11 Applied Materials, Inc. Multi materials and selective removal enabled reverse tone process
US20160049307A1 (en) 2014-08-15 2016-02-18 Yijian Chen Patterning method for IC fabrication using 2-D layout decomposition and synthesis techniques
US9318334B2 (en) * 2014-08-27 2016-04-19 United Microelectronics Corp. Method for fabricating semiconductor device
US9576792B2 (en) 2014-09-17 2017-02-21 Asm Ip Holding B.V. Deposition of SiN
US9214333B1 (en) 2014-09-24 2015-12-15 Lam Research Corporation Methods and apparatuses for uniform reduction of the in-feature wet etch rate of a silicon nitride film formed by ALD
US9355837B2 (en) 2014-09-25 2016-05-31 Micron Technology, Inc. Methods of forming and using materials containing silicon and nitrogen
US9875888B2 (en) 2014-10-03 2018-01-23 Applied Materials, Inc. High temperature silicon oxide atomic layer deposition technology
US9791779B2 (en) * 2014-10-16 2017-10-17 Tokyo Electron Limited EUV resist etch durability improvement and pattern collapse mitigation
US9685332B2 (en) * 2014-10-17 2017-06-20 Taiwan Semiconductor Manufacturing Company, Ltd. Iterative self-aligned patterning
US9589790B2 (en) 2014-11-24 2017-03-07 Lam Research Corporation Method of depositing ammonia free and chlorine free conformal silicon nitride film
US9564312B2 (en) 2014-11-24 2017-02-07 Lam Research Corporation Selective inhibition in atomic layer deposition of silicon-containing films
US9449971B2 (en) 2014-12-01 2016-09-20 Taiwan Semiconductor Manufacturing Company, Ltd. Methods of forming FinFETs
US9620377B2 (en) 2014-12-04 2017-04-11 Lab Research Corporation Technique to deposit metal-containing sidewall passivation for high aspect ratio cylinder etch
EP3035379B1 (en) 2014-12-15 2020-07-01 IMEC vzw Method for blocking a trench portion
US9673059B2 (en) * 2015-02-02 2017-06-06 Tokyo Electron Limited Method for increasing pattern density in self-aligned patterning integration schemes
US9443731B1 (en) 2015-02-20 2016-09-13 Tokyo Electron Limited Material processing to achieve sub-10nm patterning
US9530646B2 (en) * 2015-02-24 2016-12-27 United Microelectronics Corp. Method of forming a semiconductor structure
US9472506B2 (en) 2015-02-25 2016-10-18 International Business Machines Corporation Registration mark formation during sidewall image transfer process
US9748093B2 (en) 2015-03-18 2017-08-29 Applied Materials, Inc. Pulsed nitride encapsulation
US9502238B2 (en) 2015-04-03 2016-11-22 Lam Research Corporation Deposition of conformal films by atomic layer deposition and atomic layer etch
US9786503B2 (en) 2015-04-08 2017-10-10 Tokyo Electron Limited Method for increasing pattern density in self-aligned patterning schemes without using hard masks
US9406693B1 (en) 2015-04-20 2016-08-02 Sandisk Technologies Llc Selective removal of charge-trapping layer for select gate transistors and dummy memory cells in 3D stacked memory
CN106298519A (zh) * 2015-05-15 2017-01-04 联华电子股份有限公司 形成半导体结构的方法
US9653571B2 (en) * 2015-06-15 2017-05-16 International Business Machines Corporation Freestanding spacer having sub-lithographic lateral dimension and method of forming same
US9508560B1 (en) * 2015-06-18 2016-11-29 International Business Machines Corporation SiARC removal with plasma etch and fluorinated wet chemical solution combination
US9530663B1 (en) 2015-06-23 2016-12-27 Nanya Technology Corp. Method for forming a pattern
US9748110B2 (en) 2015-09-03 2017-08-29 Tokyo Electron Limited Method and system for selective spacer etch for multi-patterning schemes
US9601693B1 (en) 2015-09-24 2017-03-21 Lam Research Corporation Method for encapsulating a chalcogenide material
US10020196B2 (en) * 2015-09-24 2018-07-10 Tokyo Electron Limited Methods of forming etch masks for sub-resolution substrate patterning
US10141417B2 (en) 2015-10-20 2018-11-27 Taiwan Semiconductor Manufacturing Company, Ltd. Gate structure, semiconductor device and the method of forming semiconductor device
KR102603019B1 (ko) 2015-11-20 2023-11-15 도쿄엘렉트론가부시키가이샤 분해능이하 기판 패터닝을 위한 에칭 마스크를 형성하는 방법
US9576817B1 (en) 2015-12-03 2017-02-21 International Business Machines Corporation Pattern decomposition for directed self assembly patterns templated by sidewall image transfer
US9508604B1 (en) 2016-04-29 2016-11-29 Globalfoundries Inc. Methods of forming punch through stop regions on FinFET devices on CMOS-based IC products using doped spacers
US10157736B2 (en) 2016-05-06 2018-12-18 Lam Research Corporation Methods of encapsulation
CN105977141A (zh) * 2016-05-10 2016-09-28 上海格易电子有限公司 一种自对准双重图形化的方法
US10062563B2 (en) 2016-07-01 2018-08-28 Lam Research Corporation Selective atomic layer deposition with post-dose treatment
US10629435B2 (en) 2016-07-29 2020-04-21 Lam Research Corporation Doped ALD films for semiconductor patterning applications
US10037884B2 (en) 2016-08-31 2018-07-31 Lam Research Corporation Selective atomic layer deposition for gapfill using sacrificial underlayer
US10074543B2 (en) 2016-08-31 2018-09-11 Lam Research Corporation High dry etch rate materials for semiconductor patterning applications
US10454029B2 (en) 2016-11-11 2019-10-22 Lam Research Corporation Method for reducing the wet etch rate of a sin film without damaging the underlying substrate
US10134579B2 (en) 2016-11-14 2018-11-20 Lam Research Corporation Method for high modulus ALD SiO2 spacer
US10269559B2 (en) 2017-09-13 2019-04-23 Lam Research Corporation Dielectric gapfill of high aspect ratio features utilizing a sacrificial etch cap layer

Cited By (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2019204815A (ja) * 2018-05-21 2019-11-28 東京エレクトロン株式会社 基板処理方法及び基板処理装置
JP2021536680A (ja) * 2018-09-07 2021-12-27 ケーエルエー コーポレイション 制御された寸法を有する半導体ウェハフィーチャを製作するためのシステムおよび方法
JP7232901B2 (ja) 2018-09-07 2023-03-03 ケーエルエー コーポレイション 半導体ウェハフィーチャを製作するための方法
JP7407583B2 (ja) 2018-12-12 2024-01-04 東京エレクトロン株式会社 自己整合マルチパターニングにおいてスペーサプロファイルを再整形する方法
WO2020242645A1 (en) * 2019-05-24 2020-12-03 Applied Materials, Inc. Method for forming and patterning a layer and/or substrate
US11145509B2 (en) 2019-05-24 2021-10-12 Applied Materials, Inc. Method for forming and patterning a layer and/or substrate

Also Published As

Publication number Publication date
CN108183071A (zh) 2018-06-19
KR20180053238A (ko) 2018-05-21
CN108183071B (zh) 2022-10-04
SG10201709240QA (en) 2018-06-28
TW201833992A (zh) 2018-09-16
KR102514839B1 (ko) 2023-03-27
US10832908B2 (en) 2020-11-10
US20180138040A1 (en) 2018-05-17

Similar Documents

Publication Publication Date Title
KR102514839B1 (ko) Ald 갭충진 스페이서 마스크를 사용하는 자기-정렬된 다중 패터닝 프로세스 플로우
KR102407031B1 (ko) 고 계수 ald sio2 스페이서를 위한 방법
KR102510157B1 (ko) 반도체 패터닝 애플리케이션들을 위한 도핑된 ald 막들
KR102474327B1 (ko) 반도체 패터닝 애플리케이션을 위한 고 건식 에칭 레이트 재료들
JP6562629B2 (ja) パルスプラズマ暴露を伴うプラズマ原子層堆積
TWI640469B (zh) 用於進階圖案化之軟著陸奈米層
CN112242345A (zh) 创建气隙的方法
CN112368804A (zh) 含金属硬掩模薄膜的选择性生长
TWI834679B (zh) 含金屬硬遮罩薄膜的選擇性生長

Legal Events

Date Code Title Description
A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20180313

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20180601