CN104769705A - 制造含硅薄膜的方法 - Google Patents

制造含硅薄膜的方法 Download PDF

Info

Publication number
CN104769705A
CN104769705A CN201380058326.3A CN201380058326A CN104769705A CN 104769705 A CN104769705 A CN 104769705A CN 201380058326 A CN201380058326 A CN 201380058326A CN 104769705 A CN104769705 A CN 104769705A
Authority
CN
China
Prior art keywords
silicon
gas
formation
containing film
silicon nitride
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
CN201380058326.3A
Other languages
English (en)
Other versions
CN104769705B (zh
Inventor
韩元锡
高元勇
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
UP Chemical Co Ltd
Original Assignee
UP Chemical Co Ltd
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by UP Chemical Co Ltd filed Critical UP Chemical Co Ltd
Priority claimed from PCT/KR2013/010091 external-priority patent/WO2014073892A1/ko
Publication of CN104769705A publication Critical patent/CN104769705A/zh
Application granted granted Critical
Publication of CN104769705B publication Critical patent/CN104769705B/zh
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02612Formation types
    • H01L21/02617Deposition types
    • H01L21/0262Reduction or decomposition of gaseous compounds, e.g. CVD
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/02164Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material being a silicon oxide, e.g. SiO2
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/34Nitrides
    • C23C16/345Silicon nitride
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/02167Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material being a silicon carbide not containing oxygen, e.g. SiC, SiC:H or silicon carbonitrides
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/0217Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material being a silicon nitride not containing oxygen, e.g. SixNy or SixByNz
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02205Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition
    • H01L21/02208Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si
    • H01L21/02211Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si the compound being a silane, e.g. disilane, methylsilane or chlorosilane
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/0228Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition deposition by cyclic CVD, e.g. ALD, ALE, pulsed CVD

Abstract

本发明涉及一种使用由SinCl2n+2(其中n是从约3至约10的整数)表示的氯硅烷化合物形成含硅薄膜的方法,特别在约560℃或以下的低温下,使用氨气通过原子层沉积法在包括凸起或具有高深宽比的凹陷的表面上可以形成具有均匀厚度的高品质碳氮化硅薄膜。

Description

制造含硅薄膜的方法
技术领域
本发明涉及一种使用由SinCl2n+2(其中n是从约3至约10的整数)表示的氯硅烷化合物形成含硅薄膜的方法。
背景技术
氮化硅薄膜已在半导体装置的制造过程中用于各种用途。最近几年,随着半导体器件不断小型化,已需要30nm或30nm以下,或甚至10nm或10nm以下的氮化硅薄膜。举例而言,韩国专利公开公布No.10-2011-0102686(国际专利申请公布No.WO 2010/025024)公开了电介质材料(例如氮化硅)优选作为隔离沟槽的衬里材料并且其厚度是从20埃到100埃,即2nm至10nm。此外,美国专利公开公布No.2012/0085733公开了:当不平坦的表面覆盖有具有均匀厚度的隔离层,以增大光刻工艺后的图案密度时,硅氮化物可作为隔离层的材料。为了形成具有15nm的半节距的用于制造半导体装置的图案,需要氮化物隔离层在不平整的表面上形成为具有约15nm的厚度[Chen Y,Xu P,Miao L,et al.;"Self-aligned triple patterning for continuous isscaling to half-pitch 15nm"SPIE Advanced Lithography.0001;79731P-8.doi:10.1117/12.881645]。
美国专利No.8,143,131公开了一种方法,在该方法中,氧化硅隔离物形成在晶体管的栅极堆叠上,然后,在氧化硅隔离物上形成具有20埃到200埃(即2nm至20nm)的厚度的氮化硅隔离物。使形成膜的气态材料交替地与衬底表面接触的原子层沉积法在包括具有小宽度和高深宽比的沟槽的表面上形成具有均匀厚度的膜方面是特别有利的。在栅极堆叠上形成的隔离物的厚度需要被精确地控制。已积极地研究了用于在低温下形成氮化硅薄膜的原子层沉积法[Raaijmakers I;"Current and Future Applications of ALD in Micro-electronics"ECS Transactions,Volume 41,Issue 2,pp 3-17(2011).doi:10.1149/1.3633649],而不是常规的低压化学气相沉积法。
然而,尽管有这样的需要,然而用于在560℃或以下、520℃或以下、500℃或以下或450℃或以下的温度下,通过原子层沉积(ALD)法在包括具有小宽度和高深宽比的沟槽的表面上形成具有均匀厚度的优异特性的氮化硅薄膜的方法还不是已知的。
发明内容
有待本发明解决的问题
鉴于上述问题,本发明的一个目的是提供一种用于在衬底的包括凸起或凹陷(例如细沟槽)的表面上形成均匀厚度的含硅薄膜的方法。
本发明的另一个目的是还提供一种用于在衬底的包括凸起或凹陷的表面上形成约100nm或100nm以下的均匀厚度的氧化硅薄膜、氮化硅薄膜、或碳氮化硅薄膜的方法。
本发明的又一个目的是提供一种用于在衬底的包括凸起或凹陷的表面上、在约800℃或以下的温度下形成均匀厚度的氧化硅薄膜、氮化硅薄膜、或碳氮化硅薄膜的方法。
本发明的又一个目的是提供一种用于在衬底的包括凸起或凹陷的表面上、在约560℃或以下、约520℃或以下、约500℃或以下或约450℃或以下的低温下形成均匀厚度的氧化硅薄膜、氮化硅薄膜、或碳氮化硅薄膜的方法。
然而,拟通过本发明的示例性实施方式解决的问题不限于上述问题。虽然这里没有描述,但本领域技术人员根据下面的描述可以清楚地理解拟通过本发明解决的其他问题。
解决问题的方法
在本发明的第一方面,提供了一种用于形成含硅薄膜的方法,所述方法包括:使包括由化学式SinCl2n+2(其中n是从约3至约10的整数)表示的氯硅烷化合物的气体和包括从由氮、氧、碳及它们的组合组成的群组中选择的元素的反应物气体接触包括具有约1或以上的深宽比和约1微米或以下的宽度的至少一个沟槽的衬底。
本发明的效果
根据本发明,在衬底的包括凸起或凹陷(例如细沟槽)的表面上形成多个含硅薄膜且每个含硅薄膜具有均匀厚度,这是可行的。
根据本发明的一个示例性实施方式,在衬底的包括凸起或凹陷的表面上形成约100nm或100nm以下的均匀厚度的氧化硅薄膜、氮化硅薄膜、或碳氮化硅薄膜,这是可行的。
根据本发明的一个示例性实施方式,在衬底的包括凸起或凹陷的表面上、在约800℃或以下的温度下形成均匀厚度的诸如氧化硅薄膜、氮化硅薄膜、或碳氮化硅薄膜之类的多种含硅薄膜,这是可行的。
根据本发明的一个示例性实施方式,提供了一种在衬底的包括凸起或凹陷的表面上、在约560℃或以下、约520℃或以下、约500℃或以下或约450℃或以下的低温下形成均匀厚度的诸如氧化硅薄膜、氮化硅薄膜、或碳氮化硅薄膜之类的多种含硅薄膜的方法。
根据本发明的一个示例性实施方式,通过化学气相沉积(CVD)法或原子层沉积(ALD)法形成约100nm或100nm以下的均匀厚度的诸如氧化硅薄膜、氮化硅薄膜、或碳氮化硅薄膜之类的多种含硅薄膜,这是可行的。
根据本发明的一个示例性实施方式,通过ALD法形成约100nm或100nm以下的均匀厚度的诸如氧化硅薄膜、氮化硅薄膜、或碳氮化硅薄膜之类的多种含硅薄膜,这是可行的。
按照本发明形成的多种含硅薄膜可以用作栅极隔离、隔离沟槽衬里、用于光刻工艺后增大图案密度的隔离等。
附图说明
图1A和图1B是曲线图,每个曲线图显示对应于原子层沉积法的膜生长,在该原子层沉积法中,将硅衬底保持在520℃下,八氯三硅烷(OCT)气体的暴露量和氨气的暴露量在原子层沉积循环内独立地变化。
图2是显示根据本发明的实施例1和实施例2以及比较例1的分别在衬底的不同温度下使用不同的氯硅烷气体形成的氮化硅薄膜的膜生长的曲线图。
图3A和图3B是显示根据本发明的实施例1分别在衬底的不同温度下形成的氮化硅薄膜的厚度和折射率的结果的曲线图,所述厚度和折射率刚好在膜形成之后、在膜形成后的第1天、第3天和第6天测得的。
具体实施方式
在下文中,将参照附图对本发明的实施方式进行详细描述,使得本领域的技术人员可以容易地实施本发明。然而,要注意的是,本发明并不限于这些实施方式,而是可以以各种其它方式来实施。为解释简单起见,在附图中,省略了与描述无关的部件,并且在本发明的整个文件中,类似的标号表示类似的部件。
在本发明的整个文件中,用于定义一个元件与另一元件的连接或耦合的术语“连接于”或“耦合于”包括两种情况:一元件“直接连接或耦合于”另一元件;以及一元件通过又一元件“电气连接或耦合于”另一元件。
在本发明的整个文件中,用于定义一个元件相对于另一元件的位置的术语“在……上”(“on”)包括两种情况:一个元件与另一元件相邻;以及任何其它元件存在于这两个元件之间。
在本发明的整个文件中,文件中使用的术语“包括或包含”和/或“含有或包含有”是指除非上下文另有说明,否则除了所描述的成分、步骤、操作和/或元件外,并不排除一个或一个以上其它成分、步骤、操作和/或元件的存在或添加。术语“大约或约”或“基本上”意指具有在可允许的误差条件下接近指定的数值或范围的含义,并意在避免由任何不合理的第三方非法或不公平地使用用于理解本发明所公开的精确数值或绝对数值。在本发明的整个文件中,术语“……的步骤”(“step of”)不是指“用于……的步骤”(“step for”)。
在本发明的整个文件中,包括在马库什形式的描述中的术语“……的组合”是指选自由马库什形式中所描述的成分、步骤、操作和/或元件组成的群组中的一个或一个以上的成分、步骤、操作和/或元件的混合或组合,由此,该术语指公开内容包括选自马库什形式的群组中的一个或一个以上的成分、步骤、操作和/或元件。
在本发明的整个文件中,“A和/或B”形式的短语是指“A或B,或者A和B”。
在本发明的整个文件中,术语“含硅薄膜”是指所形成的含有硅和至少一种选自由氧、氮和碳组成的群组中的元素的薄膜,并且根据需要除了硅以外还可以含有通常用于半导体领域以形成硅和其它金属元素的复合薄膜的金属元素。举例而言,含硅薄膜可以包括氧化硅薄膜、氮化硅薄膜或碳氮化硅薄膜,但可以不限于此。
在本发明的整个文件中,术语“反应物气体”,“另外的反应物气体”或“第二反应物气体”指的是所使用的除了含氯硅烷化合物反应物气体之外的反应物气体,并且可以是包括例如,但不限于,选自由氮、氧、碳以及它们的组合组成的群组中的元素的气体。
下文中,将结合实施例和附图对本发明的用于形成含硅薄膜的方法进行详细说明。然而,本发明不限于以下的实施方式、实施例和附图。
在本发明的第一方面,提供了一种用于形成含硅薄膜的方法,所述方法包括:使包括由化学式SinCl2n+2(其中n是从约3至约10的整数)表示的氯硅烷化合物的气体和包括从由氮、氧、碳及它们的组合组成的群组中选择的元素的反应物气体接触包括具有约1或更大的深宽比和约1微米或以下的宽度的至少一个沟槽的衬底。
所述深宽比可以为,例如约1或更大、约5或更大、约10或更大、约15或更大、或约20或更大,并且所述宽度可为例如约1微米或以下、约0.5微米或以下、或约0.1微米或以下,但可以不限于此。
根据本发明的一个示例性实施方式,该薄膜可以通过(但不限于)化学气相沉积(CVD)法或原子层沉积(ALD)法形成。
根据本发明的一个示例性实施方式,含硅薄膜的厚度可以是约100nm或100nm以下,但可以不限于此。
根据本发明的一个示例性实施方式,含硅薄膜的厚度可以是约30nm或30nm以下,但可以不限于此。
根据本发明的一个示例性实施方式,衬底可以保持在从室温到约800℃或以下的温度下,但可以不限于此。
根据本发明的一个示例性实施方式,衬底可以保持在从室温到约560℃或以下的温度下,但可以不限于此。
根据本发明的一个示例性实施方式,衬底可以保持在从室温到约520℃或以下的温度下,但可以不限于此。
根据本发明的一个示例性实施方式,衬底可以保持在从约190℃到约560℃的温度下,但可以不限于此。
根据本发明的一个示例性实施方式,衬底可以保持在从约280℃到约520℃的温度下,但可以不限于此。
根据本发明的一个示例性实施方式,衬底可以保持在从约300℃到约450℃的温度下,但可以不限于此。
根据本发明的一个示例性实施方式,可以不具体地限制包括从由氮、氧、碳及它们的组合组成的群组中选择的元素的反应物气体,只要它可与氯硅烷化合物反应即可。举例而言,含氮反应物气体可包括含氨(NH3)气体或含烷基胺气体,但可以不限于此。在含烷基胺气体中,烷基胺可以包括:包含具有约1至约10个碳原子的烷基的胺、包含具有约1至约8个碳原子的烷基的胺、包含具有约1至约6个碳原子的烷基的胺、或包含具有约1至约4个碳原子的烷基的胺,并且该烷基可以是直链或支链烷基,但可以不限于此。举例而言,所述烷基胺可以包括选自由甲胺、乙胺、异丙胺、叔丁胺和它们的异构体组成的群组中选出的气体,但可以不限于此。
举例而言,含氧反应物气体可以包括从由含氧(O2)气体、含臭氧(O3)气体、含水(H2O)蒸汽气体及它们的组合组成的群组中选择的成员,但可以不限于此。
举例而言,含碳反应物气体可包括含烷基胺气体,但可以不限于此。在含烷基胺气体中,烷基胺可以包括:包含具有约1至约10个碳原子的烷基的胺、包含具有约1至约8个碳原子的烷基的胺、包含具有约1至约6个碳原子的烷基的胺、或包含具有约1至约4个碳原子的烷基的胺,并且该烷基可以是直链或支链烷基,但可以不限于此。举例而言,所述烷基胺可以包括选自由甲胺、乙胺、异丙胺、叔丁胺和它们的异构体组成的群组中选出的气体,但可以不限于此。
根据本发明的一个示例性实施方式,用于形成含硅薄膜的方法可以包括:使包括氯硅烷化合物的气体和包括从由氮、氧、碳及它们的组合组成的群组中选择的元素的反应物气体交替地接触衬底,但可以不限于此。
根据本发明的一个示例性实施方式,氯硅烷化合物可以包括从由Si3Cl8、Si4Cl10和Si5Cl12组成的群组中选择的成员,但可以不限于此。
根据本发明的一个示例性实施方式,含硅薄膜可以包括氧化硅薄膜、氮化硅薄膜、或碳氮化硅薄膜,但可以不限于此。
根据本发明的一个示例性实施方式,反应物气体可以包括氨,但可以不限于此。如果含氨气体被用作反应物气体,则可以形成氮化硅薄膜。如果含烷基胺气体被用作反应物气体,则可以形成碳氮化硅薄膜。
根据本发明的一个示例性实施方式,由化学式SinCl2n+2(其中n是从约3至约10的整数)表示的氯硅烷化合物可以包括其直链或支链的异构体,但可以不限于此。
根据本发明的一个示例性实施方式,可以使用包括从由Si3Cl8、Si4Cl10和Si5Cl12组成的群组中选择的成员的氯硅烷化合物作为前体通过CVD法或ALD法将诸如氧化硅薄膜、氮化硅薄膜、或碳氮化硅薄膜之类的多种含硅薄膜形成均匀的厚度,但可以不限于此。
在本发明的一个示例性实施方式中,含硅薄膜的厚度可以是约100nm或100nm以下、约80nm或以下、约50nm或以下、约30nm或30nm以下、约10nm或以下、约5nm或以下、或约1nm或以下,但可以不限于此。在本发明的一个示例性实施方式中,氮化硅薄膜的厚度可以是约100nm或100nm以下、约80nm或以下、大约50nm或以下、约30nm或以下、约10nm或以下、约5nm或以下、或约1nm或以下,但可以不限于此。
根据本发明的一个示例性实施方式,提供了一种用于形成氮化硅薄膜的方法,所述方法包括:使包括由化学式SinCl2n+2(其中n是从约3至约10的整数)表示的氯硅烷化合物的气体和含氨气体接触衬底。氮化硅薄膜可以通过化学气相沉积法或通过原子层沉积法形成,在化学气相沉积法中,使含氯硅烷化合物气体和含氨气体同时接触衬底,在原子层沉积法中,使含氯硅烷化合物气体和含氨气体交替地接触衬底,但可以不限于此。举例而言,氯硅烷化合物可以包括从由Si3Cl8、Si4Cl10和Si5Cl12组成的群组中选择的成员,但可以不限于此。
根据本发明的一个示例性实施方式,提供了一种用于形成氧化硅薄膜的方法,所述方法包括:使包括由化学式SinCl2n+2(其中n是从约3至约10的整数)表示的氯硅烷化合物的气体和含氧气体接触衬底。氧化硅薄膜可以通过化学气相沉积法或通过原子层沉积法形成,在化学气相沉积法中,使含氯硅烷化合物气体和含氧气体同时接触衬底,在原子层沉积法中,使含氯硅烷化合物气体和含氧气体交替地接触衬底,但可以不限于此。举例而言,氯硅烷化合物可以包括从由Si3Cl8、Si4Cl10和Si5Cl12组成的群组中选择的成员,但可以不限于此。
根据本发明的一个示例性实施方式,提供了一种用于形成碳氮化硅薄膜的方法,所述方法包括:使包括由化学式SinCl2n+2(其中n是从约3至约10的整数)表示的氯硅烷化合物的气体和含氮和碳的气体接触衬底。由于气体含有氮和碳,因此可以使用含烷基胺气体。碳氮化硅薄膜可以通过化学气相沉积法或通过原子层沉积法形成,但可以不限于此。举例而言,氯硅烷化合物可以包括从由Si3Cl8、Si4Cl10和Si5Cl12组成的群组中选择的成员,但可以不限于此。
如果需要在衬底的包括凸起或凹陷(特别是包括具有小宽度和高深宽比的沟槽)的表面上形成具有均匀厚度的膜,则原子层沉积法是更理想的。当使用原子层沉积方法时,可以使用被配置成按顺序供给每一种源气体的常规时分(time-division)原子层沉积设备。此外,可以使用被配置成使衬底能按顺序反复进出填充有含氯硅烷化合物气体的第一空间和填充有另外的反应物气体的第二空间的空分(space-division)原子层沉积设备。
在制造半导体装置的过程中,例如,当形成氮化硅薄膜时,可以将含作为氮源的氨(NH3)的气体主要用作另外的反应物气体。氮气(N2)不能使用,因为它具有太低的反应性,而肼(N2H4)不适合在半导体工艺中使用,因为它有爆炸的危险。氨气在高温下有高反应性,但在约550℃或以下的温度下具有低反应性,并且因此,难以在约550℃或以下的温度下使用作为氮源的氨气形成具有优异性能的氮化硅薄膜。在比氨气具有较高反应性的诸如肼之类的氮源因有爆炸的危险而不能用于半导体工艺中的情况下,需要能够使用氨形成具有优异性能的氮化硅薄膜的新方法。如果氨在约550℃或以下的低温下具有低反应性,则使用在该温度范围内具有较高反应性的硅源形成具有优异性能的氮化硅薄膜是可能的。
当由化学式SinCl2n+2(其中n是从约3至约10的整数)表示的氯硅烷化合物被裂解时,会产生具有高反应性的SiCl2或SiCl气体。已经从理论上提出,与使用SiCl4气体的情况相比,当使用Si3Cl8气体作为源时,SiC可以在低得多的温度形成[V.G.Sevast'yanov,Yu.S.Ezhov,R.G.Pavelko,andN.T.Kuznet sov,"Perchlorosilanes and Perchlorocarbosilanes as Precursors forSiC Synthesis",Inorganic Materials,2007,Vol.43,No.4,pp.369-372]。在这篇文章中,其通过计算化学方法预测:在不会使SiCl4气体裂解的低温下热解Si3Cl8气体,从而产生SiCl2气体和SiCl3气体,这表明SiC可以在低温下产生。由于具有四个键的硅原子具有最高的稳定性,因此,SiCl3比SiCl4更易反应,SiCl2比SiCl3更易反应,SiCl比SiCl2更易反应,而Si比SiCl更易反应。在由SinCl2n+2表示的氯硅烷化合物中,当n为约3或更大、约4或更大、或者约5或更大时,一旦预见到在高温下Si-Si键在衬底的表面上或在气体状态下断裂,就可以预见,产生了高反应性的SiCl2、SiCl和Si,如下面的方案所示:
[方案]
Cl3Si-SiCl3→2SiCl3
Cl3Si-SiCl2-SiCl3→2SiCl3+SiCl2
Cl3Si-SiCl2-SiCl2-SiCl3→2SiCl3+2SiCl2
Cl3Si-SiCl(SiCl3)-SiCl3→3SiCl3+SiCl;
Cl3Si-SiCl2-SiCl2-SiCl2-SiCl3→2SiCl3+3SiCl2
Cl3Si-SiCl(SiCl3)-SiCl2-SiCl3→3SiCl3+SiCl2+SiCl;
Cl3Si-Si(SiCl3)2-SiCl3→4SiCl3+Si。
根据本发明的一个示例性实施方式,衬底可以保持在从室温到约800℃或以下的温度下,但可以不限于此。举例而言,如果使用包含由化学式SinCl2n+2(其中n是约3,或从约3至约10的整数)表示的氯硅烷化合物的气体,则可以不特别限制衬底的温度。
根据本公开,可以在约560℃或以下、约520℃或以下、约450℃或以下、或低于约450℃的低温下,使用由化学式SinCl2n+2(其中n是约3,或从约3至约10的整数)表示的氯硅烷化合物形成高品质的含硅薄膜。
根据本发明的一个示例性实施方式,衬底可以保持在从室温至约560℃或以下、或从室温至约520℃或以下、或从约190℃或以上至约560℃或以下、或从约280℃或以上至约520℃或以下、或从约300℃至约450℃或以下的温度,但可以不限于此。
在本发明的一个示例性实施方式中,衬底可以保持在以下温度下:从室温至约560℃或以下,或从室温至约520℃或以下,或从约190℃至约560℃或以下,或约450℃或以下或低于约450℃,或从约300℃或以上至约450℃或以下或低于约450℃,例如,从约150℃至约520℃,从约280℃至约520℃,从约300℃至约520℃,从约320℃至约520℃,从约350℃至约520℃,从约370℃至约520℃,从约400℃至约520℃,从约420℃至约520℃,从约150℃至约450℃,从约170℃至约450℃,从约200℃至约450℃,从约220℃至约450℃,从约250℃至约450℃,从约270℃至约450℃,从约300℃至约450℃,从约320℃至约450℃,从约350℃至约450℃,从约370℃至约450℃,从约400℃至约450℃,从约150℃至约440℃,从约170℃至约440℃,从约200℃至约440℃,从约220℃至约440℃,从约250℃至约440℃,从约270℃至约440℃,从约300℃至约440℃,从约320℃至约440℃,从约350℃至约440℃,从约370℃至约440℃,或从约400℃至约440℃,但可以不限于此。
在本发明的一个示例性实施方式中,氯硅烷化合物可以包括从由Si3Cl8、Si4Cl10和Si5Cl12组成的群组中选择的成员,但可以不限于此。具有过大分子量的氯硅烷化合物由于其低的蒸汽压,因而不能被应用于化学气相沉积法或原子层沉积法。因此,根据本公开的氯硅烷化合物可以包括由化学式SinCl2n+2表示的氯硅烷化合物,其中n是约10或以下的整数,包括由化学式SinCl2n+2表示的氯硅烷化合物,其中n为约3或以上在内。举例而言,该氯硅烷化合物可以是其中上述n为约3至约5的整数的氯硅烷化合物,但可以不限于此。
实施本发明的方式
在下文中,将参照实施例详细说明本发明。然而,本发明不限于此。
[实施例]
<实施例1>使用OCT(Si3Cl8)气体和氨气通过原子层沉积形成氮化硅薄膜
将八氯三硅烷(OCT,Si3Cl8)放入到加热至65℃的容器中,使从容器蒸发的OCT气体和氨气交替地接触被放置在原子层沉积室中的硅衬底。当在没有单独的载气的情况下供给被加热至65℃的OCT气体时,将该室的内部压强保持在0.5乇,且当只供给氨气时,将该室的内部压强保持在9.5乇。将硅衬底恒定地保持在150℃至600℃的范围内的温度下。重复包括OCT气体供给->排空->氩气供给持续40秒->排空->氨气供给->排空->氩气供给持续40秒->排空的原子层沉积循环40次,以形成氮化硅薄膜。分析氮化硅薄膜的厚度和特性。如果在原子层沉积循环使用载气,则可以使用OCT气体和载气的混合气体而不是OCT气体。基于另一个原因,可以使用OCT气体和惰性气体的混合气体。可以使用氨气和惰性气体的混合气体而不是氨气。
图1A和图1B是曲线图,每个曲线图显示对应于原子层沉积法的膜生长,在该原子层沉积法中,根据本实施例,将硅衬底保持在520℃,八氯三硅烷(OCT)气体的暴露量和氨气的暴露量在一个原子层沉积循环内独立地变化。这里,暴露量单位L对应于1朗缪尔(=1×10-6乇×1秒)。因此,6×107L的OCT暴露量是指衬底在0.5乇的压强下暴露于OCT气体中120秒。另外,2×108L的氨暴露量是指衬底在9.5乇的压强下暴露于氨气22秒。由此可以看出,当衬底具有520℃的温度时,即使OCT的暴露量被增加到为6×107L或以上,或者氨的暴露量增加到为2×108L或以上时,膜生长没有增加,并且因此,原子层沉积在520℃是可行的。
在衬底的不同温度下使用OCT气体(供应120秒)和氨气(供应110秒)执行原子层沉积实验。取决于衬底温度的膜生长如图2中所示。图2是显示根据本实施例分别在衬底的不同温度下形成的氮化硅薄膜的膜生长的曲线图。在从282℃至520℃的衬底温度范围内,具有均匀厚度的膜可从每个原子层沉积循环获得,而不管OCT气体和氨气的暴露量如何。
在520℃下,使用OCT和氨气,通过重复原子层沉积循环20次,在上述条件下,在包括具有40nm的宽度和2μm的深度及50:1的深宽比的沟槽的硅晶片表面上形成氮化硅薄膜,并且用透射电子显微镜(TEM)观察。已确定,经测定氮化硅薄膜在沟槽的入口处具有4.62nm的厚度并且在沟槽的底部处具有4.36nm的厚度,使得阶梯覆盖率为94%(=4.36/4.62),其非常接近100%的理想值。
分别对在衬底的不同温度下使用OCT和氨气形成的氮化硅薄膜的厚度和折射率刚好在膜形成之后、在膜形成后的第1天、第3天和第6天进行测量并且如图3A和图3B所示。图3A和图3B是显示根据本发明的本实施例分别在衬底的不同温度下形成的氮化硅薄膜的厚度和折射率的测量结果的曲线图,该测量是刚好在膜形成之后、在膜形成后的第1天、第3天和第6天进行的。在282℃或以下形成的氮化硅薄膜的厚度随着时间的推移而增加,但在372℃或以上形成的氮化硅薄膜的厚度随着时间的推移而很少改变。在衬底的高温下形成的氮化硅薄膜的折射率接近氮化硅的折射率2.0。在282℃或以下形成的氮化硅薄膜的折射率随着时间的推移而降低。在282℃或以下形成的氮化硅薄膜的组合物是不接近稳定的Si3N4组合物的,并且因此,氮化硅薄膜与空气中的水分或氧(O2)气体反应,从而被转换成硅氮氧化物。因此,可以理解折射率被改变到接近氧化硅(SiO2)的折射率1.45。
根据这个结果,可以看出,在使用OCT作为硅源形成具有稳定的组合物的氮化硅薄膜的过程中,在从300℃至520℃的范围内的衬底温度是合乎期望的。
<实施例2>使用DCT(Si4Cl10)气体和氨气通过原子层沉积形成氮化硅薄膜
将十二氯丁硅烷(Dodecachlorotetrasilane:DCT,Si4Cl10)放入加热至80℃的容器,使从容器蒸发的DCT气体和氨气交替地接触被放置在原子层沉积室中的硅衬底。当在没有单独的载气的情况下供给被加热至80℃的DCT气体时,将该室的内部压强保持在0.1乇,且当只供给氨气时,将该室的内部压强保持在9.5乇。将硅衬底恒定地保持在从190℃至560℃的范围内的温度下。重复包括DCT气体供给持续100秒->排空->氩气供给持续40秒->排空->氨气供给持续110秒->排空->氩气供给持续40秒->排空的原子层沉积循环40次,以形成氮化硅薄膜。分析氮化硅薄膜的厚度。如果在原子层沉积循环中使用载气,则可以使用DCT气体和载气的混合气体而不是DCT气体。基于另一个原因,可以使用DCT气体和惰性气体的混合气体。可以使用氨气和惰性气体的混合气体而不是氨气。
在衬底的不同温度下使用DCT气体和氨气执行原子层沉积实验。取决于衬底的温度的膜生长如图2中所示。图2是显示根据本实施例分别在衬底的不同温度下形成的氮化硅薄膜的膜生长的曲线图。在从190℃至560℃的衬底温度范围内,具有均匀厚度的膜可从每个原子层沉积循环获得,而不管DCT气体和氨气的暴露量如何。
<比较例1>使用六氯乙硅烷(HCD,Si2Cl6)气体或二氯硅烷(DCS,SiH2Cl2)气体通过原子层沉积形成氮化硅薄膜
除了使用HCD或DCS而不是OCT外,在与实施例1相同的条件下形成氮化硅薄膜。在衬底的不同温度下执行原子层沉积实验。取决于衬底的温度的膜生长如图2所示。与实施例1和实施例2中的从每个原子层沉积循环获得具有均匀厚度的膜而不管OCT或DCT气体和氨气的暴露量如何不同,不存在温度范围使得能够使用HCD或DCS气体从每个原子层沉积循环获得具有均匀厚度的膜。这意味着不能使用HCD或DCS气体和氨气来执行ALD工艺。如果不能执行ALD工艺,则在衬底表面的具有高深宽比的图案上不能形成具有均匀厚度的氮化硅薄膜,该衬底表面包括具有小宽度和高深宽比的沟槽。
从实施例1、实施例2和比较例1可以看出,为了达到在560℃或以下的温度下在包括凸起或凹陷的衬底上(特别是包括具有小宽度和高深宽比的沟槽的衬底上)形成具有均匀厚度的氮化硅薄膜的目的,相比于使用HCD或DCS气体和氨气的原子层沉积法,使用根据本发明的含氯硅烷化合物气体和氨气的原子层沉积法是较理想的。
在从室温至约560℃或从约300℃至约560℃的温度下,通过原子层沉积法,可以形成厚度约8nm的氮化硅薄膜。因此,在包括凸起或诸如具有约1或以上的深宽比和1微米或以下的宽度的至少一个沟槽之类的凹陷的衬底上形成具有100nm或100nm以下、30nm或30nm以下、或10nm或以下的均匀厚度的氮化硅薄膜,这是可能的。
<实施例3>使用Si4Cl10气体通过原子层沉积形成氧化硅薄膜
Si4Cl10被储存在容器中。将容器在90℃下加热,N2用作载气,流率为50sccm。将容器的压强控制在50乇。O3用作氧气供给源。硅衬底在350℃下加热。在第一步骤中,将Si4Cl10引入到反应室持续2秒。然后,作为第二步骤,N2吹扫进行5秒。然后,作为第三步骤,将O3吹扫应用到反应室持续2秒,并作为第四步骤,N2吹扫进行2秒。通过重复上述四个步骤100次的原子层沉积法得到SiO2薄膜。
<实施例4>使用Si4Cl10气体通过化学气相沉积形成氧化硅薄膜
Si4Cl10被储存在容器中。将容器在90℃下加热,N2用作载气,流率为50sccm。将容器的压强控制在50乇。在反应室中,Si4Cl10混合O2/N2气体的混合物。在500℃下加热硅衬底。将反应室的内部压强设定为100乇。通过这种方法得到SiO2薄膜。
本发明的上述描述被提供用于说明的目的,并且本领域技术人员应当理解,在不改变本发明的技术构思和基本特征的情况下,可以作出多种变化和修改。因此,很清楚的是,上述实施方式在所有方面都是说明性的,并且不限制本发明。例如,被描述为单一类型的各部件可以以分布式的方式来实现。同样,被描述分布式的部件可以以组合的方式来实现。
本发明的范围由下面的权利要求限定,而不是由实施方式的详细描述限定。应当理解的是,从权利要求的意义和范围构思的所有修改方案和实施方式及其等同方案都包括在本发明的范围内。

Claims (12)

1.一种用于形成含硅薄膜的方法,其包括:
使包括由化学式SinCl2n+2(其中n是从3至10的整数)表示的氯硅烷化合物的气体和包括从由氮、氧、碳及它们的组合组成的群组中选择的元素的反应物气体接触包括具有1或更大的深宽比和1微米或1微米以下的宽度的至少一个沟槽的衬底。
2.根据权利要求1所述的用于形成含硅薄膜的方法,
其中所述含硅薄膜的厚度是100nm或100nm以下。
3.根据权利要求1所述的用于形成含硅薄膜的方法,
其中所述含硅薄膜的厚度是30nm或30nm以下。
4.根据权利要求1所述的用于形成含硅薄膜的方法,
其中,将所述衬底保持在从环境温度至800℃的温度下。
5.根据权利要求1所述的用于形成含硅薄膜的方法,
其中,将所述衬底保持在从环境温度至560℃的温度下。
6.根据权利要求1所述的用于形成含硅薄膜的方法,
其中,将所述衬底保持在从280℃至520℃的温度下。
7.根据权利要求1所述的用于形成含硅薄膜的方法,
其中,将所述衬底保持在从300℃至450℃的温度下。
8.根据权利要求1所述的用于形成含硅薄膜的方法,
其中,所述反应物气体包括从由含氨(NH3)气体、含烷基胺气体、含氧(O2)气体、含臭氧(O3)气体、含水(H2O)蒸汽气体及它们的组合组成的群组中选择的成员。
9.根据权利要求1所述的用于形成含硅薄膜的方法,
其中,使包括氯硅烷化合物的所述气体和所述反应物气体交替地与所述衬底接触。
10.根据权利要求1所述的用于形成含硅薄膜的方法,
其中,所述含硅薄膜包括氧化硅薄膜、氮化硅薄膜、或碳氮化硅薄膜。
11.根据权利要求1所述的用于形成含硅薄膜的方法,
其中,所述氯硅烷化合物包括从由Si3Cl8、Si4Cl10和Si5Cl12组成的群组中选择的成员。
12.根据权利要求11所述的用于形成含硅薄膜的方法,
其中,所述反应物气体包括氨,而所述含硅薄膜包括氮化硅薄膜。
CN201380058326.3A 2012-11-07 2013-11-07 制造含硅薄膜的方法 Active CN104769705B (zh)

Applications Claiming Priority (9)

Application Number Priority Date Filing Date Title
KR20120125562 2012-11-07
KR10-2012-0125562 2012-11-07
KR1020130033892A KR20140059107A (ko) 2012-11-07 2013-03-28 실리콘 질화물 박막 제조 방법
KR10-2013-0033892 2013-03-28
KR1020130074297A KR20140059115A (ko) 2012-11-07 2013-06-27 실리콘-함유 박막의 제조 방법
KR10-2013-0074297 2013-06-27
PCT/KR2013/010091 WO2014073892A1 (ko) 2012-11-07 2013-11-07 실리콘-함유 박막의 제조 방법
KR1020130135071A KR20140059155A (ko) 2012-11-07 2013-11-07 실리콘-함유 박막의 제조 방법
KR10-2013-0135071 2013-11-07

Publications (2)

Publication Number Publication Date
CN104769705A true CN104769705A (zh) 2015-07-08
CN104769705B CN104769705B (zh) 2018-03-30

Family

ID=50889123

Family Applications (1)

Application Number Title Priority Date Filing Date
CN201380058326.3A Active CN104769705B (zh) 2012-11-07 2013-11-07 制造含硅薄膜的方法

Country Status (4)

Country Link
US (1) US10290493B2 (zh)
JP (1) JP6526562B2 (zh)
KR (4) KR20140059107A (zh)
CN (1) CN104769705B (zh)

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN109071572A (zh) * 2016-05-17 2018-12-21 美国陶氏有机硅公司 氨基氯氢二硅烷

Families Citing this family (7)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR102238295B1 (ko) * 2014-04-01 2021-04-12 주성엔지니어링(주) 실리콘계 절연막의 제조방법
US9633838B2 (en) * 2015-12-28 2017-04-25 L'Air Liquide, Société Anonyme pour l'Etude et l'Exploitation des Procédés Georges Claude Vapor deposition of silicon-containing films using penta-substituted disilanes
US9865456B1 (en) 2016-08-12 2018-01-09 Micron Technology, Inc. Methods of forming silicon nitride by atomic layer deposition and methods of forming semiconductor structures
KR20180110612A (ko) * 2017-03-29 2018-10-10 (주)디엔에프 비스(아미노실릴)알킬아민 화합물을 포함하는 실리콘 함유 박막증착용 조성물 및 이를 이용하는 실리콘 함유 박막의 제조방법
CN109585267B (zh) 2017-09-29 2023-12-01 住友电气工业株式会社 氮化硅膜的形成方法
JP6946989B2 (ja) * 2017-12-06 2021-10-13 住友電気工業株式会社 窒化珪素パッシベーション膜の成膜方法及び半導体装置の製造方法
JP6789257B2 (ja) * 2018-02-28 2020-11-25 株式会社Kokusai Electric 半導体装置の製造方法、基板処理装置、およびプログラム

Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR20050028321A (ko) * 2003-09-17 2005-03-22 도쿄 엘렉트론 가부시키가이샤 성막 방법 및 열처리 장치
CN101528974A (zh) * 2006-10-16 2009-09-09 应用材料股份有限公司 浅沟渠隔离的二氧化硅高品质介电膜的形成:于高纵深比填沟工艺ⅱ( harpⅱ)使用不同的硅氧烷前体—远端等离子辅助沉积工艺
CN101802984A (zh) * 2007-10-22 2010-08-11 应用材料股份有限公司 在基板上形成氧化硅层的方法

Family Cites Families (20)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP4987796B2 (ja) * 1999-01-08 2012-07-25 株式会社東芝 半導体装置の製造方法
JP2001168092A (ja) * 1999-01-08 2001-06-22 Toshiba Corp 半導体装置およびその製造方法
US6528430B2 (en) * 2001-05-01 2003-03-04 Samsung Electronics Co., Ltd. Method of forming silicon containing thin films by atomic layer deposition utilizing Si2C16 and NH3
JP2002367990A (ja) 2001-06-04 2002-12-20 Tokyo Electron Ltd 半導体装置の製造方法
JP4607637B2 (ja) 2005-03-28 2011-01-05 東京エレクトロン株式会社 シリコン窒化膜の形成方法、シリコン窒化膜の形成装置及びプログラム
KR100685742B1 (ko) * 2005-07-27 2007-02-22 삼성전자주식회사 불휘발성 메모리 장치 및 이의 제조 방법
KR100672829B1 (ko) * 2005-08-31 2007-01-22 삼성전자주식회사 전하 트랩 절연체의 제조 방법 및 소노스 타입의 비휘발성메모리 장치의 제조방법
KR100660890B1 (ko) * 2005-11-16 2006-12-26 삼성전자주식회사 Ald를 이용한 이산화실리콘막 형성 방법
US20090155606A1 (en) 2007-12-13 2009-06-18 Asm Genitech Korea Ltd. Methods of depositing a silicon nitride film
KR101436564B1 (ko) 2008-05-07 2014-09-02 한국에이에스엠지니텍 주식회사 비정질 실리콘 박막 형성 방법
KR101266135B1 (ko) * 2008-06-03 2013-05-27 도쿄엘렉트론가부시키가이샤 실리콘 함유 막의 저온 증착
US7998832B2 (en) * 2008-08-27 2011-08-16 Advanced Micro Devices, Inc. Semiconductor device with isolation trench liner, and related fabrication methods
KR20100079149A (ko) 2008-12-30 2010-07-08 주식회사 동부하이텍 Sti구조에서의 실리콘 질화막 라이너 형성방법
JP5518499B2 (ja) * 2009-02-17 2014-06-11 株式会社日立国際電気 半導体デバイスの製造方法および基板処理装置
US8143131B2 (en) 2009-03-31 2012-03-27 Taiwan Semiconductor Manufacturing Company, Ltd. Method of fabricating spacers in a strained semiconductor device
JP5366743B2 (ja) 2009-09-28 2013-12-11 理想科学工業株式会社 メンテナンス装置
KR101156441B1 (ko) 2010-03-11 2012-06-18 삼성모바일디스플레이주식회사 박막 증착 장치
US20120085733A1 (en) 2010-10-07 2012-04-12 Applied Materials, Inc. Self aligned triple patterning
JP5722008B2 (ja) * 2010-11-24 2015-05-20 株式会社日立国際電気 半導体デバイスの製造方法、半導体デバイス及び基板処理装置
KR101657341B1 (ko) * 2011-04-25 2016-09-13 도쿄엘렉트론가부시키가이샤 성막 방법

Patent Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR20050028321A (ko) * 2003-09-17 2005-03-22 도쿄 엘렉트론 가부시키가이샤 성막 방법 및 열처리 장치
CN101528974A (zh) * 2006-10-16 2009-09-09 应用材料股份有限公司 浅沟渠隔离的二氧化硅高品质介电膜的形成:于高纵深比填沟工艺ⅱ( harpⅱ)使用不同的硅氧烷前体—远端等离子辅助沉积工艺
CN101802984A (zh) * 2007-10-22 2010-08-11 应用材料股份有限公司 在基板上形成氧化硅层的方法

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN109071572A (zh) * 2016-05-17 2018-12-21 美国陶氏有机硅公司 氨基氯氢二硅烷

Also Published As

Publication number Publication date
US20150235834A1 (en) 2015-08-20
KR20160024896A (ko) 2016-03-07
KR20140059155A (ko) 2014-05-15
CN104769705B (zh) 2018-03-30
KR101699775B1 (ko) 2017-01-25
US10290493B2 (en) 2019-05-14
KR20140059107A (ko) 2014-05-15
KR20140059115A (ko) 2014-05-15
JP2016500762A (ja) 2016-01-14
JP6526562B2 (ja) 2019-06-05

Similar Documents

Publication Publication Date Title
CN104769705A (zh) 制造含硅薄膜的方法
US11651955B2 (en) Methods of forming silicon nitride including plasma exposure
KR102242461B1 (ko) 실리콘 옥사이드 필름의 증착을 위한 조성물 및 방법
CN108475636B (zh) 使用五取代的二硅烷气相沉积含硅膜
KR100434186B1 (ko) 트리스디메틸아미노실란을 이용한 원자층 적층으로실리콘을 함유하는 박막을 형성하는 방법
KR100443085B1 (ko) 헥사 클로로 디실란 및 암모니아를 사용한 원자층의적층을 이용하여 실리콘을 함유하는 박막을 형성하는 방법
CN103088311B (zh) 晶种层的形成方法以及含硅薄膜的成膜方法
KR20170087425A (ko) 규소-함유 막의 고온 원자층 증착
KR102272287B1 (ko) 실리콘 칼코겐산 전구체, 실리콘 칼코겐산 전구체를 형성하는 방법, 및 질화규소와 반도체 구조를 형성하는 관련된 방법
WO2008048862A3 (en) Formation of high quality dielectric films of silicon dioxide for sti: usage of different siloxane-based precursors for harp ii - remote plasma enhanced deposition processes
JP2007318142A (ja) 有機アミノシラン前駆体から酸化ケイ素膜を製造するための方法
KR20090016403A (ko) 실리콘 산화막 증착 방법
JP2008537765A (ja) 半球粒状シリコン及びナノ結晶粒サイズのポリシリコンのための単一ウエハ熱cvdプロセス
KR102308644B1 (ko) 실리콘 전구체 화합물, 제조 방법, 및 이를 이용하는 실리콘-함유 막 형성 방법
WO2014073892A1 (ko) 실리콘-함유 박막의 제조 방법
TWI246719B (en) Low temperature deposition of silicon nitride
US20060198958A1 (en) Methods for producing silicon nitride films by vapor-phase growth
KR101046757B1 (ko) 반도체소자의 캐패시터 및 그 제조 방법
CN117980534A (zh) 用于包含硅和硼的膜的组合物及其使用方法

Legal Events

Date Code Title Description
C06 Publication
PB01 Publication
EXSB Decision made by sipo to initiate substantive examination
SE01 Entry into force of request for substantive examination
GR01 Patent grant
GR01 Patent grant
EE01 Entry into force of recordation of patent licensing contract
EE01 Entry into force of recordation of patent licensing contract

Application publication date: 20150708

Assignee: Jiangsu Xianke semiconductor new materials Co.,Ltd.

Assignor: UP CHEMICAL Co.,Ltd.

Contract record no.: X2022990000316

Denomination of invention: Method of manufacturing silicon containing film

Granted publication date: 20180330

License type: Exclusive License

Record date: 20220621