KR100443085B1 - 헥사 클로로 디실란 및 암모니아를 사용한 원자층의적층을 이용하여 실리콘을 함유하는 박막을 형성하는 방법 - Google Patents

헥사 클로로 디실란 및 암모니아를 사용한 원자층의적층을 이용하여 실리콘을 함유하는 박막을 형성하는 방법 Download PDF

Info

Publication number
KR100443085B1
KR100443085B1 KR10-2001-0025008A KR20010025008A KR100443085B1 KR 100443085 B1 KR100443085 B1 KR 100443085B1 KR 20010025008 A KR20010025008 A KR 20010025008A KR 100443085 B1 KR100443085 B1 KR 100443085B1
Authority
KR
South Korea
Prior art keywords
silicon
substrate
chamber
reactant
nitride
Prior art date
Application number
KR10-2001-0025008A
Other languages
English (en)
Other versions
KR20020085487A (ko
Inventor
김영관
박영욱
이주원
김동찬
Original Assignee
삼성전자주식회사
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 삼성전자주식회사 filed Critical 삼성전자주식회사
Publication of KR20020085487A publication Critical patent/KR20020085487A/ko
Application granted granted Critical
Publication of KR100443085B1 publication Critical patent/KR100443085B1/ko

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/0228Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition deposition by cyclic CVD, e.g. ALD, ALE, pulsed CVD
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/20Deposition of semiconductor materials on a substrate, e.g. epitaxial growth solid phase epitaxy
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/34Nitrides
    • C23C16/345Silicon nitride
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45527Atomic layer deposition [ALD] characterized by the ALD cycle, e.g. different flows or temperatures during half-reactions, unusual pulsing sequence, use of precursor mixtures or auxiliary reactants or activations
    • C23C16/45536Use of plasma, radiation or electromagnetic fields
    • C23C16/45542Plasma being used non-continuously during the ALD reactions
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45553Atomic layer deposition [ALD] characterized by the use of precursors specially adapted for ALD
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/0217Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material being a silicon nitride not containing oxygen, e.g. SixNy or SixByNz
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/314Inorganic layers
    • H01L21/318Inorganic layers composed of nitrides
    • H01L21/3185Inorganic layers composed of nitrides of siliconnitrides
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10STECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10S438/00Semiconductor device manufacturing: process
    • Y10S438/971Stoichiometric control of host substrate composition

Landscapes

  • Chemical & Material Sciences (AREA)
  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Organic Chemistry (AREA)
  • General Chemical & Material Sciences (AREA)
  • Metallurgy (AREA)
  • Mechanical Engineering (AREA)
  • Materials Engineering (AREA)
  • Manufacturing & Machinery (AREA)
  • General Physics & Mathematics (AREA)
  • Computer Hardware Design (AREA)
  • Power Engineering (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Inorganic Chemistry (AREA)
  • Electromagnetism (AREA)
  • Plasma & Fusion (AREA)
  • Chemical Vapour Deposition (AREA)
  • Formation Of Insulating Films (AREA)

Abstract

반응 물질로서 Si2Cl6및 NH3, 또는 Si2Cl6및 활성 NH3을 사용하여 원자층(ALD)을 적층하는 방법이 개시된다. 상기 방법은 (a) 챔버 내에 기판을 위치시키는 단계, (b) 상기 챔버 내에 Si2Cl6를 함유하는 제1반응 물질을 도입하는 단계, (c) 상기 제1반응 물질 중에서 제1부분은 상기 기판 상에 화학 흡착시키고, 제2부분은 물리 흡착시키는 단계, (d) 상기 제1반응 물질 중에서 상기 화학 흡착하지 않은 제2부분을 상기 챔버로부터 제거시키는 단계, (e) 상기 챔버 내에 NH3를 함유하는 제2반응 물질을 도입하는 단계, (f) 상기 제2반응 물질의 제1부분과 상기 제1반응 물질의 화학 흡착된 제1부분을 화학적으로 반응시켜 상기 기판 상에 실리콘을 함유하는 고상 물질을 형성하는 단계, 그리고 (g) 상기 제2반응 물질 중에서 반응하지 않은 부분들을 상기 챔버로부터 제거시키는 단계를 포함한다. 다른 방법으로서, (b) 단계에서 상기 제1반응 물질은 Si 및 Cl을 함유하는 각각의 화합물로서, 둘 또는 둘 이상을 함유한다. 바람직하게는, 상기 두 개의 Si 및 Cl을 함유하는 화합물은 Si2Cl6및 SiCl4이다. 또 다른 방법으로서, 상기 박막의 두께를 증가시키기 위하여 (b) - (g) 단계를 한번 또는 한번 이상으로 반복 수행한다.

Description

헥사 클로로 디실란 및 암모니아를 사용한 원자층의 적층을 이용하여 실리콘을 함유하는 박막을 형성하는 방법{A Method Of Forming Silicon Containing Thin Film by Atomic Layer Deposition Utilizing Hexachlorodisilane and ammonia}
본 발명은 원자층 적층(ALD)에 의한 Si3N4박막 형성에 관한 것이다. 특히,본 발명은 원자층 적층 방법을 이용하고, 반응 물질들로서 Si2Cl2(HCD) 및 NH3, 또는 HCD 및 NH3플라즈마를 이용하여 Si3N4박막을 형성하는 방법에 관한 것이다.
Si3N4박막은 반도체 소자의 제조에서 중요한 기술로 대두되고 있다. Si/Si02에 경계하는 Si3N4박막은 경계 트랩(traps)을 감소시키고, 고온 캐리어 면역(immunity)을 개선시킨다. SiO2/게이트에 경계하는 Si3N4박막은 특히, 알카리 이온에 대하여 우수한 확산 장벽을 제공한다. 울트라 박막 소자에 있어, Si3N4박막은 SiO2보다 높은 절연 상수를 제공한다. 또한, Si3N4박막은 디램들(DRAMS) 및 다른 소자(device)들에 있어 높은 종횡비(aspect ratio)를 갖는 절연층으로서 매우 유용하다. 이에 따라, 최근에는 성장 비율, 열적 버지트(budget), 패턴 로딩(loading), 순도(purity), 두께의 균일도에 대한 양호한 특징을 나타내고, 높은 종횡비 특징에 부합되는 Si3N4박막을 형성하는 방법이 요구된다.
화학 기상 증착(CVD), 저압 화학 기상 증착(LPCVD), 플라즈마 증대 화학 기상 증착(PECVD)과 같은 적층 방법들은 Si3N4박막의 형성에 이용될 수 있다. CVD에 근거한 방법들은, 종종 Si3N4박막을 포함하기 때문에 이득이 있는 반도체 소자의 제조에 있어, 상기 적층 방법들은 Si3N4박막의 유용성을 제한하는 결점들을 갖는다. 전형적인 CVD 방법에 있어, SiN 박막은 상대적으로 높은 온도에서 적층되지만, 상기 상대적으로 높은 온도에서의 적층은 소자 상에 열적 효과를 반감시키는 가능성을 가지고 있다. 때문에, 낮은 온도에서 공정을 수행하는 것 보다 바람직하지 않다. CVD에 의해 적층되는 SiN 박막은 기하학적 방해 요인을 갖는다. 이는, CVD에 의해 적층되는 SiN 박막이 소자의 표면에서 두께 변화를 일으키기 때문이다. 그리고, 상기 표면 상의 조밀하게 패킹된(packed) 형상 주위에 형성하는 박막의 두께는 보다 덜 조밀하게 패킹된 형상 주위의 박막의 두께보다 두껍지 않은데, 이에 따라 패턴 로딩 효과가 발생한다.
더욱이 LPCVD는 아래와 같은 결점을 가지고 있다. 상기 LPCVD를 이용하여 제조하는 박막은 종종 높은 수소 함량을 나타내고, 스텝 커버리지(step coverage)가 양호하지 않다. LPCVD를 이용하여 박막을 형성할 경우, 상기 박막 성장이 상대적으로 느리기 때문에, 상기 박막을 소망하는 두께로 성장시키는 공정 시간이 상대적으로 길어진다. 상기 길어지는 공정 시간으로 인하여, 상기 기판이 상대적으로 고온에 오랜 시간 동안 노출되기 때문에, LPCVD 공정으로 형성하는 박막은 높은 열적 버지트를 갖는 결과를 초래한다.
SiN 박막을 형성하기 위한 CVD에 근거한 방법으로서 원자층(ALD) 적층이 선택적으로 제안되고 있다. ALD는 표면 운동 체계(surface kinetic regime)가 수행되는 표면 제어 공정이다. 그리고, ALD는 상기 표면 상에 2차원적으로 층과 층을 적층한다. 디크로로실란(DCS) 및 NH3 플라즈마를 사용하는 ALD 적층 방법으로 Si3N4박막을 형성하는 일 예는 고토(Goto et al.)(Appl. Surf. Sci., 112,75-81(1997); Appl. Phys. Lett. 68(23),3257-9(1996))에 개시되어 있다. 그러나, 상기 고토에개시된 방법에 의해 제조하는 박막은 그 특성이 양호하지 않다. Cl 함량(0.5%), 그리고 O 함량이 매우 높기 때문이다. 따라서, 상기 고토에 개시된 방법으로는 Si : N 성분비가 정확하게 41 : 37로 결합되는 화학량론적(near stoichiometric)으로 근접하는 Si3N4박막을 형성할 수 없다. 더욱이, 300초 동안의 공정을 통하여 0.91Å이 성장하기 때문에 상업적으로 응용할 만큼 성장 비율이 높지 않다.
SiCl4및 NH3의 반응을 이용하여 Si3N4박막을 형성하는 ALD 방법은 클라우스(Klaus et al.)(U.S. Patent 6,090,442 및 Surf. Sci., 418, L14-L19(1998))에 개시되어 있다. 상기 클라우스에 개시된 방법에 의해 제조되는 박막의 특성은 상기 고토에 개시된 방법에 의해 제조되는 박막의 특성 보다는 우수하다. Si : N 성분비가 1 : 1.39이고, 상기 Cl, H 및 O 함량이 적절하게 낮은 편이다. 그러나, 10분의 공정을 통하여 2.45Å이 성장하기 때문에 상업적으로 응용하기에는 공정 시간이 너무 길다.
또한, ALD에 의한 Si3N4박막을 적층하기 위하여 Si2Cl6(HCD) 및 N2H4를 사용하는 방법(Appl. Surf. Sci., 112, 198-203(1997))이 제안되고 있다. 상기 방법은 Cl 및 H 함량이 적절한 화학량론적으로 나타나지만, 반면에 산소 함량이 아주 높다. 따라서, 상기 방법으로 형성하는 박막은 그 특성이 양호하지 않다.
이와 같이, 열적 버지트가 낮고, 스텝 커리버지가 우수하고, 패턴 이동 효과가 없고, Si3N4의 Si : N 비가 일정하고, 우수한 두께 제어 및 균일도를 갖고, 미세 입자의 존재가 최소이고, 불순물이 함량이 적고, 그리고 박막 성장 속도가 상업적으로 적용 가능한 Si3N4박막을 형성하는 새로운 방법이 필요하다.
본 발명의 목적은, 물리적, 화학적 특성을 일정하게 갖는 순수한 Si3N4을 구체화시킨 박막으로서, Si3N4을 형성하는 방법을 제공하는 데 있다. 본 발명의 다른 목적은, 스텝 커버지리가 우수하고, 패턴 로딩 효과가 거의 없거나 또는 전혀 없고, 그리고 두께 제어 및 균일도가 우수한 박막으로서 Si3N4을 적층하는 방법을 제공하는 데 있다. 본 발명의 또 다른 목적은, 박막 또는 다른 고체로서 Si3N4을 형성하는 방법으로서, 상대적으로 낮은 열적 버지트 및 상업적으로 적용하기 가능한 높은 성장 속도를 나타내는 방법을 제공하는 데 있다.
도 1 내지 도 5는 본 발명에 따른 원자층 적층을 이용하여 Si3N4의 박막을 형성하기 위한 공정 단계들을 설명하기 위한 도면들이다.
도 6은 본 발명에 따른 박막 제조 방법에 사용되는 박막 제조 장치를 나타내는 개략적인 구성도이다.
도 7은 본 발명에 따른 박막 제조 방법들을 설명하기 위한 플로우 챠트이다.
도 8은 본 발명의 제1 실시예에 따른 제조 방법을 반복 수행함에 따라 형성되는 Si3N4박막의 두께를 나타내는 그래프이다.
도 9는 본 발명의 제2 실시예에 따른 제조 방법을 반복 수행함에 따라 형성되는 Si3N4박막의 두께를 나타내는 그래프이다.
전술한 바를 실현하기 위하여, 본 발명은 반응 물질로서 Si2Cl6및 NH3, 또는 Si2Cl6및 활성 NH3를 사용하는 원자층 적층(ALD) 방법을 구체화시킨다.
실시예의 하나로서, 본 발명은 (a) 챔버 내에 기판을 위치시키는 단계, (b) 상기 챔버 내에 Si2Cl6를 함유하는 제1반응 물질을 도입하는 단계, (c) 상기 제1반응 물질 중에서 제1부분은 상기 기판 상에 화학 흡착시키고, 제2부분은 물리 흡착시키는 단계, (d) 상기 제1반응 물질 중에서 상기 화학 흡착하지 않은 제2부분을 상기 챔버로부터 제거시키는 단계, (e) 상기 챔버 내에 NH3를 함유하는 제2반응 물질을 도입하는 단계, (f) 상기 제2반응 물질의 제1부분과 상기 제1반응 물질의 화학 흡착된 제1부분을 화학적으로 반응시켜 상기 기판 상에 실리콘을 함유하는 고상 물질을 형성하는 단계, 그리고 (g) 상기 제2반응 물질 중에서 반응하지 않은 부분들을 상기 챔버로부터 제거시키는 단계를 포함한다. 본 발명의 다른 실시예로서, (b) 단계에서, 상기 제1반응 물질은 Si 및 Cl을 함유하는 각각의 화합물들이고, 둘 또는 둘 이상을 함유한다. 바람직하게는, 상기 두 개의 Si 및 Cl을 함유하는 화합물은 Si2Cl6및 SiCl4이다. 본 발명의 또 다른 실시예로서, 상기 박막의 두께를 증가시키기 위하여 (b) - (g) 단계를 한번 또는 한번 이상 반복 수행한다.
본 발명의 다른 실시예로서, 상기 방법은 (a) 챔버 내에 기판을 위치시키는 단계, (b) 상기 챔버 내에 Si2Cl6를 함유하는 제1반응 물질을 도입하는 단계, (c) 상기 챔버 내에 상기 제1반응 물질을 도입하는 도중에 상기 제1반응 물질의 플로우에 SiCl4를 첨가하는 단계, (d) 상기 제1반응 물질 중에서 제1부분은 상기 기판 상에 화학 흡착시키고, 상기 제2부분은 물리 흡착시키는 단계, (e) 상기 SiCl4중에서 제1부분은 상기 기판 상에 화학 흡착시키고, 제2부분은 물리 흡착시키는 단계, (f) 상기 Si2Cl6및 SiCl4중에서 화학 흡착하지 않은 부분을 상기 챔버로부터 제거시키는 단계, (g) 상기 챔버 내에 NH3를 함유하는 제2반응 물질을 도입하는 단계, (h) 상기 제2반응 물질의 제1부분과 상기 Si2Cl6의 화학 흡착된 제1부분 및 상기 SiCl4의 화학 흡착된 제1부분을 화학적으로 반응시켜 상기 기판 상에 실리콘을 함유하는 고상 물질을 형성하는 단계, 그리고 (i) 상기 제2반응 물질 중에서 제2부분을 상기 챔버로부터 제거시키는 단계를 포함한다. 다른 실시예로서, (b) - (i) 단계는 한번 또는 한번 이상으로 반복 수행한다.
이하, 본 발명의 바람직한 실시예를 첨부한 도면에 따라서 더욱 상세히 설명하기로 한다.
도 1 내지 5를 참조하면, 결정 방향(100)의 실리콘과 같은 기판(1)을 챔버(3)(도 6에 도시됨) 내에 위치시킨다. 이어서, 상기 챔버를 약 2 torr의 진공으로 형성한다. 기판(1)을 약 550℃의 온도로 가열한다. Ar 캐리어 가스를 사용하는 500sccm의 Si2Cl6흐름(stream)(2)을 상기 챔버 내에 30초 동안 도입시킨다. 본 발명은 선택적으로, 상기 Si2Cl6를 함유하는 가스 흐름에 SiCl4를 함유시킨다. 다른 실시예로서, 챔버(3) 내에 Si2Cl6를 함유하는 가스 흐름을 도입하고, 상기 흐름을 유지하는 도중에 SiCl4를 함유하는 가스 흐름을 도입한다. 따라서, SiCl4를 함유하는 가스 흐름은 이전에 챔버 내에 도입되는 Si2Cl6를 함유하는 가스 흐름과 결합하거나 또는 각각으로 도입된다. 그러나, 상기 Si2Cl6를 함유하는 가스 흐름과 동시에 도입된다.
상기 가스 흐름이 도입되는 도중에 Si2Cl6분자들(그리고 만약에 존재하는 SiCl4분자)의 제1부분이 화학 흡착하여 기판(1) 표면 상에 층(4)을 형성한다. 상기SiCl6분자(그리고 만약에 존재하는 SiCl4분자)의 제2부분이 상부에 물리적으로 접촉(물리 흡착)하여 Si2Cl6(그리고 만약에 존재하는 SiCl4분자)의 화학 흡착된 층에 느슨하게 접촉한다. 챔버(3)에 N2를 5초 동안 제공하여 상기 챔버를 정화시키고, 5초 동안 진공으로 정화시킨다. 상기 정화 단계들을 수행하는 도중에 Si2Cl6및 SiCl4중에서 화학적으로 흡착하지 않은 부분들은 상기 챔버로부터 제거된다. 이에 따라, 도 2에 도시된 바와 같이, 기판 상에는 손상되지 않은 Si2Cl6(그리고 만약에 존재하는 SiCl4분자)의 화학 흡착층(4)이 남는다. 도 3을 참조하면, 2000sccm의 NH3흐름(6)을 30초 동안 챔버(3) 내에 도입시키고, 상기 도입 도중에 챔버 압력을 다시 2 torr로 유지시키고, 기판을 550℃의 온도로 유지시킨다. 이에 따라 NH3의 부분들이 상기 기판 상에 화학 흡착되어 있는 Si2Cl6와 반응하여 도 4에 도시된 바와 같이, Si3N4층(8)을 형성한다. 다른 실시예로서, NH3는 Ar 캐리어 흐름에 동반하여 도입된다. 선택적인 실시예로서, NH3는 리모트 플라즈마 제너레이터(remote plasma generator)를 사용하여 발생시킨 플라즈마이고, Ar 흐름에 동반된다. 또 다른 실시예로서, 상기 플라즈마 제너레이터는 약 400watts에서 동작된다. 상기 동작을 위한 파워는 다양하고, 본 발명에서는 특별하게 챔버 파워 레벨(level)을 제한하지 않는다. 그리고, NH3를 함유하는 흐름을 상기 챔버 내에 30초 동안 플로우시킨 다음, N2를 사용하여 상기 챔버를 5초 동안 정화시키고, 5초 동안 진공으로 정화시킨다. 챔버(3) 내에 Si2Cl6(그리고 SiCl4)를 도입시키는 단계, 정화시키는 단계, 상기 챔버 내에 NH3를 도입시키는 단계, 그리고 다시 정화시키는 단계는 Si3N4층(8)을 적절한 두께로 형성할 수 있도록 반복 수행할 수 있다. 따라서, Si3N4층(8)이 완성된다.
도 6은 본 발명에 따른 박막 제조 방법에 사용되는 박막 제조 장치를 나타내는 개략적인 구성도이고, 도 7은 본 발명에 따른 박막 제조 방법들을 설명하기 위한 플로우 챠트이다. 기판, 예를 들면 결정 방향(100)의 실리콘 기판을 챔버(3) 내로 로딩시킨 다음, 상기 챔버를 약 2 torr의 압력으로 형성하고, 히터(5)를 사용하여 약 550℃의 온도로 형성한다.(S100) 상기 챔버를 550℃ 및 약 2 torr로 유지시키는 도중에 상기 챔버 내에 Si2Cl6를 함유하는 제1반응 물질 흐름이 30초 동안 도입된다.(S105) 그리고, 상기 Si2Cl6가 발산되고, 40℃의 온도로 액체 Si2Cl6를 함유하는 제1버블러(12)의 소스(19)로부터 500sccm의 Ar 캐리어 가스를 분사함으로서 제1반응 물질 흐름(6)이 형성된다. 이와 같이, 결합된 Si2Cl6및 Ar 가스 흐름이 제1가스 라인(13) 및 샤워 해드(15)를 통하여 약 30초 동안 챔버(3) 내에 도입된다. 그리고, 챔버는 5초 동안 Ar에 의해 정화되고, 이어서 5초 동안 펌프(7)의 펌핑 동작에 의해 진공으로 정화된다. 본 발명은 이러한 정화 구성에 제한되지 않는다. 그리고, 상기 정화 단계는 선택적으로 포함되는데, 상기 정화에 의해 화학 흡착된 Si2Cl6층 표면으로부터 물리 흡착된 Si2Cl6가 제거되는 결과를 얻는다.
계속해서, Ar 캐리어를 이용한 NH3의 제2반응 물질 가스 흐름이 약2000sccm의 비율로 30초 동안 가스 라인(16) 및 샤워 해드(15)를 통하여 챔버(3) 내에 도입된다. 상기 단계에서 기판은 550℃의 온도를 유지하고, 상기 챔버 압력은 약 2 torr로 유지한다.(S115) 실시예로서, NH3의 제2반응 물질 흐름은 제2버블러(17)에 약 25℃의 온도로 액체 NH3상태로 유지하는 가스 소스(19)를 Ar으로 버블링시킴으로서 발산된다. 바람직한 실시예로서, Ar 흐름에 캐리어된 플라즈마로서 NH3가 챔버(3) 내에 도입된다. 상기 NH3플라즈마는 리모트 플라즈마 제너레이터(도시되지 않음)에 의해 발생되고, 약 2000sccm의 비율로 가스 라인(16) 및 샤워 해드(15)를 통하여 챔버(3) 내에 30초 동안 도입된다.
도 3 및 도 4에 도시된 바와 같이, NH3의 제2반응 물질 흐름 중에서 일부가 기판 상에 화학 흡착된 Si2Cl6(다른 실시예로서는 SiCl4가 있다)와 반응하여 Si3N4층을 형성한다. 상기 기판 상에 Si3N4가 형성될 때, 상기 NH3의 제2반응 물질 흐름 중에서 제2일부는 Si3N4층 상에 물리 흡착된다. 그리고, 5초 동안의 Ar 흐름으로 챔버를 정화시키고, 계속해서 펌프(7)의 펌핑 동작에 의해 챔버는 진공으로 정화시킨다.(S120) 여기서, 이너트 가스(inter gas)를 사용한 제1정화를 수행하지 않아도 물리 흡착된 제2반응 물질을 진공으로 정화시킴으로서 제거할 수 있다.
그리고, 반응하지 않은 NH3를 정화시킨 다음, Si3N4박막의 두께를측정한다.(S125) 상기 두께가 설정된 두께보다 얇을 경우, 상기 S105 내지 S125를 반복 수행하여 상기 Si3N4박막을 설정된 두께로 형성한다. 상기 설정된 두께로 형성하고, 상기 챔버의 압력 및 온도를 정상적으로 환원시킴으로서 상기 제조 공정을 완료한다.(S130)
바람직한 본 발명의 방법을 실시예를 참조하여 설명한다. 실시예는 본 발명의 방법을 나타내는 것으로서, 본 발명을 한정시키지는 않는다.
실시예 1
전형적인 구성을 갖는 적층 챔버 내에 실리콘 기판을 위치시킨다. 나이트로겐(nitrogen)을 사용하여 2 torr을 유지하도록 상기 챔버를 정화시킨다. 상기 기판을 550℃의 온도로 가열하고, 상기 온도를 안정화시킨다. 40℃에서 액체 Si2Cl6에 Ar 500sccm을 버블링시켜 제1반응 물질 흐름을 생성하고, 30초 동안 챔버 내에 도입시킨다. 상기 챔버는 N2를 사용하여 5초 동안 정화시키고, 이어서 5초 동안 진공으로 정화시킨다. 그리고, 25℃에서 액체 NH3와 Ar 2000sccm을 버블링시킴으로서 제2반응 물질 흐름이 생성되고, 30초 동안 챔버 내에 도입시킨다. 상기 챔버 압력을 2 torr로 유지시키고, 기판을 550℃의 온도로 유지시킨다. 그리고, 상기 챔버는 N2를 사용하여 5초 동안 정화시키고, 이어서 5초 동안 진공으로 정화시킨다.
상기 단계들을 한번 수행함에 따라, 2.68Å의 속도로 Si3N4박막이 형성되는 것을 확인할 수 있다. 상기 Si3N4는 양질임을 확인할 수 있다. 그리고, 632.8nm의파장에서 굴절율이 2.0을 나타내고, 상기 박막이 화학량론적 Si3N4임을 나타낸다. 상기 박막은 신장(tensile) 스트레스가 5E10 dyne/cm2이다. 상기 방법에 의해 적층되는 Si3N4는 Si : N 성분비가 순수한 Si3N4와 유사하고, 매우 낮은 오염 레벨을 나타낸다. 수소 함량이 매우 낮고, 산소가 검출되지 않는다. 종횡비가 5 : 1을 갖는 구조에서 스텝 커버리지는 98% 또는 그 이상을 나타내고, 패턴 로딩 효과가 5% 또는 그 이하를 나타낸다. 본 실시예에서, 다른 실리콘 웨이퍼들을 사용하여 상기 동일한 단계들을 반복해서 수행하였다. 도 8을 참조하면, 상기 Si3N4박막의 두께가 상기 단계들의 반복 회수에 관련하여 선형적이라는 것을 확인할 수 있다. 따라서, 본 발명의 실시예 1에서는 한번의 단계를 수행함으로서 2.68Å의 성분비를 갖는 순수한 Si3N4층을 형성하는 결과를 확인할 수 있다. 상기 Si3N4층의 형성은 상대적으로 낮은 550℃의 온도에서 이루어지고, 90초 이하의 상대적으로 짧은 시간으로 이루어진다.
실시예 2
실시예 2에서는, 공정 조건이 상기 실시예 1과 거의 유사하다. 실시예 2와 실시예 1의 차이는 실시예 2에서 Ar 캐리어 가스에 NH3플라즈마를 포함시킨 제2반응 물질을 사용하는 것이다. 실리콘 웨이퍼를 전형적 구성를 갖는 적층 챔버에 위치시킨다. 상기 챔버는 나이트로겐으로 정화시키고, 상기 챔버를 2 torr의 압력으로 유지시킨다. 상기 기판은 550℃의 온도로 가열하고, 안정화시킨다. 실시예 1의조건으로, Ar 캐리어 가스를 사용하여 Si2Cl6를 함유하는 제1반응 물질을 생성시킨다. 상기 제1 반응 물질은 챔버 내에 500sccm으로 30초 동안 도입된다. 상기 챔버는 N2를 사용하여 5초 동안 정화시키고, 이어서 5초 동안 진공으로 정화시킨다. 플라즈마 챔버를 사용하여 Ar 캐리어 가스에 NH3흐름을 도입시킴에 따라 Ar 캐리어에 NH3플라즈마의 제2반응 물질 흐름이 생성된다. 상기 플라즈마 챔버는 400watts에서 작동한다. NH3플라즈마/Ar이 2000sccm의 플로우로 30초 동안 챔버 내에 도입된다. 상기 챔버는 N2를 사용하여 5초 동안 정화시키고, 이어서 5초 동안 진공으로 정화시킨다.
상기 단계들을 한번 수행함에 따라, 2.51Å의 속도로 Si3N4박막이 형성되는 것을 확인할 수 있다. 이때, 상기 단계들의 한번 수행은 90초 이하가 소요된다. 상기 Si3N4는 양질임을 확인할 수 있다. 632.8nm의 파장에서 굴절율이 1.95를 나타내고, 상기 박막이 화학량론적 Si3N4임을 나타낸다. 상기 박막은 신장(tensile) 스트레스가 7E10 dyne/cm2이다. 상기 Si3N4는 수소 함량이 3% 이하이고, 산소가 검출되지 않는다. 종횡비가 5 : 1를 갖는 구조에서 스텝 커버리지는 98% 또는 그 이상을 나타내고, 패턴 로딩 효과가 5% 또는 그 이하를 나타낸다. 본 실시예에서, 다른 실리콘 웨이퍼들을 사용하여 상기 동일한 단계들을 반복해서 수행하였다. 도 9을 참조하면, 상기 Si3N4박막의 두께가 상기 순환 과정의 반복 회수에 관련하여 선형적임을 확인할 수 있다.
실시예 3
실시예 3은 기판을 400℃ 이하의 온도로 가열하는 것을 제외하고는 실시예 2의 공정 조건과 거의 유사하다. 상기 실시예 3의 방법으로 상기 단계들을 한번 수행함에 따라, 1.23Å의 속도로 Si3N4박막이 형성되는 것을 확인할 수 있다. 이때, 상기 단계들의 한번 수행은 90초 이하가 소요된다. 상기 Si3N4는 양질임을 확인할 수 있다. 632.8nm의 파장에서 굴절율이 1.95를 나타내고, 상기 박막이 화학량론적 Si3N4임을 나타낸다. 상기 박막은 신장(tensile) 스트레스가 7E10 dyne/cm2이다. 상기 Si3N4는 수소 함량이 3% 이하이고, 산소가 검출되지 않는다. 그러나, 상기 실시예 3의 방법은 Si3N4박막의 성장 속도가 온도에 의존한다. 이는, 400℃의 온도에서 성장 속도가 550℃의 온도에서의 성장 속도보다 1/2을 나타내기 때문이다. 또한, 400℃의 온도보다 낮을 경우에는 Si3N4박막에 수소가 많이 함유되는 결과를 초래한다.
실시예 4
전형적인 구성을 갖는 적층 챔버 내에 실리콘 기판을 위치시킨다. 나이트로겐을 사용하여 2 torr을 유지하도록 상기 챔버를 정화시킨다. 상기 기판을 550℃의온도로 가열하고, 상기 온도를 안정화시킨다. 40℃에서 액체 Si2Cl6에 Ar 500sccm을 버블링시켜 제1반응 물질 흐름을 생성시킨다. 20℃에서 액체 SiCl4에 Ar 500sccm을 버블링시켜 Si 및 Cl을 함유하는 다른 흐름을 생성시킨다. 상기 흐름을 상기 제1반응 물질 흐름과 동시에 30초 동안 챔버 내로 도입시킨 후 흐름을 중단시킨다. 그 후, 상기 챔버는 N2를 사용하여 5초 동안 정화시키고, 이어서 5초 동안 진공으로 정화시킨다. 그리고, 25℃에서 액체 NH3에 Ar 2000sccm을 버블링시켜 제2반응 물질 흐름을 생성시켜, 30초 동안 챔버 내로 도입시킨다. 그리고, 챔버 압력을 2 torr로 유지시키고, 기판을 550℃의 온도로 유지시킨다. 상기 챔버는 N2를 사용하여 5초 동안 정화시키고, 이이서 5초 동안 진공으로 정화시킨다.
상기 단계들을 한번 반복 수행함에 따라, 2.72Å의 속도로 Si3N4박막이 형성되는 것을 확인할 수 있다. 상기 Si3N4는 양질임을 확인할 수 있다. 632.8nm의 파장에서 굴절율이 2.01을 나타내고, 상기 박막이 화학량론적 Si3N4임을 나타낸다. 상기 방법에 의해 적층되는 Si3N4는 Si : N 성분비가 순수한 Si3N4와 유사하고, 매우 낮은 오염 레벨을 나타낸다. 수소 함량이 1% 이하이고, 산소가 검출되지 않는다. 종횡비가 5 : 1를 갖는 구조에서 스텝 커버리지는 98% 또는 그 이상을 나타내고, 패턴 로딩 효과가 5% 또는 그 이하를 나타낸다. 상기 실시예 4는 상기 단계들을 한번 수행하여 약 2.72Å의 속도로 아주 순수한 Si3N4를 형성할 수 있는 결과를 얻는다. 상기Si3N4층의 형성은 상대적으로 낮은 550℃의 온도에서 이루어지고, 90초 이하의 상대적으로 짧은 시간으로 이루어진다. 본 실시예에서, 다른 실리콘 웨이퍼들을 사용하여 상기 동일한 단계들을 반복해서 수행한다. 상기 Si3N4박막의 두께는 상기 단계들의 반복 회수에 관련하여 선형적임을 확인할 수 있다.
실시예 5
전형적인 구성을 갖는 적층 챔버 내에 실리콘 기판을 위치시킨다. 나이트로겐을 사용하여 2 torr을 유지하도록 상기 챔버를 정화시킨다. 상기 기판을 550℃의 온도로 가열하고, 상기 온도를 안정화시킨다. 40℃에서 액체 Si2Cl6에 Ar 500sccm을 버블링시켜 제1반응 물질 흐름을 생성시킨다. 20℃에서 액체 SiCl4에 Ar 500sccmr을 버블링시켜 Si 및 Cl을 함유하는 다른 흐름을 생성시킨다. 상기 다른 흐름을 상기 제1반응 물질 흐름과 동시에 30초 동안 챔버 내로 도입시킨 후 흐름을 중단시킨다. 그 후, 상기 챔버는 N2를 사용하여 5초 동안 정화시키고, 이어서 5초 동안 진공으로 정화시킨다. 플라즈마 챔버에서 Ar 캐리어 가스에 NH3흐름을 도입하여 Ar 캐리어에 NH3플라즈마의 제2반응 물질 흐름을 생성시킨다. 상기 플라즈마 챔버는 400watts에서 작동한다. NH3플라즈마/Ar이 2000sccm의 플로우로 30초 동안 챔버 내에 도입된다. 상기 챔버는 N2를 사용하여 5초 동안 정화시키고, 이어서 5초 동안 진공으로 정화시킨다.
상기 단계들을 한번 반복 수행함에 따라, 2.54Å의 속도로 Si3N4박막이 형성되는 것을 확인할 수 있다. 상기 Si3N4는 양질임을 확인할 수 있다. 632.8nm의 파장에서 굴절율이 1.96을 나타내고, 상기 박막이 화학량론적 Si3N4임을 나타낸다. 상기 방법에 의해 적층되는 Si3N4는 Si : N 성분비는 순수한 Si3N4와 유사하다. 수소 함량이 2.5% 이하이고, 산소가 검출되지 않는다. 종횡비가 5 : 1를 갖는 구조에서 스텝 커버리지는 98% 또는 그 이상을 나타내고, 패턴 로딩 효과가 5% 또는 그 이하를 나타낸다. 본 실시예에서, 다른 실리콘 웨이퍼들을 사용하여 상기 동일한 단계들을 반복해서 수행한다. 상기 Si3N4박막의 두께가 상기 단계들의 반복 회수에 관련하여 선형적이라는 것을 확인할 수 있다. 상기 실시예 6은 상기 단계들을 한번 수행하여 약 2.54Å 속도로 아주 순수한 Si3N4를 형성할 수 있는 결과를 얻는다. 상기 Si3N4층의 형성은 상대적으로 낮은 550℃의 온도에서 이루어지고, 90초 이하의 상대적으로 짧은 시간으로 이루어진다.
실시예 6
전형적인 구성을 갖는 적층 챔버 내에 실리콘 기판을 위치시킨다. 나이트로겐을 사용하여 2 torr을 유지하도록 상기 챔버를 정화시킨다. 상기 기판을 550℃의 온도로 가열하고, 상기 온도를 안정화시킨다. 40℃에서 액체 Si2Cl6에 Ar 500sccm을 버블링시켜 제1반응 물질 흐름을 생성시킨다. 20℃에서 액체 SiCl4에 Ar 500sccm을버블링시켜 Si 및 Cl을 함유하는 다른 흐름을 생성시킨다. 상기 Si2Cl6를 함유하는 흐름을 30초 동안 상기 챔버에 도입시킨다. 상기 30초 중에서 처음 15초 동안은 상기 챔버 내에 Si2Cl6를 함유하는 흐름이 플로우되고, 이후 15초 동안은 Si2Cl6를 함유하는 흐름 및 SiCl4를 함유하는 흐름이 상기 챔버 내에 동시에 플로우되고, 상기 플로우의 중지는 각각으로 이루어진다. 상기 챔버는 N2를 사용하여 5초 동안 정화시키고, 이어서 5초 동안 진공으로 정화시킨다. 그리고, 25℃에서 액체 NH3에 Ar 2000sccm을 버블링시켜 제2반응 물질의 흐름을 생성시킨다. 상기 제2반응 물질이 30초 동안 상기 챔버에 도입되고, 이때, 2 torr을 유지하도록 상기 챔버를 정화시키고, 상기 기판을 550℃의 온도로 가열하고, 상기 온도를 안정화시킨다. 상기 챔버는 N2를 사용하여 5초 동안 정화시키고, 이어서 5초 동안 진공으로 정화시킨다.
상기 단계들을 한번 수행함에 따라, 2.70Å의 속도로 Si3N4박막이 형성되는 것을 확인할 수 있다. 상기 Si3N4는 양질임을 확인할 수 있다. 632.8nm의 파장에서 굴절율이 2.00을 나타내고, 상기 박막이 화학량론적인 Si3N4임을 나타낸다. 상기 방법에 의해 적층되는 Si3N4는 Si : N 성분비가 순수한 Si3N4와 유사하고, 매우 낮은 오염 레벨을 나타낸다. 수소 함량이 1% 이하이고, 산소가 검출되지 않는다. 종횡비가 5 : 1를 갖는 구조에서 스텝 커버리지는 98% 또는 그 이상을 나타내고, 패턴 로딩 효과가 5% 또는 그 이하를 나타낸다. 본 실시예에서, 다른 실리콘 웨이퍼들을사용하여 상기 동일한 단계들을 반복해서 수행하였다. 상기 Si3N4박막의 두께가 상기 단계들을 반복 수행하는 반복 회수에 관련하여 선형적이라는 것을 확인할 수 있다. 상기 실시예 6은 상기 단계들을 한번 수행하여 약 2.70Å의 속도로 아주 순수한 Si3N4를 형성할 수 있는 결과를 얻는다. 상기 Si3N4층의 형성은 상대적으로 낮은 550℃의 온도에서 이루어지고, 90초 이하의 상대적으로 짧은 시간으로 이루어진다.
실시예 7
전형적인 구성을 갖는 적층 챔버 내에 실리콘 기판을 위치시킨다. 나이트로겐을 사용하여 2 torr을 유지하도록 상기 챔버를 정화시킨다. 상기 기판을 550℃의 온도로 가열하고, 상기 온도를 안정화시킨다. 40℃에서 액체 Si2Cl6에 Ar 500sccm을 버블링시켜 제1반응 물질 흐름을 생성시킨다. 20℃에서 액체 SiCl4에 Ar 500sccm을 버블링시켜 Si 및 Cl을 함유하는 다른 흐름을 생성시킨다. 상기 Si2Cl6를 함유하는 흐름을 30초 동안 상기 챔버에 도입시킨다. 상기 30초 중에서 처음 15초 동안은 상기 챔버 내에 Si2Cl6를 함유하는 흐름으로 플로우시키고, 이후 15초 동안은 Si2Cl6를 함유하는 흐름 및 SiCl4를 함유하는 흐름이 상기 챔버 내에 동시에 플로우되고, 상기 플로우의 중지는 각각으로 이루어진다. 상기 챔버는 N2를 사용하여 5초 동안 정화시키고, 이이서 5초 동안 진공으로 정화시킨다. 그리고, 플라즈마 챔버에서 Ar 캐리어 가스에 NH3흐름을 도입시킴에 따라 Ar 캐리어에 NH3플라즈마의 제2반응 물질 흐름을 생성시킨다. 상기 플라즈마 챔버는 400watts에서 작동한다. NH3플라즈마/Ar이 2000sccm의 플로우로 30초 동안 챔버 내에 도입시킨다. 상기 챔버는 N2를 사용하여 5초 동안 정화시키고, 이이서 5초 동안 진공으로 정화시킨다.
상기 단계들을 한번 수행함에 따라, 2.53Å의 속도로 Si3N4박막이 형성되는 것을 확인할 수 있다. 상기 Si3N4는 양질임을 확인할 수 있다. 632.8nm의 파장에서 굴절율이 2.00을 나타내고, 상기 박막이 화학량론적인 Si3N4임을 나타낸다. 상기 방법에 의해 적층되는 Si3N4는 Si : N 성분비가 순수한 Si3N4와 유사하고, 매우 낮은 오염 레벨을 나타낸다. 수소 함량이 2.5% 이하이고, 산소가 검출되지 않는다. 종횡비가 5 : 1을 갖는 구조에서 스텝 커버리지는 98% 또는 그 이상을 나타내고, 패턴 로딩 효과가 5% 또는 그 이하를 나타낸다. 본 실시예에서, 다른 실리콘 웨이퍼들을 사용하여 상기 동일한 단계들을 반복해서 수행한다. 상기 Si3N4박막의 두께가 상기 단계들의 반복 회수에 관련하여 선형적이라는 것을 확인할 수 있다. 상기 실시예 7은 상기 단계들을 한번 수행하여 약 2.53Å의 비로 아주 순수한 Si3N4를 형성할 수 있는 결과를 얻는다. 상기 Si3N4층의 형성은 상대적으로 낮은 550℃의 온도에서 이루어지고, 90초 이하의 상대적으로 짧은 시간으로 이루어진다.
이와 같이, 본 발명에 의하면 열적 버지트가 낮고, 스텝 커리버지가 우수하고, 패턴 이동 효과가 없고, Si3N4의 Si : N 성분비가 일정하고, 우수한 두께 제어 및 균일도를 갖고, 미세 입자의 존재가 최소이고, 불순물이 함량이 적고, 그리고 박막 성장 속도가 상업적으로 적용 가능한 Si3N4박막을 용이하게 형성할 수 있는 효과가 있다.
상기에서는 본 발명의 바람직한 실시예를 참조하여 설명하였지만, 해당 기술 분야의 숙련된 당업자는 하기의 특허 청구의 범위에 기재된 본 발명의 사상 및 영역으로부터 벗어나지 않는 범위내에서 본 발명을 다양하게 수정 및 변경시킬 수 있음을 이해할 수 있을 것이다.

Claims (45)

  1. (a) 챔버 내에 기판을 위치시키는 단계;
    (b) 상기 챔버 내에 Si2Cl6를 함유하는 제1반응 물질을 도입하는 단계;
    (c) 상기 제1반응 물질 중에서 제1부분은 상기 기판 상에 화학 흡착시키고, 제2부분은 물리 흡착시키는 단계;
    (d) 상기 제1반응 물질 중에서 상기 화학 흡착하지 않은 제2부분을 상기 챔버로부터 제거시키는 단계;
    (e) 상기 챔버 내에 NH3를 함유하는 제2반응 물질을 도입하는 단계;
    (f) 상기 제2반응 물질의 제1부분과 상기 제1반응 물질의 화학 흡착된 제1부분을 화학적으로 반응시켜 상기 기판 상에 실리콘을 함유하는 질화물을 형성하는 단계; 및
    (g) 상기 제2반응 물질 중에서 반응하지 않은 부분들을 상기 챔버로부터 제거시키는 단계를 포함하는 것을 특징으로 하는 실리콘 질화막 형성 방법.
  2. 제1항에 있어서, 상기 기판 상에 형성하는 상기 실리콘을 함유하는 질화물은 Si3N4인 것을 특징으로 하는 실리콘 질화막 형성 방법.
  3. 삭제
  4. 제1항에 있어서, 상기 실리콘을 함유하는 질화물은 N/Si 성분비가 1 - 1.6인 것을 특징으로 하는 실리콘 질화막 형성 방법.
  5. 제1항에 있어서, 상기 기판을 350 내지 700℃ 의 온도에서 가열하는 단계를 더 포함하는 것을 특징으로 하는 실리콘 질화막 형성 방법.
  6. 제1항에 있어서, 상기 챔버의 절대 압력을 0.01 - 100 torr의 상태로 유지시키는 단계를 더 포함하는 것을 특징으로 하는 실리콘 질화막 형성 방법.
  7. 제1항에 있어서, 상기 챔버 압력은 절대 압력을 0.01 - 100 torr의 일정 압력으로 유지하는 것을 특징으로 하는 실리콘 질화막 형성 방법.
  8. 삭제
  9. 제1항에 있어서, 상기 기판 상에 형성하는 실리콘을 함유하는 질화물은 실리콘 물질에 대해서 건식 식각 선택비를 갖는 액티브 마스크 나이트라이드인 것을 특징으로 하는 실리콘 질화막 형성 방법.
  10. 제1항에 있어서, 상기 기판 상에 형성하는 실리콘을 함유하는 질화물은 텅스텐 실리사이드 및 도프드(doped) 폴리-실리콘으로 구성되는 그룹으로부터 선택되는 게이트 물질에 대해서 건식 식각 선택비를 갖는 게이트 마스크 나이트라이드인 것을 특징으로 하는 실리콘 질화막 형성 방법.
  11. 제1항에 있어서, 상기 기판 상에 형성하는 상기 실리콘을 함유하는 질화물은 W 및 Ti/TiN으로 구성되는 그룹으로부터 선택되는 도전성 물질에 대해서 건식 식각 선택비를 갖는 비트 라인 마스크 나이트라이드인 것을 특징으로 하는 실리콘 질화막 형성 방법.
  12. 제1항에 있어서, 상기 실리콘을 함유하는 질화물은 화학 기계적 연마 저지막인 것을 특징으로 하는 실리콘 질화막 형성 방법.
  13. 제1항에 있어서, 상기 기판 상에 형성하는 상기 실리콘을 함유하는 질화물은 SiO2에 대해서 건식 식각 선택비를 갖는 절연층인 스페이서인 것을 특징으로 하는 실리콘 질화막 형성 방법.
  14. 제1항에 있어서, 상기 기판 상에 형성하는 상기 실리콘을 함유하는 질화물은 반도체 소자의 SiO2에 대해서 HF를 포함하는 용액 습식 식각 선택비를 갖는 습식 식각 스토퍼인 것을 특징으로 하는 실리콘 질화막 형성 방법.
  15. 제1항에 있어서, 상기 기판 상에 형성하는 상기 실리콘을 함유하는 질화물은 반도체 소자의 게이트 절연체인 것을 특징으로 하는 실리콘 질화막 형성 방법.
  16. 제1항에 있어서, 상기 기판 상에 형성하는 상기 실리콘을 함유하는 질화물은 반도체 소자 커패시터의 스토리지 노드와 Ta2O5층 사이에 형성되는 층인 것을 특징으로 하는 실리콘 질화막 형성 방법.
  17. 제1항에 있어서, 상기 기판 상에 형성하는 상기 실리콘을 함유하는 질화물은 반도체 소자 커패시터의 절연층인 것을 특징으로 하는 실리콘 질화막 형성 방법.
  18. 제1항에 있어서, 상기 기판 상에 형성하는 상기 실리콘을 함유하는 질화물은 반도체 소자의 STI 라이너인 것을 특징으로 하는 실리콘 질화막 형성 방법.
  19. 제1항에 있어서, 상기 제2 반응 물질에 함유되는 NH3는 활성 NH3를 함유하는 것을 특징으로 하는 실리콘 질화막 형성 방법.
  20. 제1항에 있어서, 상기 챔버 내에 NH3를 함유하는 제2반응 물질을 도입하는 단계는 리모트 플라즈마 방법에 의해 진행되는 것을 특징으로 하는 실리콘 질화막 형성 방법.
  21. 제1항에 있어서, 상기 (b) - (g) 단계들을 적어도 한번 반복하는 단계를 더 포함하는 것을 특징으로 하는 실리콘 질화막 형성 방법.
  22. (a) 챔버 내에 기판을 위치시키는 단계;
    (b) 상기 챔버 내에 Si 및 Cl을 함유하는 각각의 화합물로서, 둘 또는 둘 이상의 화합물들을 함유하는 제1반응 물질을 도입하는 단계;
    (c) 상기 제1반응 물질 중에서 제1부분은 상기 기판 상에 화학 흡착시키고, 제2 일부분은 물리 흡착시키는 단계;
    (d) 상기 제2반응 물질 중에서 상기 화학 흡착하지 않은 제2부분을 상기 챔버로부터 제거시키는 단계;
    (e) 상기 챔버 내에 NH3를 함유하는 제2반응 물질을 도입하는 단계;
    (f) 상기 제2반응 물질의 제1부분과 상기 제1반응 물질의 화학 흡착된 제1부분을 화학적으로 반응시켜 상기 기판 상에 실리콘을 함유하는 질화물을 형성하는 단계; 및
    (g) 상기 제2반응 물질 중에서 반응하지 않은 부분들을 상기 챔버로부터 제거시키는 단계를 포함하는 것을 특징으로 하는 실리콘 질화막 형성 방법.
  23. 제22항에 있어서, 상기 기판 상에 형성하는 상기 실리콘을 함유하는 고상 물질은 Si3N4인 것을 특징으로 하는 실리콘 질화막 형성 방법.
  24. 삭제
  25. 제22항에 있어서, 상기 실리콘을 함유하는 고상 물질의 N/Si 성분비는 1 - 1.6인 것을 특징으로 하는 실리콘 질화막 형성 방법
  26. 제22항에 있어서, 상기 기판을 350 내지 700℃ 의 온도에서 가열하는 단계를 더 포함하는 것을 특징으로 하는 실리콘 질화막 형성 방법
  27. 제22항에 있어서, 상기 챔버를 절대 압력 0.01 - 100 torr의 상태로 유지시키는 단계를 더 포함하는 것을 특징으로 하는 실리콘 질화막 형성 방법.
  28. 제22항에 있어서, 상기 챔버 압력은 절대 압력 0.01 - 100 torr에서 일정하게 유지하는 것을 특징으로 하는 실리콘 질화막 형성 방법.
  29. 삭제
  30. 제22항에 있어서, 상기 기판 상에 형성하는 상기 실리콘을 함유하는 질화물은 실리콘 물질에 대해서 건식 식각 선택비를 갖는 액티브 마스크 나이트라이드인 것을 특징으로 하는 실리콘 질화막 형성 방법.
  31. 제22항에 있어서, 상기 기판 상에 형성하는 상기 실리콘을 함유하는 질화물 은 텅스텐 실리사이드 및 도프드(doped) 폴리-실리콘으로 구성되는 그룹으로부터 선택되는 게이트 물질에 대해서 건식 식각 선택비를 갖는 게이트 마스크 나이트라이드인 것을 특징으로 하는 실리콘 질화막 형성 방법.
  32. 제22항에 있어서, 상기 기판 상에 형성하는 상기 실리콘을 함유하는 질화물은 W 및 Ti/TiN으로 구성되는 그룹으로부터 선택되는 도전성 물질에 대해서 건식 식각 선택비를 갖는 비트 라인 마스크 나이트라이드인 것을 특징으로 하는 실리콘 질화막 형성 방법.
  33. 제22항에 있어서, 상기 실리콘을 함유하는 질화물은 화학 기계적 연마 저지막인 것을 특징으로 하는 실리콘 질화막 형성 방법.
  34. 제22항에 있어서, 상기 기판 상에 형성하는 상기 실리콘을 함유하는 질화물은 SiO2에 대해서 건식 식각 선택비를 갖는 절연층인 스페이서인 것을 특징으로 하는 실리콘 질화막 형성 방법.
  35. 제22항에 있어서, 상기 기판 상에 형성하는 상기 실리콘을 함유하는 질화물은 반도체 소자의 SiO2에 대해서 HF를 포함하는 용액 습식 식각 선택비를 갖는 습식 식각 스토퍼인 것을 특징으로 하는 실리콘 질화막 형성 방법.
  36. 제22항에 있어서, 상기 기판 상에 형성하는 상기 실리콘을 함유하는 질화물은 반도체 소자의 게이트 절연체인 것을 특징으로 하는 실리콘 질화막 형성 방법
  37. 제22항에 있어서, 상기 기판 상에 형성하는 상기 실리콘을 함유하는 질화물은 반도체 소자 커패시터의 스토리지 노드와 Ta2O5층 사이에 형성되는 층인 것을 특징으로 하는 실리콘 질화막 형성 방법.
  38. 제22항에 있어서, 상기 기판 상에 형성하는 상기 실리콘을 함유하는 질화물은 반도체 소자 커패시터의 절연층인 것을 특징으로 하는 실리콘 질화막 형성 방법.
  39. 제22항에 있어서, 상기 기판 상에 형성하는 상기 실리콘을 함유하는 질화물은 반도체 소자의 STI 라이너인 것을 특징으로 하는 실리콘 질화막 형성 방법.
  40. 제22항에 있어서, 상기 제2 반응 물질에 함유되는 NH3는 활성 NH3를 함유하는 것을 특징으로 하는 실리콘 질화막 형성 방법.
  41. 제22항에 있어서, 상기 챔버 내에 NH3를 함유하는 제2반응 물질을 도입하는 단계는 리모트 플라즈마 방법에 의해 진행되는 것을 특징으로 하는 실리콘 질화막 형성 방법.
  42. 제22항에 있어서, 상기 (b) - (f) 단계들을 적어도 한번 반복하는 단계를 더 포함하는 것을 특징으로 하는 실리콘 질화막 형성 방법.
  43. (a) 챔버 내에 기판을 위치시키는 단계;
    (b) 상기 챔버 내에 Si2Cl6를 함유하는 제1반응 물질을 도입하는 단계;
    (c) 상기 챔버 내에 상기 제1반응 물질을 도입하는 도중에 상기 제1반응 물질의 플로우에 SiCl4를 첨가하는 단계;
    (d) 상기 기판 상에 상기 Si2Cl6및 SiCl4의 제1부분을 화학 흡착시키는 단계;
    (e) 상기 제2반응 물질 중에서 화학 흡착하지 않은 부분을 상기 챔버로부터 제거시키는 단계;
    (f) 상기 챔버 내에 NH3를 함유하는 제2반응 물질을 도입하는 단계;
    (g) 상기 제2반응 물질의 제1부분과 상기 Si2Cl6및 상기 SiCl4의 화학 흡착된 부분 각각을 화학적으로 반응시켜 상기 기판 상에 실리콘을 함유하는 질화물을 형성하는 단계; 및
    (h) 상기 제2반응 물질 중에서 반응하지 않은 부분들을 상기 챔버로부터 제거시키는 단계를 포함하는 것을 특징으로 하는 실리콘 질화막 형성 방법.
  44. 제43항에 있어서, 상기 (b) - (h) 단계들을 적어도 한번 반복하는 단계를 더 포함하는 것을 특징으로 하는 실리콘 질화막 형성 방법.
  45. 제43항에 있어서, NH3를 함유하는 상기 제2반응 물질은 활성 NH3를 함유하는 것을 특징으로 하는 실리콘 질화막 형성 방법.
KR10-2001-0025008A 2001-05-01 2001-05-08 헥사 클로로 디실란 및 암모니아를 사용한 원자층의적층을 이용하여 실리콘을 함유하는 박막을 형성하는 방법 KR100443085B1 (ko)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US09/000,000 1998-05-29
US09/847,161 US6528430B2 (en) 2001-05-01 2001-05-01 Method of forming silicon containing thin films by atomic layer deposition utilizing Si2C16 and NH3
US09847161 2001-05-01

Publications (2)

Publication Number Publication Date
KR20020085487A KR20020085487A (ko) 2002-11-16
KR100443085B1 true KR100443085B1 (ko) 2004-08-04

Family

ID=25299926

Family Applications (1)

Application Number Title Priority Date Filing Date
KR10-2001-0025008A KR100443085B1 (ko) 2001-05-01 2001-05-08 헥사 클로로 디실란 및 암모니아를 사용한 원자층의적층을 이용하여 실리콘을 함유하는 박막을 형성하는 방법

Country Status (5)

Country Link
US (1) US6528430B2 (ko)
JP (1) JP3602072B2 (ko)
KR (1) KR100443085B1 (ko)
DE (1) DE10123858B4 (ko)
TW (1) TW483054B (ko)

Cited By (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
WO2017034855A1 (en) * 2015-08-21 2017-03-02 Applied Materials, Inc. High temperature thermal ald silicon nitride films
KR20170124108A (ko) * 2016-04-29 2017-11-09 세종대학교산학협력단 실리콘 질화막의 증착 방법 및 상기 실리콘 질화막의 증착 장치

Families Citing this family (402)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR100458982B1 (ko) * 2000-08-09 2004-12-03 주성엔지니어링(주) 회전형 가스분사기를 가지는 반도체소자 제조장치 및 이를이용한 박막증착방법
KR100418581B1 (ko) * 2001-06-12 2004-02-11 주식회사 하이닉스반도체 메모리 소자의 제조방법
US7374617B2 (en) * 2002-04-25 2008-05-20 Micron Technology, Inc. Atomic layer deposition methods and chemical vapor deposition methods
KR100468729B1 (ko) * 2002-04-25 2005-01-29 삼성전자주식회사 Hcd 소스를 이용하여 실리콘 산화막을 원자층 증착하는방법
KR100505668B1 (ko) * 2002-07-08 2005-08-03 삼성전자주식회사 원자층 증착 방법에 의한 실리콘 산화막 형성 방법
EP1570525B1 (en) * 2002-12-09 2015-12-02 Imec Method for forming a dielectric stack
US7084076B2 (en) * 2003-02-27 2006-08-01 Samsung Electronics, Co., Ltd. Method for forming silicon dioxide film using siloxane
JP4047766B2 (ja) 2003-05-21 2008-02-13 エルピーダメモリ株式会社 半導体装置の製造方法
US7378129B2 (en) 2003-08-18 2008-05-27 Micron Technology, Inc. Atomic layer deposition methods of forming conductive metal nitride comprising layers
US7468311B2 (en) * 2003-09-30 2008-12-23 Tokyo Electron Limited Deposition of silicon-containing films from hexachlorodisilane
US20050109276A1 (en) * 2003-11-25 2005-05-26 Applied Materials, Inc. Thermal chemical vapor deposition of silicon nitride using BTBAS bis(tertiary-butylamino silane) in a single wafer chamber
KR100560654B1 (ko) * 2004-01-08 2006-03-16 삼성전자주식회사 질화실리콘막을 형성을 위한 질소화합물 및 이를 이용한질화실리콘 막의 형성방법
JP4669679B2 (ja) * 2004-07-29 2011-04-13 東京エレクトロン株式会社 窒化珪素膜の製造方法及び半導体装置の製造方法
US7628863B2 (en) * 2004-08-03 2009-12-08 Applied Materials, Inc. Heated gas box for PECVD applications
US7323400B2 (en) * 2004-08-30 2008-01-29 Micron Technology, Inc. Plasma processing, deposition and ALD methods
US20060084283A1 (en) * 2004-10-20 2006-04-20 Paranjpe Ajit P Low temperature sin deposition methods
US20060211248A1 (en) * 2005-02-25 2006-09-21 Brabant Paul D Purifier for chemical reactor
US8129290B2 (en) 2005-05-26 2012-03-06 Applied Materials, Inc. Method to increase tensile stress of silicon nitride films using a post PECVD deposition UV cure
US7732342B2 (en) * 2005-05-26 2010-06-08 Applied Materials, Inc. Method to increase the compressive stress of PECVD silicon nitride films
US8138104B2 (en) * 2005-05-26 2012-03-20 Applied Materials, Inc. Method to increase silicon nitride tensile stress using nitrogen plasma in-situ treatment and ex-situ UV cure
US7566655B2 (en) * 2005-05-26 2009-07-28 Applied Materials, Inc. Integration process for fabricating stressed transistor structure
KR100648859B1 (ko) * 2005-06-07 2006-11-24 주식회사 하이닉스반도체 반도체 소자 제조 방법
US20070082507A1 (en) * 2005-10-06 2007-04-12 Applied Materials, Inc. Method and apparatus for the low temperature deposition of doped silicon nitride films
US7501355B2 (en) * 2006-06-29 2009-03-10 Applied Materials, Inc. Decreasing the etch rate of silicon nitride by carbon addition
EP2049705A4 (en) * 2006-07-20 2014-10-29 Linde Inc IMPROVED METHODS FOR DEPOSITION OF ATOMIC LAYERS
US7692222B2 (en) * 2006-11-07 2010-04-06 Raytheon Company Atomic layer deposition in the formation of gate structures for III-V semiconductor
US20080145536A1 (en) * 2006-12-13 2008-06-19 Applied Materials, Inc. METHOD AND APPARATUS FOR LOW TEMPERATURE AND LOW K SiBN DEPOSITION
US8298628B2 (en) * 2008-06-02 2012-10-30 Air Products And Chemicals, Inc. Low temperature deposition of silicon-containing films
JP5102393B2 (ja) * 2008-06-03 2012-12-19 エア プロダクツ アンド ケミカルズ インコーポレイテッド ケイ素含有フィルムの低温堆積
JP5665289B2 (ja) 2008-10-29 2015-02-04 株式会社日立国際電気 半導体装置の製造方法、基板処理方法および基板処理装置
US10378106B2 (en) 2008-11-14 2019-08-13 Asm Ip Holding B.V. Method of forming insulation film by modified PEALD
WO2010073979A1 (ja) 2008-12-25 2010-07-01 日本電気株式会社 通信品質監視装置、通信システム、通信品質監視方法及びそのプログラム
US9394608B2 (en) 2009-04-06 2016-07-19 Asm America, Inc. Semiconductor processing reactor and components thereof
US8802201B2 (en) 2009-08-14 2014-08-12 Asm America, Inc. Systems and methods for thin-film deposition of metal oxides using excited nitrogen-oxygen species
JP2011082493A (ja) 2009-09-14 2011-04-21 Hitachi Kokusai Electric Inc 半導体装置の製造方法及び基板処理装置
JP5467007B2 (ja) 2009-09-30 2014-04-09 株式会社日立国際電気 半導体装置の製造方法および基板処理装置
US9373500B2 (en) 2014-02-21 2016-06-21 Lam Research Corporation Plasma assisted atomic layer deposition titanium oxide for conformal encapsulation and gapfill applications
US8956983B2 (en) 2010-04-15 2015-02-17 Novellus Systems, Inc. Conformal doping via plasma activated atomic layer deposition and conformal film deposition
US8637411B2 (en) 2010-04-15 2014-01-28 Novellus Systems, Inc. Plasma activated conformal dielectric film deposition
US9257274B2 (en) 2010-04-15 2016-02-09 Lam Research Corporation Gapfill of variable aspect ratio features with a composite PEALD and PECVD method
US9076646B2 (en) 2010-04-15 2015-07-07 Lam Research Corporation Plasma enhanced atomic layer deposition with pulsed plasma exposure
US20110256734A1 (en) 2010-04-15 2011-10-20 Hausmann Dennis M Silicon nitride films and methods
US9390909B2 (en) 2013-11-07 2016-07-12 Novellus Systems, Inc. Soft landing nanolaminates for advanced patterning
US9997357B2 (en) 2010-04-15 2018-06-12 Lam Research Corporation Capped ALD films for doping fin-shaped channel regions of 3-D IC transistors
US9611544B2 (en) 2010-04-15 2017-04-04 Novellus Systems, Inc. Plasma activated conformal dielectric film deposition
US9892917B2 (en) 2010-04-15 2018-02-13 Lam Research Corporation Plasma assisted atomic layer deposition of multi-layer films for patterning applications
JP5687547B2 (ja) 2010-06-28 2015-03-18 株式会社日立国際電気 半導体装置の製造方法、基板処理方法および基板処理装置
US8524612B2 (en) 2010-09-23 2013-09-03 Novellus Systems, Inc. Plasma-activated deposition of conformal films
US9685320B2 (en) 2010-09-23 2017-06-20 Lam Research Corporation Methods for depositing silicon oxide
US8329599B2 (en) 2011-02-18 2012-12-11 Asm Japan K.K. Method of depositing dielectric film by ALD using precursor containing silicon, hydrocarbon, and halogen
US8563443B2 (en) 2011-02-18 2013-10-22 Asm Japan K.K. Method of depositing dielectric film by ALD using precursor containing silicon, hydrocarbon, and halogen
US8647993B2 (en) 2011-04-11 2014-02-11 Novellus Systems, Inc. Methods for UV-assisted conformal film deposition
US9312155B2 (en) 2011-06-06 2016-04-12 Asm Japan K.K. High-throughput semiconductor-processing apparatus equipped with multiple dual-chamber modules
US9793148B2 (en) 2011-06-22 2017-10-17 Asm Japan K.K. Method for positioning wafers in multiple wafer transport
US10364496B2 (en) 2011-06-27 2019-07-30 Asm Ip Holding B.V. Dual section module having shared and unshared mass flow controllers
US10854498B2 (en) 2011-07-15 2020-12-01 Asm Ip Holding B.V. Wafer-supporting device and method for producing same
US20130023129A1 (en) 2011-07-20 2013-01-24 Asm America, Inc. Pressure transmitter for a semiconductor processing environment
US9017481B1 (en) 2011-10-28 2015-04-28 Asm America, Inc. Process feed management for semiconductor substrate processing
US8592328B2 (en) 2012-01-20 2013-11-26 Novellus Systems, Inc. Method for depositing a chlorine-free conformal sin film
US8728955B2 (en) 2012-02-14 2014-05-20 Novellus Systems, Inc. Method of plasma activated deposition of a conformal film on a substrate surface
US8912101B2 (en) 2012-03-15 2014-12-16 Asm Ip Holding B.V. Method for forming Si-containing film using two precursors by ALD
US8946830B2 (en) 2012-04-04 2015-02-03 Asm Ip Holdings B.V. Metal oxide protective layer for a semiconductor device
US9558931B2 (en) 2012-07-27 2017-01-31 Asm Ip Holding B.V. System and method for gas-phase sulfur passivation of a semiconductor surface
US9659799B2 (en) 2012-08-28 2017-05-23 Asm Ip Holding B.V. Systems and methods for dynamic semiconductor process scheduling
US9021985B2 (en) 2012-09-12 2015-05-05 Asm Ip Holdings B.V. Process gas management for an inductively-coupled plasma deposition reactor
US9324811B2 (en) 2012-09-26 2016-04-26 Asm Ip Holding B.V. Structures and devices including a tensile-stressed silicon arsenic layer and methods of forming same
US10714315B2 (en) 2012-10-12 2020-07-14 Asm Ip Holdings B.V. Semiconductor reaction chamber showerhead
TWI595112B (zh) 2012-10-23 2017-08-11 蘭姆研究公司 次飽和之原子層沉積及保形膜沉積
KR20140059107A (ko) 2012-11-07 2014-05-15 주식회사 유피케미칼 실리콘 질화물 박막 제조 방법
JP6538300B2 (ja) 2012-11-08 2019-07-03 ノベラス・システムズ・インコーポレーテッドNovellus Systems Incorporated 感受性基材上にフィルムを蒸着するための方法
SG2013083241A (en) 2012-11-08 2014-06-27 Novellus Systems Inc Conformal film deposition for gapfill
US9640416B2 (en) 2012-12-26 2017-05-02 Asm Ip Holding B.V. Single-and dual-chamber module-attachable wafer-handling chamber
US9018108B2 (en) 2013-01-25 2015-04-28 Applied Materials, Inc. Low shrinkage dielectric films
US20160376700A1 (en) 2013-02-01 2016-12-29 Asm Ip Holding B.V. System for treatment of deposition reactor
US9484191B2 (en) 2013-03-08 2016-11-01 Asm Ip Holding B.V. Pulsed remote plasma method and system
US9589770B2 (en) 2013-03-08 2017-03-07 Asm Ip Holding B.V. Method and systems for in-situ formation of intermediate reactive species
JP6118197B2 (ja) * 2013-07-02 2017-04-19 東京エレクトロン株式会社 成膜方法
US8993054B2 (en) 2013-07-12 2015-03-31 Asm Ip Holding B.V. Method and system to reduce outgassing in a reaction chamber
US9018111B2 (en) 2013-07-22 2015-04-28 Asm Ip Holding B.V. Semiconductor reaction chamber with plasma capabilities
US9793115B2 (en) 2013-08-14 2017-10-17 Asm Ip Holding B.V. Structures and devices including germanium-tin films and methods of forming same
US9240412B2 (en) 2013-09-27 2016-01-19 Asm Ip Holding B.V. Semiconductor structure and device and methods of forming same using selective epitaxial process
US9905415B2 (en) 2013-10-03 2018-02-27 Versum Materials Us, Llc Methods for depositing silicon nitride films
US9556516B2 (en) 2013-10-09 2017-01-31 ASM IP Holding B.V Method for forming Ti-containing film by PEALD using TDMAT or TDEAT
US10179947B2 (en) 2013-11-26 2019-01-15 Asm Ip Holding B.V. Method for forming conformal nitrided, oxidized, or carbonized dielectric film by atomic layer deposition
US9214334B2 (en) 2014-02-18 2015-12-15 Lam Research Corporation High growth rate process for conformal aluminum nitride
US10683571B2 (en) 2014-02-25 2020-06-16 Asm Ip Holding B.V. Gas supply manifold and method of supplying gases to chamber using same
US10167557B2 (en) 2014-03-18 2019-01-01 Asm Ip Holding B.V. Gas distribution system, reactor including the system, and methods of using the same
US9447498B2 (en) 2014-03-18 2016-09-20 Asm Ip Holding B.V. Method for performing uniform processing in gas system-sharing multiple reaction chambers
US11015245B2 (en) 2014-03-19 2021-05-25 Asm Ip Holding B.V. Gas-phase reactor and system having exhaust plenum and components thereof
US9404587B2 (en) 2014-04-24 2016-08-02 ASM IP Holding B.V Lockout tagout for semiconductor vacuum valve
US10858737B2 (en) 2014-07-28 2020-12-08 Asm Ip Holding B.V. Showerhead assembly and components thereof
US9543180B2 (en) 2014-08-01 2017-01-10 Asm Ip Holding B.V. Apparatus and method for transporting wafers between wafer carrier and process tool under vacuum
US9478438B2 (en) 2014-08-20 2016-10-25 Lam Research Corporation Method and apparatus to deposit pure titanium thin film at low temperature using titanium tetraiodide precursor
US9478411B2 (en) 2014-08-20 2016-10-25 Lam Research Corporation Method to tune TiOx stoichiometry using atomic layer deposited Ti film to minimize contact resistance for TiOx/Ti based MIS contact scheme for CMOS
US9890456B2 (en) 2014-08-21 2018-02-13 Asm Ip Holding B.V. Method and system for in situ formation of gas-phase compounds
US9657845B2 (en) 2014-10-07 2017-05-23 Asm Ip Holding B.V. Variable conductance gas distribution apparatus and method
US10941490B2 (en) 2014-10-07 2021-03-09 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
KR102300403B1 (ko) 2014-11-19 2021-09-09 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
US9564312B2 (en) 2014-11-24 2017-02-07 Lam Research Corporation Selective inhibition in atomic layer deposition of silicon-containing films
KR102263121B1 (ko) 2014-12-22 2021-06-09 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 및 그 제조 방법
US9478415B2 (en) 2015-02-13 2016-10-25 Asm Ip Holding B.V. Method for forming film having low resistance and shallow junction depth
US10529542B2 (en) 2015-03-11 2020-01-07 Asm Ip Holdings B.V. Cross-flow reactor and method
US10276355B2 (en) 2015-03-12 2019-04-30 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
US10566187B2 (en) 2015-03-20 2020-02-18 Lam Research Corporation Ultrathin atomic layer deposition film accuracy thickness control
US9502238B2 (en) 2015-04-03 2016-11-22 Lam Research Corporation Deposition of conformal films by atomic layer deposition and atomic layer etch
US10458018B2 (en) 2015-06-26 2019-10-29 Asm Ip Holding B.V. Structures including metal carbide material, devices including the structures, and methods of forming same
US10600673B2 (en) 2015-07-07 2020-03-24 Asm Ip Holding B.V. Magnetic susceptor to baseplate seal
US10526701B2 (en) 2015-07-09 2020-01-07 Lam Research Corporation Multi-cycle ALD process for film uniformity and thickness profile modulation
US9899291B2 (en) 2015-07-13 2018-02-20 Asm Ip Holding B.V. Method for protecting layer by forming hydrocarbon-based extremely thin film
US10043661B2 (en) 2015-07-13 2018-08-07 Asm Ip Holding B.V. Method for protecting layer by forming hydrocarbon-based extremely thin film
US10083836B2 (en) 2015-07-24 2018-09-25 Asm Ip Holding B.V. Formation of boron-doped titanium metal films with high work function
JP6600074B2 (ja) 2015-07-31 2019-10-30 バーサム マテリアルズ ユーエス,リミティド ライアビリティ カンパニー 窒化ケイ素膜を堆積するための組成物及び方法
US10087525B2 (en) 2015-08-04 2018-10-02 Asm Ip Holding B.V. Variable gap hard stop design
US9647114B2 (en) 2015-08-14 2017-05-09 Asm Ip Holding B.V. Methods of forming highly p-type doped germanium tin films and structures and devices including the films
US9711345B2 (en) 2015-08-25 2017-07-18 Asm Ip Holding B.V. Method for forming aluminum nitride-based film by PEALD
US9960072B2 (en) 2015-09-29 2018-05-01 Asm Ip Holding B.V. Variable adjustment for precise matching of multiple chamber cavity housings
US9909214B2 (en) 2015-10-15 2018-03-06 Asm Ip Holding B.V. Method for depositing dielectric film in trenches by PEALD
US10211308B2 (en) 2015-10-21 2019-02-19 Asm Ip Holding B.V. NbMC layers
US10322384B2 (en) 2015-11-09 2019-06-18 Asm Ip Holding B.V. Counter flow mixer for process chamber
US9455138B1 (en) 2015-11-10 2016-09-27 Asm Ip Holding B.V. Method for forming dielectric film in trenches by PEALD using H-containing gas
US9905420B2 (en) 2015-12-01 2018-02-27 Asm Ip Holding B.V. Methods of forming silicon germanium tin films and structures and devices including the films
US9607837B1 (en) 2015-12-21 2017-03-28 Asm Ip Holding B.V. Method for forming silicon oxide cap layer for solid state diffusion process
US9633838B2 (en) * 2015-12-28 2017-04-25 L'Air Liquide, Société Anonyme pour l'Etude et l'Exploitation des Procédés Georges Claude Vapor deposition of silicon-containing films using penta-substituted disilanes
US9735024B2 (en) 2015-12-28 2017-08-15 Asm Ip Holding B.V. Method of atomic layer etching using functional group-containing fluorocarbon
US9627221B1 (en) 2015-12-28 2017-04-18 Asm Ip Holding B.V. Continuous process incorporating atomic layer etching
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
US10468251B2 (en) 2016-02-19 2019-11-05 Asm Ip Holding B.V. Method for forming spacers using silicon nitride film for spacer-defined multiple patterning
US9754779B1 (en) 2016-02-19 2017-09-05 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US10529554B2 (en) 2016-02-19 2020-01-07 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US10501866B2 (en) 2016-03-09 2019-12-10 Asm Ip Holding B.V. Gas distribution apparatus for improved film uniformity in an epitaxial system
US10343920B2 (en) 2016-03-18 2019-07-09 Asm Ip Holding B.V. Aligned carbon nanotubes
US9892913B2 (en) 2016-03-24 2018-02-13 Asm Ip Holding B.V. Radial and thickness control via biased multi-port injection settings
US10865475B2 (en) 2016-04-21 2020-12-15 Asm Ip Holding B.V. Deposition of metal borides and silicides
US10190213B2 (en) 2016-04-21 2019-01-29 Asm Ip Holding B.V. Deposition of metal borides
US10087522B2 (en) 2016-04-21 2018-10-02 Asm Ip Holding B.V. Deposition of metal borides
US10032628B2 (en) 2016-05-02 2018-07-24 Asm Ip Holding B.V. Source/drain performance through conformal solid state doping
US10367080B2 (en) 2016-05-02 2019-07-30 Asm Ip Holding B.V. Method of forming a germanium oxynitride film
KR102592471B1 (ko) 2016-05-17 2023-10-20 에이에스엠 아이피 홀딩 비.브이. 금속 배선 형성 방법 및 이를 이용한 반도체 장치의 제조 방법
WO2017200908A1 (en) * 2016-05-17 2017-11-23 Dow Corning Corporation Aminochlorohydridodisilanes
US11453943B2 (en) 2016-05-25 2022-09-27 Asm Ip Holding B.V. Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor
US10388509B2 (en) 2016-06-28 2019-08-20 Asm Ip Holding B.V. Formation of epitaxial layers via dislocation filtering
US9773643B1 (en) 2016-06-30 2017-09-26 Lam Research Corporation Apparatus and method for deposition and etch in gap fill
US10062563B2 (en) 2016-07-01 2018-08-28 Lam Research Corporation Selective atomic layer deposition with post-dose treatment
US10612137B2 (en) 2016-07-08 2020-04-07 Asm Ip Holdings B.V. Organic reactants for atomic layer deposition
US9859151B1 (en) 2016-07-08 2018-01-02 Asm Ip Holding B.V. Selective film deposition method to form air gaps
US9793135B1 (en) 2016-07-14 2017-10-17 ASM IP Holding B.V Method of cyclic dry etching using etchant film
US10714385B2 (en) 2016-07-19 2020-07-14 Asm Ip Holding B.V. Selective deposition of tungsten
US10381226B2 (en) 2016-07-27 2019-08-13 Asm Ip Holding B.V. Method of processing substrate
US9887082B1 (en) 2016-07-28 2018-02-06 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10395919B2 (en) 2016-07-28 2019-08-27 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10177025B2 (en) 2016-07-28 2019-01-08 Asm Ip Holding B.V. Method and apparatus for filling a gap
KR102532607B1 (ko) 2016-07-28 2023-05-15 에이에스엠 아이피 홀딩 비.브이. 기판 가공 장치 및 그 동작 방법
US9812320B1 (en) 2016-07-28 2017-11-07 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10037884B2 (en) 2016-08-31 2018-07-31 Lam Research Corporation Selective atomic layer deposition for gapfill using sacrificial underlayer
US10090316B2 (en) 2016-09-01 2018-10-02 Asm Ip Holding B.V. 3D stacked multilayer semiconductor memory using doped select transistor channel
US10410943B2 (en) 2016-10-13 2019-09-10 Asm Ip Holding B.V. Method for passivating a surface of a semiconductor and related systems
US10643826B2 (en) 2016-10-26 2020-05-05 Asm Ip Holdings B.V. Methods for thermally calibrating reaction chambers
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
US10435790B2 (en) 2016-11-01 2019-10-08 Asm Ip Holding B.V. Method of subatmospheric plasma-enhanced ALD using capacitively coupled electrodes with narrow gap
US10229833B2 (en) 2016-11-01 2019-03-12 Asm Ip Holding B.V. Methods for forming a transition metal nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10643904B2 (en) 2016-11-01 2020-05-05 Asm Ip Holdings B.V. Methods for forming a semiconductor device and related semiconductor device structures
US10714350B2 (en) 2016-11-01 2020-07-14 ASM IP Holdings, B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10134757B2 (en) 2016-11-07 2018-11-20 Asm Ip Holding B.V. Method of processing a substrate and a device manufactured by using the method
KR102546317B1 (ko) 2016-11-15 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기체 공급 유닛 및 이를 포함하는 기판 처리 장치
US10340135B2 (en) 2016-11-28 2019-07-02 Asm Ip Holding B.V. Method of topologically restricted plasma-enhanced cyclic deposition of silicon or metal nitride
KR20180068582A (ko) 2016-12-14 2018-06-22 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11447861B2 (en) 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
US11581186B2 (en) 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
US9916980B1 (en) 2016-12-15 2018-03-13 Asm Ip Holding B.V. Method of forming a structure on a substrate
KR20180070971A (ko) 2016-12-19 2018-06-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10269558B2 (en) 2016-12-22 2019-04-23 Asm Ip Holding B.V. Method of forming a structure on a substrate
US10867788B2 (en) 2016-12-28 2020-12-15 Asm Ip Holding B.V. Method of forming a structure on a substrate
US11390950B2 (en) 2017-01-10 2022-07-19 Asm Ip Holding B.V. Reactor system and method to reduce residue buildup during a film deposition process
US10655221B2 (en) 2017-02-09 2020-05-19 Asm Ip Holding B.V. Method for depositing oxide film by thermal ALD and PEALD
US10468261B2 (en) 2017-02-15 2019-11-05 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
US10529563B2 (en) 2017-03-29 2020-01-07 Asm Ip Holdings B.V. Method for forming doped metal oxide films on a substrate by cyclical deposition and related semiconductor device structures
US10283353B2 (en) 2017-03-29 2019-05-07 Asm Ip Holding B.V. Method of reforming insulating film deposited on substrate with recess pattern
US10103040B1 (en) 2017-03-31 2018-10-16 Asm Ip Holding B.V. Apparatus and method for manufacturing a semiconductor device
USD830981S1 (en) 2017-04-07 2018-10-16 Asm Ip Holding B.V. Susceptor for semiconductor substrate processing apparatus
KR102457289B1 (ko) 2017-04-25 2022-10-21 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10770286B2 (en) 2017-05-08 2020-09-08 Asm Ip Holdings B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
US10446393B2 (en) 2017-05-08 2019-10-15 Asm Ip Holding B.V. Methods for forming silicon-containing epitaxial layers and related semiconductor device structures
US10892156B2 (en) 2017-05-08 2021-01-12 Asm Ip Holding B.V. Methods for forming a silicon nitride film on a substrate and related semiconductor device structures
US10504742B2 (en) 2017-05-31 2019-12-10 Asm Ip Holding B.V. Method of atomic layer etching using hydrogen plasma
US10886123B2 (en) 2017-06-02 2021-01-05 Asm Ip Holding B.V. Methods for forming low temperature semiconductor layers and related semiconductor device structures
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
US10685834B2 (en) 2017-07-05 2020-06-16 Asm Ip Holdings B.V. Methods for forming a silicon germanium tin layer and related semiconductor device structures
KR20190009245A (ko) 2017-07-18 2019-01-28 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 구조물 형성 방법 및 관련된 반도체 소자 구조물
US11018002B2 (en) 2017-07-19 2021-05-25 Asm Ip Holding B.V. Method for selectively depositing a Group IV semiconductor and related semiconductor device structures
US11374112B2 (en) 2017-07-19 2022-06-28 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US10541333B2 (en) 2017-07-19 2020-01-21 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US10605530B2 (en) 2017-07-26 2020-03-31 Asm Ip Holding B.V. Assembly of a liner and a flange for a vertical furnace as well as the liner and the vertical furnace
US10312055B2 (en) 2017-07-26 2019-06-04 Asm Ip Holding B.V. Method of depositing film by PEALD using negative bias
US10590535B2 (en) 2017-07-26 2020-03-17 Asm Ip Holdings B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US10692741B2 (en) 2017-08-08 2020-06-23 Asm Ip Holdings B.V. Radiation shield
US10770336B2 (en) 2017-08-08 2020-09-08 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US11139191B2 (en) 2017-08-09 2021-10-05 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US10249524B2 (en) 2017-08-09 2019-04-02 Asm Ip Holding B.V. Cassette holder assembly for a substrate cassette and holding member for use in such assembly
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US10236177B1 (en) 2017-08-22 2019-03-19 ASM IP Holding B.V.. Methods for depositing a doped germanium tin semiconductor and related semiconductor device structures
USD900036S1 (en) 2017-08-24 2020-10-27 Asm Ip Holding B.V. Heater electrical connector and adapter
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
US11056344B2 (en) 2017-08-30 2021-07-06 Asm Ip Holding B.V. Layer forming method
KR102491945B1 (ko) 2017-08-30 2023-01-26 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
US10269559B2 (en) 2017-09-13 2019-04-23 Lam Research Corporation Dielectric gapfill of high aspect ratio features utilizing a sacrificial etch cap layer
US10607895B2 (en) 2017-09-18 2020-03-31 Asm Ip Holdings B.V. Method for forming a semiconductor device structure comprising a gate fill metal
KR102630301B1 (ko) 2017-09-21 2024-01-29 에이에스엠 아이피 홀딩 비.브이. 침투성 재료의 순차 침투 합성 방법 처리 및 이를 이용하여 형성된 구조물 및 장치
US10844484B2 (en) 2017-09-22 2020-11-24 Asm Ip Holding B.V. Apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US10658205B2 (en) 2017-09-28 2020-05-19 Asm Ip Holdings B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
US10403504B2 (en) 2017-10-05 2019-09-03 Asm Ip Holding B.V. Method for selectively depositing a metallic film on a substrate
US10319588B2 (en) 2017-10-10 2019-06-11 Asm Ip Holding B.V. Method for depositing a metal chalcogenide on a substrate by cyclical deposition
US10923344B2 (en) 2017-10-30 2021-02-16 Asm Ip Holding B.V. Methods for forming a semiconductor structure and related semiconductor structures
US10910262B2 (en) 2017-11-16 2021-02-02 Asm Ip Holding B.V. Method of selectively depositing a capping layer structure on a semiconductor device structure
KR102443047B1 (ko) 2017-11-16 2022-09-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 방법 및 그에 의해 제조된 장치
US11022879B2 (en) 2017-11-24 2021-06-01 Asm Ip Holding B.V. Method of forming an enhanced unexposed photoresist layer
KR102597978B1 (ko) 2017-11-27 2023-11-06 에이에스엠 아이피 홀딩 비.브이. 배치 퍼니스와 함께 사용하기 위한 웨이퍼 카세트를 보관하기 위한 보관 장치
CN111344522B (zh) 2017-11-27 2022-04-12 阿斯莫Ip控股公司 包括洁净迷你环境的装置
US10290508B1 (en) 2017-12-05 2019-05-14 Asm Ip Holding B.V. Method for forming vertical spacers for spacer-defined patterning
US10872771B2 (en) 2018-01-16 2020-12-22 Asm Ip Holding B. V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
TW202325889A (zh) 2018-01-19 2023-07-01 荷蘭商Asm 智慧財產控股公司 沈積方法
CN111630203A (zh) 2018-01-19 2020-09-04 Asm Ip私人控股有限公司 通过等离子体辅助沉积来沉积间隙填充层的方法
USD903477S1 (en) 2018-01-24 2020-12-01 Asm Ip Holdings B.V. Metal clamp
US11018047B2 (en) 2018-01-25 2021-05-25 Asm Ip Holding B.V. Hybrid lift pin
USD880437S1 (en) 2018-02-01 2020-04-07 Asm Ip Holding B.V. Gas supply plate for semiconductor manufacturing apparatus
US10535516B2 (en) 2018-02-01 2020-01-14 Asm Ip Holdings B.V. Method for depositing a semiconductor structure on a surface of a substrate and related semiconductor structures
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
US10896820B2 (en) 2018-02-14 2021-01-19 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
EP3737779A1 (en) 2018-02-14 2020-11-18 ASM IP Holding B.V. A method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
US10731249B2 (en) 2018-02-15 2020-08-04 Asm Ip Holding B.V. Method of forming a transition metal containing film on a substrate by a cyclical deposition process, a method for supplying a transition metal halide compound to a reaction chamber, and related vapor deposition apparatus
US10658181B2 (en) 2018-02-20 2020-05-19 Asm Ip Holding B.V. Method of spacer-defined direct patterning in semiconductor fabrication
KR102636427B1 (ko) 2018-02-20 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 장치
US10975470B2 (en) 2018-02-23 2021-04-13 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
JP7199497B2 (ja) * 2018-02-28 2023-01-05 株式会社Kokusai Electric 基板処理方法、半導体装置の製造方法、基板処理装置、およびプログラム
JP6789257B2 (ja) * 2018-02-28 2020-11-25 株式会社Kokusai Electric 半導体装置の製造方法、基板処理装置、およびプログラム
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
US11629406B2 (en) 2018-03-09 2023-04-18 Asm Ip Holding B.V. Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate
US11114283B2 (en) 2018-03-16 2021-09-07 Asm Ip Holding B.V. Reactor, system including the reactor, and methods of manufacturing and using same
KR102646467B1 (ko) 2018-03-27 2024-03-11 에이에스엠 아이피 홀딩 비.브이. 기판 상에 전극을 형성하는 방법 및 전극을 포함하는 반도체 소자 구조
US11088002B2 (en) 2018-03-29 2021-08-10 Asm Ip Holding B.V. Substrate rack and a substrate processing system and method
US10510536B2 (en) 2018-03-29 2019-12-17 Asm Ip Holding B.V. Method of depositing a co-doped polysilicon film on a surface of a substrate within a reaction chamber
US11230766B2 (en) 2018-03-29 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102501472B1 (ko) 2018-03-30 2023-02-20 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
TW202344708A (zh) 2018-05-08 2023-11-16 荷蘭商Asm Ip私人控股有限公司 藉由循環沉積製程於基板上沉積氧化物膜之方法及相關裝置結構
TWI816783B (zh) 2018-05-11 2023-10-01 荷蘭商Asm 智慧財產控股公司 用於基板上形成摻雜金屬碳化物薄膜之方法及相關半導體元件結構
KR102596988B1 (ko) 2018-05-28 2023-10-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 그에 의해 제조된 장치
US10774422B2 (en) 2018-06-01 2020-09-15 Asm Ip Holding B.V. Systems and methods for controlling vapor phase processing
US11270899B2 (en) 2018-06-04 2022-03-08 Asm Ip Holding B.V. Wafer handling chamber with moisture reduction
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
US11286562B2 (en) 2018-06-08 2022-03-29 Asm Ip Holding B.V. Gas-phase chemical reactor and method of using same
US10797133B2 (en) 2018-06-21 2020-10-06 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
KR102568797B1 (ko) 2018-06-21 2023-08-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 시스템
US11492703B2 (en) 2018-06-27 2022-11-08 Asm Ip Holding B.V. Cyclic deposition methods for forming metal-containing material and films and structures including the metal-containing material
WO2020003000A1 (en) 2018-06-27 2020-01-02 Asm Ip Holding B.V. Cyclic deposition methods for forming metal-containing material and films and structures including the metal-containing material
US10612136B2 (en) 2018-06-29 2020-04-07 ASM IP Holding, B.V. Temperature-controlled flange and reactor system including same
KR20200002519A (ko) 2018-06-29 2020-01-08 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10388513B1 (en) 2018-07-03 2019-08-20 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10755922B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10767789B2 (en) 2018-07-16 2020-09-08 Asm Ip Holding B.V. Diaphragm valves, valve components, and methods for forming valve components
US10483099B1 (en) 2018-07-26 2019-11-19 Asm Ip Holding B.V. Method for forming thermally stable organosilicon polymer film
US11053591B2 (en) 2018-08-06 2021-07-06 Asm Ip Holding B.V. Multi-port gas injection system and reactor system including same
US10883175B2 (en) 2018-08-09 2021-01-05 Asm Ip Holding B.V. Vertical furnace for processing substrates and a liner for use therein
US10829852B2 (en) 2018-08-16 2020-11-10 Asm Ip Holding B.V. Gas distribution device for a wafer processing apparatus
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
KR20200030162A (ko) 2018-09-11 2020-03-20 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
US11024523B2 (en) 2018-09-11 2021-06-01 Asm Ip Holding B.V. Substrate processing apparatus and method
US11049751B2 (en) 2018-09-14 2021-06-29 Asm Ip Holding B.V. Cassette supply system to store and handle cassettes and processing apparatus equipped therewith
CN110970344A (zh) 2018-10-01 2020-04-07 Asm Ip控股有限公司 衬底保持设备、包含所述设备的系统及其使用方法
US11232963B2 (en) 2018-10-03 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102592699B1 (ko) 2018-10-08 2023-10-23 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 박막 증착 장치와 기판 처리 장치
US10847365B2 (en) 2018-10-11 2020-11-24 Asm Ip Holding B.V. Method of forming conformal silicon carbide film by cyclic CVD
US10811256B2 (en) 2018-10-16 2020-10-20 Asm Ip Holding B.V. Method for etching a carbon-containing feature
KR102605121B1 (ko) 2018-10-19 2023-11-23 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
KR102546322B1 (ko) 2018-10-19 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
USD948463S1 (en) 2018-10-24 2022-04-12 Asm Ip Holding B.V. Susceptor for semiconductor substrate supporting apparatus
US10381219B1 (en) 2018-10-25 2019-08-13 Asm Ip Holding B.V. Methods for forming a silicon nitride film
US11087997B2 (en) 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
KR20200051105A (ko) 2018-11-02 2020-05-13 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 기판 처리 장치
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US11031242B2 (en) 2018-11-07 2021-06-08 Asm Ip Holding B.V. Methods for depositing a boron doped silicon germanium film
US10818758B2 (en) 2018-11-16 2020-10-27 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US10847366B2 (en) 2018-11-16 2020-11-24 Asm Ip Holding B.V. Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process
US10559458B1 (en) 2018-11-26 2020-02-11 Asm Ip Holding B.V. Method of forming oxynitride film
US11217444B2 (en) 2018-11-30 2022-01-04 Asm Ip Holding B.V. Method for forming an ultraviolet radiation responsive metal oxide-containing film
KR102636428B1 (ko) 2018-12-04 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치를 세정하는 방법
KR102402779B1 (ko) * 2018-12-11 2022-05-30 한양대학교 산학협력단 실리콘 전구체 가압 도징 단계를 포함하는 절연막 제조방법
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
JP2020096183A (ja) 2018-12-14 2020-06-18 エーエスエム・アイピー・ホールディング・ベー・フェー 窒化ガリウムの選択的堆積を用いてデバイス構造体を形成する方法及びそのためのシステム
TWI819180B (zh) 2019-01-17 2023-10-21 荷蘭商Asm 智慧財產控股公司 藉由循環沈積製程於基板上形成含過渡金屬膜之方法
KR20200091543A (ko) 2019-01-22 2020-07-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
CN111524788B (zh) 2019-02-01 2023-11-24 Asm Ip私人控股有限公司 氧化硅的拓扑选择性膜形成的方法
TW202044325A (zh) 2019-02-20 2020-12-01 荷蘭商Asm Ip私人控股有限公司 填充一基板之一表面內所形成的一凹槽的方法、根據其所形成之半導體結構、及半導體處理設備
KR20200102357A (ko) 2019-02-20 2020-08-31 에이에스엠 아이피 홀딩 비.브이. 3-d nand 응용의 플러그 충진체 증착용 장치 및 방법
KR102626263B1 (ko) 2019-02-20 2024-01-16 에이에스엠 아이피 홀딩 비.브이. 처리 단계를 포함하는 주기적 증착 방법 및 이를 위한 장치
TW202104632A (zh) 2019-02-20 2021-02-01 荷蘭商Asm Ip私人控股有限公司 用來填充形成於基材表面內之凹部的循環沉積方法及設備
TW202100794A (zh) 2019-02-22 2021-01-01 荷蘭商Asm Ip私人控股有限公司 基材處理設備及處理基材之方法
KR20200108248A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOCN 층을 포함한 구조체 및 이의 형성 방법
KR20200108243A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOC 층을 포함한 구조체 및 이의 형성 방법
KR20200108242A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. 실리콘 질화물 층을 선택적으로 증착하는 방법, 및 선택적으로 증착된 실리콘 질화물 층을 포함하는 구조체
JP2020167398A (ja) 2019-03-28 2020-10-08 エーエスエム・アイピー・ホールディング・ベー・フェー ドアオープナーおよびドアオープナーが提供される基材処理装置
KR20200116855A (ko) 2019-04-01 2020-10-13 에이에스엠 아이피 홀딩 비.브이. 반도체 소자를 제조하는 방법
KR20200123380A (ko) 2019-04-19 2020-10-29 에이에스엠 아이피 홀딩 비.브이. 층 형성 방법 및 장치
KR20200125453A (ko) 2019-04-24 2020-11-04 에이에스엠 아이피 홀딩 비.브이. 기상 반응기 시스템 및 이를 사용하는 방법
KR20200130118A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 비정질 탄소 중합체 막을 개질하는 방법
KR20200130121A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 딥 튜브가 있는 화학물질 공급원 용기
KR20200130652A (ko) 2019-05-10 2020-11-19 에이에스엠 아이피 홀딩 비.브이. 표면 상에 재료를 증착하는 방법 및 본 방법에 따라 형성된 구조
JP2020188255A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
USD935572S1 (en) 2019-05-24 2021-11-09 Asm Ip Holding B.V. Gas channel plate
USD922229S1 (en) 2019-06-05 2021-06-15 Asm Ip Holding B.V. Device for controlling a temperature of a gas supply unit
KR20200141003A (ko) 2019-06-06 2020-12-17 에이에스엠 아이피 홀딩 비.브이. 가스 감지기를 포함하는 기상 반응기 시스템
KR20200143254A (ko) 2019-06-11 2020-12-23 에이에스엠 아이피 홀딩 비.브이. 개질 가스를 사용하여 전자 구조를 형성하는 방법, 상기 방법을 수행하기 위한 시스템, 및 상기 방법을 사용하여 형성되는 구조
USD944946S1 (en) 2019-06-14 2022-03-01 Asm Ip Holding B.V. Shower plate
USD931978S1 (en) 2019-06-27 2021-09-28 Asm Ip Holding B.V. Showerhead vacuum transport
KR20210005515A (ko) 2019-07-03 2021-01-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치용 온도 제어 조립체 및 이를 사용하는 방법
JP2021015791A (ja) 2019-07-09 2021-02-12 エーエスエム アイピー ホールディング ビー.ブイ. 同軸導波管を用いたプラズマ装置、基板処理方法
CN112216646A (zh) 2019-07-10 2021-01-12 Asm Ip私人控股有限公司 基板支撑组件及包括其的基板处理装置
KR20210010307A (ko) 2019-07-16 2021-01-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210010820A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 실리콘 게르마늄 구조를 형성하는 방법
KR20210010816A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 라디칼 보조 점화 플라즈마 시스템 및 방법
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
CN112242296A (zh) 2019-07-19 2021-01-19 Asm Ip私人控股有限公司 形成拓扑受控的无定形碳聚合物膜的方法
CN112309843A (zh) 2019-07-29 2021-02-02 Asm Ip私人控股有限公司 实现高掺杂剂掺入的选择性沉积方法
CN112309900A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
CN112309899A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
KR20210018759A (ko) 2019-08-05 2021-02-18 에이에스엠 아이피 홀딩 비.브이. 화학물질 공급원 용기를 위한 액체 레벨 센서
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
JP2021031769A (ja) 2019-08-21 2021-03-01 エーエスエム アイピー ホールディング ビー.ブイ. 成膜原料混合ガス生成装置及び成膜装置
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
USD940837S1 (en) 2019-08-22 2022-01-11 Asm Ip Holding B.V. Electrode
KR20210024423A (ko) 2019-08-22 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 홀을 구비한 구조체를 형성하기 위한 방법
USD930782S1 (en) 2019-08-22 2021-09-14 Asm Ip Holding B.V. Gas distributor
USD949319S1 (en) 2019-08-22 2022-04-19 Asm Ip Holding B.V. Exhaust duct
KR20210024420A (ko) 2019-08-23 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 비스(디에틸아미노)실란을 사용하여 peald에 의해 개선된 품질을 갖는 실리콘 산화물 막을 증착하기 위한 방법
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
KR20210029090A (ko) 2019-09-04 2021-03-15 에이에스엠 아이피 홀딩 비.브이. 희생 캡핑 층을 이용한 선택적 증착 방법
KR20210029663A (ko) 2019-09-05 2021-03-16 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
CN112593212B (zh) 2019-10-02 2023-12-22 Asm Ip私人控股有限公司 通过循环等离子体增强沉积工艺形成拓扑选择性氧化硅膜的方法
TW202129060A (zh) 2019-10-08 2021-08-01 荷蘭商Asm Ip控股公司 基板處理裝置、及基板處理方法
KR20210043460A (ko) 2019-10-10 2021-04-21 에이에스엠 아이피 홀딩 비.브이. 포토레지스트 하부층을 형성하기 위한 방법 및 이를 포함한 구조체
KR20210045930A (ko) 2019-10-16 2021-04-27 에이에스엠 아이피 홀딩 비.브이. 실리콘 산화물의 토폴로지-선택적 막의 형성 방법
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
KR20210047808A (ko) 2019-10-21 2021-04-30 에이에스엠 아이피 홀딩 비.브이. 막을 선택적으로 에칭하기 위한 장치 및 방법
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
KR20210054983A (ko) 2019-11-05 2021-05-14 에이에스엠 아이피 홀딩 비.브이. 도핑된 반도체 층을 갖는 구조체 및 이를 형성하기 위한 방법 및 시스템
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
KR20210062561A (ko) 2019-11-20 2021-05-31 에이에스엠 아이피 홀딩 비.브이. 기판의 표면 상에 탄소 함유 물질을 증착하는 방법, 상기 방법을 사용하여 형성된 구조물, 및 상기 구조물을 형성하기 위한 시스템
KR20210065848A (ko) 2019-11-26 2021-06-04 에이에스엠 아이피 홀딩 비.브이. 제1 유전체 표면과 제2 금속성 표면을 포함한 기판 상에 타겟 막을 선택적으로 형성하기 위한 방법
CN112951697A (zh) 2019-11-26 2021-06-11 Asm Ip私人控股有限公司 基板处理设备
CN112885693A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
CN112885692A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
JP2021090042A (ja) 2019-12-02 2021-06-10 エーエスエム アイピー ホールディング ビー.ブイ. 基板処理装置、基板処理方法
KR20210070898A (ko) 2019-12-04 2021-06-15 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
TW202125596A (zh) 2019-12-17 2021-07-01 荷蘭商Asm Ip私人控股有限公司 形成氮化釩層之方法以及包括該氮化釩層之結構
KR20210080214A (ko) 2019-12-19 2021-06-30 에이에스엠 아이피 홀딩 비.브이. 기판 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
JP2021109175A (ja) 2020-01-06 2021-08-02 エーエスエム・アイピー・ホールディング・ベー・フェー ガス供給アセンブリ、その構成要素、およびこれを含む反応器システム
KR20210095050A (ko) 2020-01-20 2021-07-30 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법 및 박막 표면 개질 방법
TW202130846A (zh) 2020-02-03 2021-08-16 荷蘭商Asm Ip私人控股有限公司 形成包括釩或銦層的結構之方法
TW202146882A (zh) 2020-02-04 2021-12-16 荷蘭商Asm Ip私人控股有限公司 驗證一物品之方法、用於驗證一物品之設備、及用於驗證一反應室之系統
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
US11781243B2 (en) 2020-02-17 2023-10-10 Asm Ip Holding B.V. Method for depositing low temperature phosphorous-doped silicon
KR20210116240A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 조절성 접합부를 갖는 기판 핸들링 장치
US11876356B2 (en) 2020-03-11 2024-01-16 Asm Ip Holding B.V. Lockout tagout assembly and system and method of using same
KR20210117157A (ko) 2020-03-12 2021-09-28 에이에스엠 아이피 홀딩 비.브이. 타겟 토폴로지 프로파일을 갖는 층 구조를 제조하기 위한 방법
KR20210124042A (ko) 2020-04-02 2021-10-14 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법
TW202146689A (zh) 2020-04-03 2021-12-16 荷蘭商Asm Ip控股公司 阻障層形成方法及半導體裝置的製造方法
TW202145344A (zh) 2020-04-08 2021-12-01 荷蘭商Asm Ip私人控股有限公司 用於選擇性蝕刻氧化矽膜之設備及方法
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
KR20210132600A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐, 질소 및 추가 원소를 포함한 층을 증착하기 위한 방법 및 시스템
KR20210132605A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 냉각 가스 공급부를 포함한 수직형 배치 퍼니스 어셈블리
US11898243B2 (en) 2020-04-24 2024-02-13 Asm Ip Holding B.V. Method of forming vanadium nitride-containing layer
KR20210134226A (ko) 2020-04-29 2021-11-09 에이에스엠 아이피 홀딩 비.브이. 고체 소스 전구체 용기
KR20210134869A (ko) 2020-05-01 2021-11-11 에이에스엠 아이피 홀딩 비.브이. Foup 핸들러를 이용한 foup의 빠른 교환
KR20210141379A (ko) 2020-05-13 2021-11-23 에이에스엠 아이피 홀딩 비.브이. 반응기 시스템용 레이저 정렬 고정구
KR20210143653A (ko) 2020-05-19 2021-11-29 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210145078A (ko) 2020-05-21 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 다수의 탄소 층을 포함한 구조체 및 이를 형성하고 사용하는 방법
JP2021188094A (ja) 2020-05-29 2021-12-13 大陽日酸株式会社 混合ガス供給装置、金属窒化膜の製造装置、及び金属窒化膜の製造方法
TW202201602A (zh) 2020-05-29 2022-01-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202218133A (zh) 2020-06-24 2022-05-01 荷蘭商Asm Ip私人控股有限公司 形成含矽層之方法
TW202217953A (zh) 2020-06-30 2022-05-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202219628A (zh) 2020-07-17 2022-05-16 荷蘭商Asm Ip私人控股有限公司 用於光微影之結構與方法
TW202204662A (zh) 2020-07-20 2022-02-01 荷蘭商Asm Ip私人控股有限公司 用於沉積鉬層之方法及系統
KR20220027026A (ko) 2020-08-26 2022-03-07 에이에스엠 아이피 홀딩 비.브이. 금속 실리콘 산화물 및 금속 실리콘 산질화물 층을 형성하기 위한 방법 및 시스템
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
TW202229613A (zh) 2020-10-14 2022-08-01 荷蘭商Asm Ip私人控股有限公司 於階梯式結構上沉積材料的方法
KR20220053482A (ko) 2020-10-22 2022-04-29 에이에스엠 아이피 홀딩 비.브이. 바나듐 금속을 증착하는 방법, 구조체, 소자 및 증착 어셈블리
TW202223136A (zh) 2020-10-28 2022-06-16 荷蘭商Asm Ip私人控股有限公司 用於在基板上形成層之方法、及半導體處理系統
TW202235675A (zh) 2020-11-30 2022-09-16 荷蘭商Asm Ip私人控股有限公司 注入器、及基板處理設備
US11946137B2 (en) 2020-12-16 2024-04-02 Asm Ip Holding B.V. Runout and wobble measurement fixtures
TW202231903A (zh) 2020-12-22 2022-08-16 荷蘭商Asm Ip私人控股有限公司 過渡金屬沉積方法、過渡金屬層、用於沉積過渡金屬於基板上的沉積總成
USD1023959S1 (en) 2021-05-11 2024-04-23 Asm Ip Holding B.V. Electrode for substrate processing apparatus
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate

Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5037514A (en) * 1986-01-06 1991-08-06 Semiconductor Energy Laboratory Co., Ltd. Silicon oxide depositing method
KR19990062428A (ko) * 1997-12-31 1999-07-26 윤종용 원자층 증착 공정을 이용하는 도전층 형성방법
KR20000013329A (ko) * 1998-08-07 2000-03-06 윤종용 원자층 증착법을 이용한 박막 제조방법
KR20020065245A (ko) * 2001-02-06 2002-08-13 주식회사 하이닉스반도체 피이에이엘디법을 이용한 박막 증착방법

Family Cites Families (9)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US3924024A (en) * 1973-04-02 1975-12-02 Ncr Co Process for fabricating MNOS non-volatile memories
ES2006119A6 (es) * 1988-03-24 1989-04-01 Union Explosivos Rio Tinto Procedimiento de obtencion de nitruro de silicio.
US5300322A (en) * 1992-03-10 1994-04-05 Martin Marietta Energy Systems, Inc. Molybdenum enhanced low-temperature deposition of crystalline silicon nitride
US5981404A (en) * 1996-11-22 1999-11-09 United Microelectronics Corp. Multilayer ONO structure
US6090442A (en) * 1997-04-14 2000-07-18 University Technology Corporation Method of growing films on substrates at room temperatures using catalyzed binary reaction sequence chemistry
JPH1174485A (ja) * 1997-06-30 1999-03-16 Toshiba Corp 半導体装置およびその製造方法
JP4021593B2 (ja) * 1998-09-25 2007-12-12 株式会社東芝 半導体装置およびその製造方法
JP2001168092A (ja) * 1999-01-08 2001-06-22 Toshiba Corp 半導体装置およびその製造方法
KR20010017820A (ko) * 1999-08-14 2001-03-05 윤종용 반도체 소자 및 그 제조방법

Patent Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5037514A (en) * 1986-01-06 1991-08-06 Semiconductor Energy Laboratory Co., Ltd. Silicon oxide depositing method
KR19990062428A (ko) * 1997-12-31 1999-07-26 윤종용 원자층 증착 공정을 이용하는 도전층 형성방법
KR20000013329A (ko) * 1998-08-07 2000-03-06 윤종용 원자층 증착법을 이용한 박막 제조방법
KR20020065245A (ko) * 2001-02-06 2002-08-13 주식회사 하이닉스반도체 피이에이엘디법을 이용한 박막 증착방법

Cited By (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
WO2017034855A1 (en) * 2015-08-21 2017-03-02 Applied Materials, Inc. High temperature thermal ald silicon nitride films
KR20170124108A (ko) * 2016-04-29 2017-11-09 세종대학교산학협력단 실리콘 질화막의 증착 방법 및 상기 실리콘 질화막의 증착 장치
KR101968966B1 (ko) 2016-04-29 2019-08-13 세종대학교산학협력단 실리콘 질화막의 증착 방법 및 상기 실리콘 질화막의 증착 장치

Also Published As

Publication number Publication date
DE10123858A1 (de) 2002-11-28
US6528430B2 (en) 2003-03-04
JP3602072B2 (ja) 2004-12-15
KR20020085487A (ko) 2002-11-16
JP2002343793A (ja) 2002-11-29
TW483054B (en) 2002-04-11
DE10123858B4 (de) 2006-03-23
US20020164890A1 (en) 2002-11-07

Similar Documents

Publication Publication Date Title
KR100443085B1 (ko) 헥사 클로로 디실란 및 암모니아를 사용한 원자층의적층을 이용하여 실리콘을 함유하는 박막을 형성하는 방법
KR100434186B1 (ko) 트리스디메틸아미노실란을 이용한 원자층 적층으로실리콘을 함유하는 박막을 형성하는 방법
JP7092709B2 (ja) ケイ素含有膜の高温原子層堆積
US7125815B2 (en) Methods of forming a phosphorous doped silicon dioxide comprising layer
JP4685104B2 (ja) 低温シリコン化合物堆積
US7077904B2 (en) Method for atomic layer deposition (ALD) of silicon oxide film
US7642204B2 (en) Methods of forming fluorine doped insulating materials
EP1149934B1 (en) CVD synthesis of silicon nitride materials
KR20090016403A (ko) 실리콘 산화막 증착 방법
US20050123690A1 (en) Atomic layer deposition method of depositing an oxide on a substrate
US20070066010A1 (en) Method of manufacturing semiconductor device
JP6526562B2 (ja) シリコン含有薄膜の製造方法
JPH06132276A (ja) 半導体膜形成方法
KR101171020B1 (ko) 이산화실리콘 증착을 위한 박막 증착 방법
TW202204368A (zh) 矽前驅物化合物及形成含矽膜之方法
KR20030064083A (ko) 원자층 적층을 이용하여 실리콘 나이트라이드 박막을형성하는 방법
JPH06314654A (ja) 半導体装置の製造方法
KR101046757B1 (ko) 반도체소자의 캐패시터 및 그 제조 방법
JPH02153072A (ja) シリコン酸化膜の堆積方法

Legal Events

Date Code Title Description
A201 Request for examination
E902 Notification of reason for refusal
E902 Notification of reason for refusal
E701 Decision to grant or registration of patent right
GRNT Written decision to grant
FPAY Annual fee payment

Payment date: 20130701

Year of fee payment: 10

FPAY Annual fee payment

Payment date: 20140630

Year of fee payment: 11

FPAY Annual fee payment

Payment date: 20150630

Year of fee payment: 12

FPAY Annual fee payment

Payment date: 20160630

Year of fee payment: 13

FPAY Annual fee payment

Payment date: 20170630

Year of fee payment: 14

FPAY Annual fee payment

Payment date: 20180629

Year of fee payment: 15