TW483054B - A method of forming silicon containing thin films by atomic layer deposition utilizing Si2Cl6 and NH3 - Google Patents

A method of forming silicon containing thin films by atomic layer deposition utilizing Si2Cl6 and NH3 Download PDF

Info

Publication number
TW483054B
TW483054B TW090111293A TW90111293A TW483054B TW 483054 B TW483054 B TW 483054B TW 090111293 A TW090111293 A TW 090111293A TW 90111293 A TW90111293 A TW 90111293A TW 483054 B TW483054 B TW 483054B
Authority
TW
Taiwan
Prior art keywords
patent application
scope
reactant
chamber
item
Prior art date
Application number
TW090111293A
Other languages
English (en)
Inventor
Yeong-Kwan Kim
Young-Wook Park
Joo-Won Lee
Dong-Chan Kim
Original Assignee
Samsung Electronics Co Ltd
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Samsung Electronics Co Ltd filed Critical Samsung Electronics Co Ltd
Application granted granted Critical
Publication of TW483054B publication Critical patent/TW483054B/zh

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/0228Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition deposition by cyclic CVD, e.g. ALD, ALE, pulsed CVD
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/20Deposition of semiconductor materials on a substrate, e.g. epitaxial growth solid phase epitaxy
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/34Nitrides
    • C23C16/345Silicon nitride
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45527Atomic layer deposition [ALD] characterized by the ALD cycle, e.g. different flows or temperatures during half-reactions, unusual pulsing sequence, use of precursor mixtures or auxiliary reactants or activations
    • C23C16/45536Use of plasma, radiation or electromagnetic fields
    • C23C16/45542Plasma being used non-continuously during the ALD reactions
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45553Atomic layer deposition [ALD] characterized by the use of precursors specially adapted for ALD
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/0217Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material being a silicon nitride not containing oxygen, e.g. SixNy or SixByNz
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/314Inorganic layers
    • H01L21/318Inorganic layers composed of nitrides
    • H01L21/3185Inorganic layers composed of nitrides of siliconnitrides
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/02164Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material being a silicon oxide, e.g. SiO2
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02205Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition
    • H01L21/02208Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si
    • H01L21/02211Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si the compound being a silane, e.g. disilane, methylsilane or chlorosilane
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/02274Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition in the presence of a plasma [PECVD]
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10STECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10S438/00Semiconductor device manufacturing: process
    • Y10S438/971Stoichiometric control of host substrate composition

Landscapes

  • Chemical & Material Sciences (AREA)
  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Computer Hardware Design (AREA)
  • Mechanical Engineering (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Organic Chemistry (AREA)
  • Metallurgy (AREA)
  • General Physics & Mathematics (AREA)
  • General Chemical & Material Sciences (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Materials Engineering (AREA)
  • Manufacturing & Machinery (AREA)
  • Plasma & Fusion (AREA)
  • Electromagnetism (AREA)
  • Inorganic Chemistry (AREA)
  • Chemical Vapour Deposition (AREA)
  • Formation Of Insulating Films (AREA)

Description

五、發明說明(1) 1、發明背景 本發明有關以原子層沉穑 明確的說,本發明包含使用=用(ALD)形成薄膜。更 WD)與NH3,或是HCD盘NH原將子層沉積法,並使用叫仏 膜。 / %電漿作為反應物,形成Si3N4薄 在半導體裝置製造方法Φ ▲ ^ owc*n w^aac*m 中’變得S i3 N4薄膜愈形重要。在 S1 / S1 02界面的S 13 N4獏合降細田 ^ . ς. Μ ^ '曰~低界面陷阱,並改善熱載體抗 擾性。S13 Ν4膜改善習用s · η „ t 。.rw π # a + λλ。.、 〇2閘氧化物的可靠性與性能。
Si02 /閘界面處的Si3N4膜传从& < μ I孤认w从於π 作為優良擴散障礙,值得注意 W古人帝^u、卜丨 导域在超薄膜裝置中,S i3N4可以提 供面>M笔$數材料,复2-»- ^ 批一认c. n mc· μ u /、 抗電子隧道效應。亦證實介電常 數咼於Si02的Si3N4非當搞1 ^ ^ ^ ^ ^ -r 15 ^ ;作為DR AM與其他裝置上覆蓋高 度縱k比部件之順應锅缕恩 一 π々古本 Γ表層。此等應用需要一種形成Sl3N4 溥腰之方法,該s 1彳兄a肢親一, #胃#、# ;#、/# 顯不出有關生長率、熱預算、圖 性等良好特徵。 1勺度以及對於高縱橫比部件的一致 可以使用化學洛氣沉穑r「ν η、 、+膝Μ 積UVD)、低壓化學蒸氣沉積 (LPCVD)、電漿強化化學蒗s ^ … ., ρπΑ 予条虱九積(PECVD)等沉積方法製備 S“N4薄膜。以CVD為基璣古、也z ^ ^ 楚方去緩常具有其於製造半導體裝 ..^ . 缺^ 其中此等半導體裝置係因包括 Si3N4薄膜而付到盈處。右一絲, iC.M .. _ 在種代表性CVD方法中,以相當 高溫沉積S 1 N溥胰,因為斟 化巧卞、 對於该裝置有不良熱效應可能性 之故,在較低〉皿下進杆·; g + > r
^ y _ b 丁 ^吊較不佳。亦對以CVD沉積之Si N 層進行幾何卩早破’造成兮驻罢+ 战3衣置表面的厚度變化。沿著該表 483054 五、發明說明(2) 面上緻密集中之部件所形成薄膜厚度可能比沿著較不緻窟 集中之部件所形成薄膜厚度還薄。此問題習知為圖型負載 效應。 LPCVD也會遇到許多缺點。該Lpcvl)所製造之薄膜的氫含 量通常很高,而且該表面的步驟覆蓋不良。因為使用 LPCVD時’該膜生長率相對較低,生長適當厚度所需之進 行時間相對較長。進行時間長會使該基板長時間曝於相對 較高溫’並造成與LPCVD法伴隨發生之高熱預算。 已提出原子層沉積法(ALD)代替以CVD為基礎沉積法,用 以形成S i N薄膜。A LD係一種表面控制方法,其以一種表面 動力方式進行,而且其在該表面上形成二維逐層沉積。 Goto等人描述一種ALD沉積法,其使用二氯矽烷(DCS)與 NH3 電漿,形成Si3N4 膜。(Appl. Surf. Sci., 112, 75-81 (1997); Appl· Phys· Lett· 68(23), 3257-9(1996))。 不過,以Goto中所述之方法製得的薄膜性質並不適用。該 C 1含量(0 · 5 % )與〇含量高得無法接受。此等情況結合測得 之Si : N比為4 1 : 37,表示該方法不會形成si3N4的近化學計 量膜。此外’每3 0 0秒循環〇 · 9 1埃之生長率不如工業應用 所需之生長率高。
Klaus等人描述一種藉由反應SiCl4與麗3形成Si3N4膜之 ,ALD方法。詳見美國專利6, 〇9〇, 442與Surf · Sci · 418, L14-L1 9 ( 1 9 9 8 )。以此種方法製得之薄膜特徵優於以G〇t〇 專人所付到之薄膜特徵。S丨:n之比率=1 : 1 · 3 9,而C 1、Η與 0之含量低得可接受。不過,1〇分鐘生長2· 45埃膜之循環
483054 五、發明說明(3) 太長,無法實際用在工業應用上。 - 亦已提出藉由ALD,使用Si2Cl6(HCD)與N2H4沉積Si3N4薄 膜。(Appl. Surf · Sci·,112, 1 98 -2 0 3 ( 1 9 9 7 ))。當此 等膜之化學計量、C 1與Η含量適當時,其顯示高得無法被 接受氧含量,使此等膜不適於上述用途。 因此,雖然長久以來已知可能用於廣泛應用,不過仍然 需要一種符合下列標準之形成S i3 Ν4膜的新穎方法:低熱預 算方法;優良步驟覆蓋率;無圖型負載效應;S i : Ν比率與 S i3 N4 —致;優良厚度控制與均勻度;包含之微粒子數最/ 少;低雜質含量;以及能符合實際工業應用的膜生長率。 發明總論 因此,本發明目的係提出一種形成S i3 N4膜之方法,其中 該Si3N4包括與高純度Si3N4 —致之物理與化學性質。本發明 其他目的提出一種將Si3N4沉積成薄膜之方法,其中該方法 展現優良之步驟覆蓋率,圖型負載效應很小或者沒有,以 及優良厚度控制與均勻度。本發明另外目的係提出一種將 Si3N4沉積為薄膜或是其他固體形·式之方法,其中該方法表 示出相當低熱預算與可接受之高生長率,使該方法可以實 際用於工業應周。 為了完成上述各項,本發明具體呈現一種使用S i 2 C 16與 N H3或是S i 2 C 16與經活化N H3作為反應物之原子層沉積法 (ALD) 〇 在一實例中,本發明包括步驟:a )將一片基板放置於一 個室中,b)將包含Si2Cl6之第一反應物注入該室中,c)將
483054 五、發明說明(4) 第一反應物的第一部份化學吸附在該基板上,並將第一及 應物的第二部份物理吸附在該基板上,d )自該室去除第一 反應物之未經化學吸附部份,e)將包括NH3的第二反應物 注入該室,f )使第二反應物的第一部份與第一反應物的經 化學吸附第一部份化學反應,在該基板上形成含矽固體, 以及g )自該室去除第二反應物之未反應部份。在本發明其 他實例中,步驟b中,該第一反應物包含兩種以上化合 物,各包含Si與C1。在其較佳實例中,這兩種含Si與C1之 化合物係Si2Cl6與3丨(:14。本發明其他實例中,重複步驟 b -g —次以上,以增加該層厚度。 本發明另外實例中,該方法包括步驟a)將一片基板放置 於一個室中,b)將包含S i2Cl6之第一反應物注入該室中, c)於該第一反應物注入該室同時,將S i C 14添加於第一反 應物物流中,d)將第一反應物的第一部份化學吸附在該基 板上,並將第一反應物的第二部份物理吸附在該基板上, e )將該S i C 14的第一部份化學吸附在該基板上,並將該 S i C 14的第二部份物理吸附在該基板上,f)自該室去除 Si2Cl6與31(:14的未經化學吸附部份,g)將包括NH3的第二反 應物注入該室,h)使第二反應物的第一部份與該S i2 C 16的 化學吸附第一部份以及S i C14的化學吸附第一部份化學反 應,在該基板上形成含矽固體;以及i)自該室去除第二反 應物的第二部份。在其他實例中,重複步驟b- i —次以 上。現在茲將參考下列圖式與詳細說明,更詳實的解釋本 發明此等與其他特性。
483054 五、發明說明(5) 圖式簡述 — 藉由本發明較佳實例詳細說明以及其中所附之圖式,可 以更明白本發明上述目的與優點。 圖1至圖5說明使用本發明原子層沉積作用形成S i3 N4薄膜 之方法的步驟。 圖6係一種本發明薄膜製造方法所使用之薄膜製造裝置 的略圖。 圖7係說明本發明薄膜製造方法的流程圖。 圖8係顯示使用本發明一較佳實例之製造方法,每個循 環所形成之Si3N4膜厚度的圖表。 圖9係顯示使用本發明第二較佳實例之製造方法,每個 循環所形成之S i 3 N4膜厚度的圖表。 較佳實例詳細說明 下列較佳實例說明係先解釋該方法處理步驟,然後說明 本發明較佳實例的特定實例。 現在茲參考圖卜5,將基板1諸如矽(1 0 0 )置於一個室 3 (詳見圖6 ),然後將該室3之壓力抽空到約2托耳之壓力。 將基板1加熱至約5 5 0 °C。然後將5 0 0 seem在Ar承載氣體中 之Si2Cl6氣流2導入該室30秒。在本發明之另一實例中,包 含Si2Cl6之氣流也包含SiCl4。在另一實例中,將包含 Si2Cl6氣流導入室3,同時保持此氣流,包括Si Cl4的氣流 係於導入室3之前與含S i 2 C 1 δ氣流混合,或是分別但與該含 Si2Cl6氣流同時導入。 此期間,將該Si2Cl6分子的第一部份(與該SiCl4分子,
第10頁 五、發明說明(6) 的話)化學吸附在基板1表面上,並形成層p 、以ShCi6分子的第二部份(與該Sic丨丨分子,若存在31(:14 的^物,吸附在其上’ i鬆鬆的固MW與該 二4右存在Si C14的話)之化學吸附層。然後,以n2沖洗 至3約5秒,並真空沖洗5秒。在沖洗步驟期間,自該室去 ,812(:16與3丨(:14的未經化學吸附部份,留下在基板1上之 元整無缺的Si/l6(與該SiCl4,若存在SiCi4的話)化學吸附 層(圖2 )。現在茲參考圖3 ,然後將2 0 0 0 seem的NH3氣流Θ 導入室3約30秒,同時保持室壓為2托耳,以及基板溫度 5 5 0 C。該NH3部份與基板上之化學吸附μα反應,形成
SiA層8(圖4)。在一實例中,導入之叫係夾帶在紅承载 =。在可替代實例中,該nh3夾帶在-種於遠 帝ΐ Γ斗叫态中產生之電漿的Ar氣流中。在一實例中,該 ;不:=約4°〇瓦操作,但是可以改變該功率,而且 尽發明不希望受限於特定雷將— | 流入該室30秒之後,以Ν2沖電先準:該含叫氣流 秒。可以重複將Si/l6 (與Sici )墓、t,然後真空沖洗5 入該室,以及再次沖洗等、半4入至3、沖洗、將NHS導 厚度。現在已形成Si3N4|8 ’達到任何所需之叫\層8 圖6係本發明薄膜製造9方 圖。圖7係說明本發明薄膜使用之薄膜製造裝置的略 1 (例如一片(1 0 0 )矽美k λ4造方法的流程圖。將基板 至約2托耳,並使用加熱哭$八至0之後,使該室的壓力降 含Si/le之第一氣流沉積=加熱至約55〇°C(步驟1〇〇)。將 積至3約30秒,同時使該基板保持 483054 五、發明說明(7) 550 C與2托耳(步驟1Q5)。自來源19將500 seem之Ar承載 氣體沉積第一起泡器1 2 (其包含溫度約4 0 °C之液態 Si2Cl6),蒸發該Si2Cl6,形成第一反應物物流6。然後,如 上述,經由第一氣體管線丨3與喷頭i 5,將混合的S i2 C 16與 Ar氣流注入室3約3 0秒。然後,以純Ar沖洗5秒,然後以泵 7真空沖洗5秒。本發明並不希望受限於這種特定沖洗計 劃,而希望包括其他沖洗順序,以去除S i2 c 16化學吸附表 層上之物理吸附Si2Cl6。 然後’以約2 0 0 〇 s c c m速率,經由氣體管線1 6與喷頭J 5 注入室(3 )於A r載劑中之N H3氣流約3 0秒。此步驟期間,基 板1保持5 5 0 C ’而且該室壓力保持約2托耳(圖7中之步驟 11 5 ) °在一實例中,藉由在第二起泡器丨7中,自氣體來源 1 9使Ar起泡通過保持約2 5 °C液態NH3 1 4,蒸發該第二反應 物氣流中之NH3 °在另一較佳實例中,將受載於Ar氣流中 之電漿形式的N Hs注入室3。該N Hs電漿係在一個遠距電漿產 生器(未顯示出來)中產生’然後經由氣體管線1 6與喷豆貝 1 5,以約2 0 0 0 s c c m速率導入室3約3 〇秒。 如圖3與4所述,將第二反應物物流之nh3部份與化學吸 附在基板1上之Si'l6(與某些實例中之SiCi4)反應,形成 一層S is叱。在基板上形成該層SI3 \時,將第二反應物物流 中之NHS第二部份物理吸附於該Shi層。然後使用一種八/1 氣流沖洗室3約5秒,然後使用泵7真空沖洗(步驟12〇)。不 過,亦可藉由真空泵抽該室而且不必以惰性氣體第一次沖 洗該室,去除.該物理吸附第二反應物。
483054
五、發明說明(8) 自室3沖洗該未反應NH3之後,測量S i3 N4膜厚度(步驟 — 125) °若需要額外層厚度,重複步驟105至125,直到獲得 戶斤需膜厚度為止。當到達所需厚度時,將該室溫度與壓力 恢復正常,即完成該製造方法。(步驟1 3 0 )。 現在茲參考下列實施例說明較佳實例。希望下列實施例 係作為本發明較佳實例範例,而非限制。 實施例1 將一片矽晶圓置於標準設計的沉積室中。以氮沖洗該 室’然後抽空至2托耳。將該基板加熱至55 0 °C,並於該溫 度下安定化。藉由起泡5 0 0 seem的Ar通過40 °C液態 S i2 C 16 ’產生第一反應物物流,然後導入該室3 0秒。然後 以N2沖洗該室5秒,並真空沖洗5秒。然後,藉由起泡2 0 0 〇 seem的Ar通過25 °C液態NH3,產生第二反應物物流。然後 將該第二反應物物流導入該室3 0秒,再次使室壓保持2托 耳,基板溫度為5 5 0 °C。然後以N2沖洗該室5秒,並真空沖 洗5秒。 由前述步驟發現,以每個循環2. 6 8埃之速率形成SIN 膜。已發現該S“N4具有非常高品質。於波長6 3 2· 8毫微米 下之折射指數為2 · 0,表示該沉積層為化學計量S i3 N4。所 測得的抗張應力很高,為5 E 1 0達因/ c m2。以此種方法沉積 之S “ N4顯示出與純S “叱相當之s i : N比率,而且污染水準非 常低。氫含量非常低,而且未偵測到氧。在縱橫比為5 : 1 之結構中,步驟覆蓋率為98%或以上,而且該膜顯示約5% 或以下之圖型負載效應.。在此實施例中,對其他矽晶圓進
483054 五、發明說明(9) 行與上述相同之重複循環。參考圖8,已發現S i 3 N4膜之厍 度與循環次數產生線性關係。因此實施例1證實本發明此 實例以每個循環約2· 68埃之速率形成高純度Si3N4層。Si3N4 層之生成作用係於5 5 0 °C之較低溫,以及少於約9 0秒之較 短循環時間發生。 實施例之 在實施例2中,大部份處理條件與實施例1相同。實施例 2與實施例1不同之處在於第二反應物包括一種於Ar承載氣 體中之NH3。再次將矽晶圓置於標準設計的沉積室中。以 氮沖洗該室,然後抽空至2托耳。將該基板加熱至5 5 0 °C, 並安定化。以實施例1所述之方式產生包含於Ar承載氣體 中之S i 2 C 16的第一反應物物流。將5 〇 〇 s c c m流速之第一反 應物氣體導入該室3 0秒。然後以n2沖洗該室5秒,並真空 沖洗5秒。將一種於Ar承載氣體中之龍3氣流導入一個電漿 室,產生於Ar載劑中之NH3電漿第二反應物物流。於40 0瓦 操作該電漿室。然後將流速於2 0 0 0sccm的NH3電漿/Ar混合 物導入該室3 0秒。然後以N2沖洗該室5秒,並真空沖洗5 秒。
已發現前述方法係以每個循環2 · 5 1埃之速率形成S i3 N4 膜,循環時間少於90秒。已發現該Si3N4具有非常高品質。 於波長6 3 2 · 8毫微米下之折射指數為1 · 9 5,表示該沉積層 為化學計量S “ 。於下所測得的抗張應力很高,為7 E1 〇達 因/cm2。該氫含量少於3%,而且未偵測到氧。如實施例1 之情況’在縱橫比為5 : 1之結構中,步驟覆蓋率為9 8%或以
483054 五、發明說明(10) 上’而且該圖型負載效應為5%或以下,相當良好。在此實 施例中’對其他矽晶圓進行與上述相同之重複循環。參考 圖9 ’已發現S i3 膜之厚度與循環次數產生線性關係。 實施例3 貫施例3中’除了該基板溫度降低至4 〇 〇 °c之外,該方法 與貫施例2相同。已發現實施例3之方法以每個循環1. 2 3埃 之速率形成Si3N4膜,循環時間少於90秒。已發現該Si3N4亦 具有非常高品質。於波長6 3 2 · 8毫微米下之折射指數為 1· 95 ’表示該沉積層為化學計量。於下所測得的抗張 應力很南’為7E10達因/cm2。該氫含量少於3%,而且未偵 測到氧。不過,實施例3證實S i3 \膜之生長速率具有高度 溫度依存度,在4〇〇 °C溫度下時,該膜生長速率約為55(}艺 下之生長速率的一半。4 〇 〇 °C之較低溫度亦會導致該s i3 N4 膜中的氫含量較高。 實施例4
—將一片石夕晶圓置於標準設計的沉積室中。以氮沖洗該 主然後抽空至2托耳。將該基板加熱至5 5 0 °C,並於該溫 度下安定化。藉由起泡起5〇〇 sccm的紅通過4〇。〇液態 Si/i。6,產生第一反應物物流。藉由起泡5〇Q sccm的Ar通 過20 t液態SiCl4,產生含Si與Cl之另外物流。然後將此 等物流同時導入該室30秒,然後中止這兩種物流。然後以 乂沖洗遠室5秒,並真空沖洗5秒。然後,藉由起泡2 〇 〇 〇 sc⑽的Ar通過25。(:液態NH3,產生第二反應物物流。然後 將5亥苐一反應物物流導入該室3 0秒,再次使室壓保持2托
第15頁 483054 五、發明說明(11) 耳’基板溫度為5 5 0 °C。然後以I沖洗該室5秒,並真空沖 洗5秒。
由前述步驟發現,以每個循環2 · 7 2埃之速率形成S i3 N4 膜。已發現該S i3叱具有非常高品質。於波長6 3 2 · 8毫微米 下之折射指數為2 · 0 1,表示該沉積層為化學計量s i 3 n4。以 此種方法沉積之SisN4顯示出與純SisN4相當之si : N比率,而 且污染水準非常低。氫含量< 1 %,而且未偵測到氧。在縱 檢比為5 : 1之結構中,步驟覆蓋率為9 8 %或以上,而且該膜 顯示5%或以下之圖型負載效應。因此,實施例4證實本發、 明此實例以每個循環約2 · 72埃之速率形成高純度s i3 N4層。 該s丨3 Μ*層係於5 5 0 °C之較低溫,以及少於約9 〇秒之較短循 % k間中形成。此實施例中,對其他矽晶圓進行與上述相 同之重複循環。已發現S i3N4膜之厚度與循環次數產生線性 關係。 實施例5
一將一片矽晶圓置於標準設計的沉積室中。以氮沖洗該 至然後抽空至2托耳。將該基板加熱至5 5 0 °C,並於該溫 度下女定化。藉由起泡5 0 0 s c c m的A r通過4 0。(:液態 ^2^1。6’產生第一反應物物流。藉由起泡50〇3〇(:111的人1"通 ^ j C液態SiCl4,產生含Si與01之另外物流。然後將此 Μ寺&、、Γ\同時導入該室3 〇秒,然後中止這兩種物流。然後以 i 66二忒至5移,並真空沖洗5秒。—在一 AΓ載體中之Ν Η3電 二雷將Γ反應物物流藉由導入—Ar載體氣體中之ΗΝ3氣流至 ”孟至中。使用以40 0瓦操作之電漿產生器產生該Nh電
第16頁 483054 ___ 五、發明說明(12) ------ 漿然後將机速於20 0 0 seem的NH3電疲/Ar混合物導入 室3〇秒。錢以N2沖洗該室5秒,之後真空沖洗5^入该、 .已^見藉由剐述步驟,以每個循環2 · 5 4埃之速率开彡士 Si3N4膜。已發現該具有非常高口口口冑。於波長 微米下之折射指數為1.96,表示該沉積層為化學計量8笔 ShN4。以此種方法沉積之以3叱顯示出與純Si^相去二 N比率。該氫含量<2· 5%,而且未價測到氧。在縱^ 1 :· 1之結構中’、步驟覆蓋率為98%或以上,而且該膜顯示出囝 型負載效應為5 %或以下。在此實施例中,對其他矽曰θ 行與上述相同之重複循環。已發現31汍膜之厚度與==^ 數產生線性關係。因此,實施例6證實本發明實例以^人 循環約2· 54。埃之速率形成高純度層。以3化層之生成作 用係於5 5 0 °C之較低溫,以及少於約9 〇秒之較短循環 發生。 、 實施例β
將一片石夕晶圓置於標準設計的沉積室中。以氮沖洗該 室,然後抽空至2托耳。將該基板加熱至5 5 〇艺,並於該溫 度下安定化。藉由起泡5 0 〇 s c c m的A r通過4 0 °C液態 S is C I ’產生第一反應物物流。藉由起泡5 〇 ◦ s c c ^的a r通 過20 C液悲Si Cl4,產生含Si與Cl之另外物流。然後將該 含Si,le物流導入該室30秒。該含Si2Ci6物流開始流入該室 1 5秒之後,將含S i C I*物流導入該室丨5秒,此段時間當 中’該含S I? C le物流與該含s i C 14物流同時流入該室,然後 停止各種物流。然後以A沖洗該室5秒,並真空沖洗5秒。
第17頁 483054
五、發明說明(13) 然後’藉由起泡2 〇 〇 〇 s c c m的A r通過2 5 °C液態N H3,產生第 二反應物物流。然後將該第二反應物物流導入該室3 〇秒, 再次使室壓保持2托耳,基板溫度為5 5 0 t。然後% 該室5秒,並真空沖洗5秒。 2
由前述步驟發現,以每個循環2 . 7 〇埃之速率形成s、N 膜。已發現該Si^具有非常高品質。於波長6 32· 8毫米 下之折射指數為2· 〇〇,表示該沉積層為化學計量/以 此種方法沉積之SiA顯示出與純SiA相當之Si:N比3率\而 且=染水準非常低。氫含量非常低,其<1%,而且未偵測 到氧。在縱橫比為5:1之結構中,步驟覆蓋率為98%或以 上,而且該膜顯示5%或以下之圖型負載效應。此實施例 中’對其他石夕晶圓進行與上述相同之重複循環。已發現 Si^4膜之厚度與循環次數產生線性關係。因此,實ς例6 證實本發明此實例以每個循環約2· 7〇埃之速率形成高純度 SiA層。該Si,4層係於5 5 0。(:之較低溫,以及少於約9〇秒 之較短循環時間中形成。 實施例7 71夸片石夕曰曰圓置於標準設計的沉積室中。以氮沖洗該 至然後抽二至2托耳。將該基板加熱至5 5 〇 °C,並於該溫 度下女定化。藉由起泡5 0 0 s c c m的A r通過4 0 °C液態 SisC I〗’產生第—反應物物流。藉由起泡5〇〇 sccin的紅通 =20 C液態SiCl4,產生含si與C1之另外物流。然後將該 含ShCle物流導入該室3〇秒。該含μ/%物流開始流入該室 1 5秒之後’將含c 14物流導入該室1 5秒,此段時間當
483054 五、發明說明(14) 中,該含S i2 C 1 β物流與該含S i C 14物流同時流入該室,然後 停止各種物流。然後以N2沖洗該室5秒,並真空沖洗5秒。 將在Ar承載氣體之NH3電聚導入一個電漿室,產生於Ar載 劑中之N Hs電漿之第二反應物物流。該N H3電漿係使用以4 〇 〇 瓦操作之電漿產生器產生。然後將流速於2 〇 〇 〇 s c c m的n h3 電漿/Ar混合物流導入該室3〇秒。然後以%沖洗該室5秒, 之後真空沖洗5秒。 ◦嘴、現 Si3N4 膜。 該沉積層 與純Si3N4 量<2· 5% : 驟覆蓋率 5%或以下 之重複循 係。因此 之速率形 之較低溫 前述實 希望限制 不達背下 有各種修 猎甶刖述步驟,以每個循環2 · 5 3埃之速率形成 於波長6 3 2 · 8毫微米下之折射指數為丨· 9 6,表示 為化學計量Si^。以此種方法沉積之顯示出 相當之Si 比率,而該污染水準非常低。該氫含 ,而且未偵測到氧。在縱橫比為5 :丨之結構中,步 為98%或以上’而且該膜顯示出圖型負載效應為〃 。在此實施例巾,對其他矽晶圓進行與上述相同 壤二已發現S i3 N4膜之厚度與循環次數產生線 丄貫施例7證實本發明實例以每個循環約2.53埃” 成南純度sw層。生成作用係於。c ,以及少於約90秒之較短循環時間笋生。 施例與較佳實例欽述係用以說明4 下列申請專利範圍。熟悉本技藝者將:明:且: 列申請專利範圍之下,前述方 ^ ^ 正。 或夕者可能
483054
第20頁

Claims (1)

  1. 483054 六、申請專利範圍 1 · 一種形成含矽之固態薄膜層的原子層沉積法,包括一步 驟: (a) 將一片基板放置於一個室中; (b) 將包含Si2Cl6之第一反應物注入該室中; (c) 將第一反應物的第一部份化學吸附在該基板上, 並將第一反應物的第二部份物理吸附在該基板上; (d) 自該室去除第一反應物之未經化學吸附部份; (e) 將包括NH3的第二反應物注入該室; (f )使第二反應物的第一部份與第一反應物的經化學 吸附第一部份化學反應,在該基板上形成含矽固體;以及 (g )自該室去除第二反應物之未反應部份。 2. 根據申請專利範圍第1項之方法,其中在該基板上形 成的含矽固態包括Si3N4。 3. 根據申請專利範圍第1項之方法,其中該含矽固體包 括Si3N4薄膜。 4. 根據申請專利範圍第1項之方法,其中該含矽固體的 N/Si組份比率介於約卜1. 6,包括1與1. 6。 5. 根據申請專利範圍第1項之方法,其另外包括將該基 板加熱至3 50與7 0 0 °C的步驟。 6. 根據申請專利範圍第1項之方法,其另外包括將該室 加壓至絕對壓力為0 . (H - 1 0 0托耳的步驟。 7. 根據申請專利範圍第1項之方法,其中室壓維持在 0 · (H - 1 0 0托耳之固定絕對壓力。 8. 根據申請專利範圍第1項之方法,其另外包括進行該
    483054
    第22頁 483054 六、申請專利範圍 成之含矽固體包括一半導體裝置之電容器的介電層。 1 8.根據申請專利範圍第1項之方法,其中在該基板上形 成之含矽固體包括一半導體裝置之STI墊片。 19.根據申請專利範圍第1項之方法,其中該含NH3之第 二反應物包括經活化N H3。 2 0.根據申請專利範圍第1項之方法,其中該遠距電漿法 之電漿功率介於約100-3000瓦,包括100瓦與3000瓦。 2 1 ·根據申請專利範圍第1項之原子層沉積法,其另外包 括重複步驟(b) - (g )至少一者之步驟。 2 2. —種形成含矽固態薄膜層之原子層沉積法,包括步 驟: (a) 將一片基板放置於一個室中; (b) 將包含兩種以上化合物之第一反應物注入該室 中,每種化合物均包含Si與C1 ; (c) 將第一反應物的第一部份化學吸附在該基板上, 並將第一反應物的第二部份物理吸附在該基板上; (d) 自該室去除第一反應物之未經化學吸附部份; (e )將包括N H3的第二反應物注入該室; (f )使第二反應物的第一部份與第一反應物的經化學 吸附第一部份化學反應,在該基板上形成含矽固體;以及 (g)自該室去除第二反應物之未反應部份。 2 3.根據申請專利範圍第2 2項之方法,其中在該基板上 形成的含矽固態包括Si3N4。 2 4.根據申請專利範圍第22項之方法,其中該含矽固體
    第23頁 483054 六、申請專利範圍 包括Si3N4薄膜。 25·根據申請專利範圍第22項之方法,其中該含矽固體 的N/Si組份比率介於約丨―丨· 6,包括}與丨· 6。 26·根據申請專利範圍第⑴貝之方法,纟另外包括將該 基板加熱至3 5 0與7 0 0它的步驟。 27.根據申請專利範圍第22項之方法,其另外包括將該 室加壓至絕對壓力為〇. 01-1 00托耳的步驟。 2:=申請專利範圍第22項之方法,其中室壓維持在 0.01 100托耳之固定絕對壓力。 其另外包括進行 其中在該基板上 2 9 ·根據申請專利範圍第2 2項之方法 该步驟至少一者期間改變室壓的步驟。 ,30.根2康申請專利範圍第22項之方法丹m盎低丄 形成之3矽固體對於矽材料具有乾式蝕刻選擇性(活性掩 模氮化物)。 31‘根^申請專利範圍第22項之方法,其中在該基板上 y '之έ夕固對於閘材料具有乾式餘刻選擇性,該閘材 料選自包括WS ix與經摻雜多晶矽(閘掩模氮化物)。 32.根^中請專利範圍第22項之方法,其中在該基板上 形成之3矽固體對於導電材料具有乾式蝕刻選擇性,兮 電材料選自包括(數元線掩模氮化物)。^、 η據申請專利範圍第22項之方法,其中該含 包括一種化學機械性拋光中止層。 4 34·根據申請專利範圍第22項之方法,其中 形成之切固體包括_層對於s i。2具有乾式#刻°選m
    第24頁 483054 六、申請專利範圍 絕緣層(間隔物)。 3 5 ·根據申請專利範圍第2 2項之方法,其中在該基板上 形成之含矽固體包括一層絕緣層,其對於半導體裝置之 S i 02具有含HF溶液濕式蝕刻選擇性(濕式蝕刻中止劑)。 3 6.根據申請專利範圍第2 2項之方法,其中在該基板上 形成之含矽固體包括一半導體裝置之閘介電體。 3 7.根據申請專利範圍第2 2項之方法,其中在該基板上 形成之含矽固體包括一層在一半導體裝置之Ta2 05層與一個 電容器儲存結點之間的層。 3 8.根據申請專利範圍第2 2項之方法,其中在該基板上 形成之含矽固體包括一半導體裝置之電容器的介電層。 3 9.根據申請專利範圍第2 2項之方法,其中在該基板上 形成之含矽固體包括一半導體裝置之STI墊片。 4 0 ·根據申請專利範圍第2 2項之方法,其中該含N H3之第 二反應物包括經活化NH3。 4 1.根據申請專利範圍第2 2項之方法,其中該遠距電漿 法之電漿功率介於約1 0 0 - 3 0 0 0瓦,包括1 0 0瓦與3 0 0 0瓦。 42.根據申請專利範圍第2 1項之原子層沉積法,其另外 包括重複步驟(b)-(f)至少一者。 4 3 · —種形成含矽固態薄膜層之原子層沉積法,包括步 驟: (a) 將一片基板放置於一個室中; (b) 將包含Si2Cl6之第一反應物注入該室中; (c )於該第一反應物注入該室同時,將S i C 14添加於第
    第25頁 483054 六、申請專利範圍 一反應物物流中; (d)將該Si2Cl6與SiCl4的第一部份化學吸附在該基板 上; (e )自該室去除第二反應物的未經化學吸附部份; (f )將包括N H3的第二反應物注入該室; (g) 使第二反應物的第一部份與該Si2Cl6以& SiCi4的 個別化學吸附部份化學反應,在該基板上形成含矽固體; 以及 (h) 自該室去除第二反應物的第二部份。 4 4.根據申請專利範圍第43項之方法,其另外包括重複 步驟(b ) - (h )至少一者之步驟。 4 5 .根據申請專利範圍第4 3項之方法,其中該含N H3之第 二反應物包含經活化NH3。
    第26頁
TW090111293A 2001-05-01 2001-05-11 A method of forming silicon containing thin films by atomic layer deposition utilizing Si2Cl6 and NH3 TW483054B (en)

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
US09/847,161 US6528430B2 (en) 2001-05-01 2001-05-01 Method of forming silicon containing thin films by atomic layer deposition utilizing Si2C16 and NH3

Publications (1)

Publication Number Publication Date
TW483054B true TW483054B (en) 2002-04-11

Family

ID=25299926

Family Applications (1)

Application Number Title Priority Date Filing Date
TW090111293A TW483054B (en) 2001-05-01 2001-05-11 A method of forming silicon containing thin films by atomic layer deposition utilizing Si2Cl6 and NH3

Country Status (5)

Country Link
US (1) US6528430B2 (zh)
JP (1) JP3602072B2 (zh)
KR (1) KR100443085B1 (zh)
DE (1) DE10123858B4 (zh)
TW (1) TW483054B (zh)

Cited By (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US7468311B2 (en) 2003-09-30 2008-12-23 Tokyo Electron Limited Deposition of silicon-containing films from hexachlorodisilane
CN102047386B (zh) * 2008-06-03 2013-06-19 气体产品与化学公司 含硅薄膜的低温沉积

Families Citing this family (409)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR100458982B1 (ko) * 2000-08-09 2004-12-03 주성엔지니어링(주) 회전형 가스분사기를 가지는 반도체소자 제조장치 및 이를이용한 박막증착방법
KR100418581B1 (ko) * 2001-06-12 2004-02-11 주식회사 하이닉스반도체 메모리 소자의 제조방법
US7374617B2 (en) * 2002-04-25 2008-05-20 Micron Technology, Inc. Atomic layer deposition methods and chemical vapor deposition methods
KR100468729B1 (ko) * 2002-04-25 2005-01-29 삼성전자주식회사 Hcd 소스를 이용하여 실리콘 산화막을 원자층 증착하는방법
KR100505668B1 (ko) * 2002-07-08 2005-08-03 삼성전자주식회사 원자층 증착 방법에 의한 실리콘 산화막 형성 방법
AU2003289764A1 (en) * 2002-12-09 2004-06-30 Asm America Inc. Method for forming a dielectric stack
US7084076B2 (en) * 2003-02-27 2006-08-01 Samsung Electronics, Co., Ltd. Method for forming silicon dioxide film using siloxane
JP4047766B2 (ja) 2003-05-21 2008-02-13 エルピーダメモリ株式会社 半導体装置の製造方法
US7378129B2 (en) * 2003-08-18 2008-05-27 Micron Technology, Inc. Atomic layer deposition methods of forming conductive metal nitride comprising layers
US20050109276A1 (en) * 2003-11-25 2005-05-26 Applied Materials, Inc. Thermal chemical vapor deposition of silicon nitride using BTBAS bis(tertiary-butylamino silane) in a single wafer chamber
KR100560654B1 (ko) * 2004-01-08 2006-03-16 삼성전자주식회사 질화실리콘막을 형성을 위한 질소화합물 및 이를 이용한질화실리콘 막의 형성방법
JP4669679B2 (ja) * 2004-07-29 2011-04-13 東京エレクトロン株式会社 窒化珪素膜の製造方法及び半導体装置の製造方法
US7628863B2 (en) * 2004-08-03 2009-12-08 Applied Materials, Inc. Heated gas box for PECVD applications
US7323400B2 (en) * 2004-08-30 2008-01-29 Micron Technology, Inc. Plasma processing, deposition and ALD methods
US20060084283A1 (en) * 2004-10-20 2006-04-20 Paranjpe Ajit P Low temperature sin deposition methods
US20060211248A1 (en) * 2005-02-25 2006-09-21 Brabant Paul D Purifier for chemical reactor
US8138104B2 (en) * 2005-05-26 2012-03-20 Applied Materials, Inc. Method to increase silicon nitride tensile stress using nitrogen plasma in-situ treatment and ex-situ UV cure
US8129290B2 (en) * 2005-05-26 2012-03-06 Applied Materials, Inc. Method to increase tensile stress of silicon nitride films using a post PECVD deposition UV cure
US7566655B2 (en) * 2005-05-26 2009-07-28 Applied Materials, Inc. Integration process for fabricating stressed transistor structure
US7732342B2 (en) * 2005-05-26 2010-06-08 Applied Materials, Inc. Method to increase the compressive stress of PECVD silicon nitride films
KR100648859B1 (ko) * 2005-06-07 2006-11-24 주식회사 하이닉스반도체 반도체 소자 제조 방법
US20070082507A1 (en) * 2005-10-06 2007-04-12 Applied Materials, Inc. Method and apparatus for the low temperature deposition of doped silicon nitride films
US7501355B2 (en) 2006-06-29 2009-03-10 Applied Materials, Inc. Decreasing the etch rate of silicon nitride by carbon addition
EP2049705A4 (en) * 2006-07-20 2014-10-29 Linde Inc IMPROVED METHODS FOR DEPOSITION OF ATOMIC LAYERS
US7692222B2 (en) * 2006-11-07 2010-04-06 Raytheon Company Atomic layer deposition in the formation of gate structures for III-V semiconductor
US20080145536A1 (en) * 2006-12-13 2008-06-19 Applied Materials, Inc. METHOD AND APPARATUS FOR LOW TEMPERATURE AND LOW K SiBN DEPOSITION
US8298628B2 (en) * 2008-06-02 2012-10-30 Air Products And Chemicals, Inc. Low temperature deposition of silicon-containing films
JP5665289B2 (ja) 2008-10-29 2015-02-04 株式会社日立国際電気 半導体装置の製造方法、基板処理方法および基板処理装置
US10378106B2 (en) 2008-11-14 2019-08-13 Asm Ip Holding B.V. Method of forming insulation film by modified PEALD
JP5299794B2 (ja) 2008-12-25 2013-09-25 日本電気株式会社 通信品質監視装置、通信システム、通信品質監視方法及びそのプログラム
US9394608B2 (en) 2009-04-06 2016-07-19 Asm America, Inc. Semiconductor processing reactor and components thereof
US8802201B2 (en) 2009-08-14 2014-08-12 Asm America, Inc. Systems and methods for thin-film deposition of metal oxides using excited nitrogen-oxygen species
JP2011082493A (ja) 2009-09-14 2011-04-21 Hitachi Kokusai Electric Inc 半導体装置の製造方法及び基板処理装置
JP5467007B2 (ja) 2009-09-30 2014-04-09 株式会社日立国際電気 半導体装置の製造方法および基板処理装置
US9257274B2 (en) 2010-04-15 2016-02-09 Lam Research Corporation Gapfill of variable aspect ratio features with a composite PEALD and PECVD method
US20110256734A1 (en) 2010-04-15 2011-10-20 Hausmann Dennis M Silicon nitride films and methods
US8637411B2 (en) 2010-04-15 2014-01-28 Novellus Systems, Inc. Plasma activated conformal dielectric film deposition
US9997357B2 (en) 2010-04-15 2018-06-12 Lam Research Corporation Capped ALD films for doping fin-shaped channel regions of 3-D IC transistors
US9076646B2 (en) 2010-04-15 2015-07-07 Lam Research Corporation Plasma enhanced atomic layer deposition with pulsed plasma exposure
US9611544B2 (en) 2010-04-15 2017-04-04 Novellus Systems, Inc. Plasma activated conformal dielectric film deposition
US9390909B2 (en) 2013-11-07 2016-07-12 Novellus Systems, Inc. Soft landing nanolaminates for advanced patterning
US8956983B2 (en) 2010-04-15 2015-02-17 Novellus Systems, Inc. Conformal doping via plasma activated atomic layer deposition and conformal film deposition
US9373500B2 (en) 2014-02-21 2016-06-21 Lam Research Corporation Plasma assisted atomic layer deposition titanium oxide for conformal encapsulation and gapfill applications
US9892917B2 (en) 2010-04-15 2018-02-13 Lam Research Corporation Plasma assisted atomic layer deposition of multi-layer films for patterning applications
JP5687547B2 (ja) 2010-06-28 2015-03-18 株式会社日立国際電気 半導体装置の製造方法、基板処理方法および基板処理装置
US8524612B2 (en) 2010-09-23 2013-09-03 Novellus Systems, Inc. Plasma-activated deposition of conformal films
US9685320B2 (en) 2010-09-23 2017-06-20 Lam Research Corporation Methods for depositing silicon oxide
US8563443B2 (en) 2011-02-18 2013-10-22 Asm Japan K.K. Method of depositing dielectric film by ALD using precursor containing silicon, hydrocarbon, and halogen
US8329599B2 (en) 2011-02-18 2012-12-11 Asm Japan K.K. Method of depositing dielectric film by ALD using precursor containing silicon, hydrocarbon, and halogen
US8647993B2 (en) 2011-04-11 2014-02-11 Novellus Systems, Inc. Methods for UV-assisted conformal film deposition
US9312155B2 (en) 2011-06-06 2016-04-12 Asm Japan K.K. High-throughput semiconductor-processing apparatus equipped with multiple dual-chamber modules
US9793148B2 (en) 2011-06-22 2017-10-17 Asm Japan K.K. Method for positioning wafers in multiple wafer transport
US10364496B2 (en) 2011-06-27 2019-07-30 Asm Ip Holding B.V. Dual section module having shared and unshared mass flow controllers
US10854498B2 (en) 2011-07-15 2020-12-01 Asm Ip Holding B.V. Wafer-supporting device and method for producing same
US20130023129A1 (en) 2011-07-20 2013-01-24 Asm America, Inc. Pressure transmitter for a semiconductor processing environment
US9017481B1 (en) 2011-10-28 2015-04-28 Asm America, Inc. Process feed management for semiconductor substrate processing
US8592328B2 (en) 2012-01-20 2013-11-26 Novellus Systems, Inc. Method for depositing a chlorine-free conformal sin film
US8728955B2 (en) 2012-02-14 2014-05-20 Novellus Systems, Inc. Method of plasma activated deposition of a conformal film on a substrate surface
US8912101B2 (en) 2012-03-15 2014-12-16 Asm Ip Holding B.V. Method for forming Si-containing film using two precursors by ALD
US8946830B2 (en) 2012-04-04 2015-02-03 Asm Ip Holdings B.V. Metal oxide protective layer for a semiconductor device
US9558931B2 (en) 2012-07-27 2017-01-31 Asm Ip Holding B.V. System and method for gas-phase sulfur passivation of a semiconductor surface
US9659799B2 (en) 2012-08-28 2017-05-23 Asm Ip Holding B.V. Systems and methods for dynamic semiconductor process scheduling
US9021985B2 (en) 2012-09-12 2015-05-05 Asm Ip Holdings B.V. Process gas management for an inductively-coupled plasma deposition reactor
US9324811B2 (en) 2012-09-26 2016-04-26 Asm Ip Holding B.V. Structures and devices including a tensile-stressed silicon arsenic layer and methods of forming same
US10714315B2 (en) 2012-10-12 2020-07-14 Asm Ip Holdings B.V. Semiconductor reaction chamber showerhead
KR102207992B1 (ko) 2012-10-23 2021-01-26 램 리써치 코포레이션 서브-포화된 원자층 증착 및 등각막 증착
KR20140059107A (ko) 2012-11-07 2014-05-15 주식회사 유피케미칼 실리콘 질화물 박막 제조 방법
SG2013083241A (en) 2012-11-08 2014-06-27 Novellus Systems Inc Conformal film deposition for gapfill
SG2013083654A (en) 2012-11-08 2014-06-27 Novellus Systems Inc Methods for depositing films on sensitive substrates
US9640416B2 (en) 2012-12-26 2017-05-02 Asm Ip Holding B.V. Single-and dual-chamber module-attachable wafer-handling chamber
US9018108B2 (en) 2013-01-25 2015-04-28 Applied Materials, Inc. Low shrinkage dielectric films
US20160376700A1 (en) 2013-02-01 2016-12-29 Asm Ip Holding B.V. System for treatment of deposition reactor
US9589770B2 (en) 2013-03-08 2017-03-07 Asm Ip Holding B.V. Method and systems for in-situ formation of intermediate reactive species
US9484191B2 (en) 2013-03-08 2016-11-01 Asm Ip Holding B.V. Pulsed remote plasma method and system
JP6118197B2 (ja) * 2013-07-02 2017-04-19 東京エレクトロン株式会社 成膜方法
US8993054B2 (en) 2013-07-12 2015-03-31 Asm Ip Holding B.V. Method and system to reduce outgassing in a reaction chamber
US9018111B2 (en) 2013-07-22 2015-04-28 Asm Ip Holding B.V. Semiconductor reaction chamber with plasma capabilities
US9793115B2 (en) 2013-08-14 2017-10-17 Asm Ip Holding B.V. Structures and devices including germanium-tin films and methods of forming same
US9240412B2 (en) 2013-09-27 2016-01-19 Asm Ip Holding B.V. Semiconductor structure and device and methods of forming same using selective epitaxial process
US9905415B2 (en) 2013-10-03 2018-02-27 Versum Materials Us, Llc Methods for depositing silicon nitride films
US9556516B2 (en) 2013-10-09 2017-01-31 ASM IP Holding B.V Method for forming Ti-containing film by PEALD using TDMAT or TDEAT
US10179947B2 (en) 2013-11-26 2019-01-15 Asm Ip Holding B.V. Method for forming conformal nitrided, oxidized, or carbonized dielectric film by atomic layer deposition
US9214334B2 (en) 2014-02-18 2015-12-15 Lam Research Corporation High growth rate process for conformal aluminum nitride
US10683571B2 (en) 2014-02-25 2020-06-16 Asm Ip Holding B.V. Gas supply manifold and method of supplying gases to chamber using same
US9447498B2 (en) 2014-03-18 2016-09-20 Asm Ip Holding B.V. Method for performing uniform processing in gas system-sharing multiple reaction chambers
US10167557B2 (en) 2014-03-18 2019-01-01 Asm Ip Holding B.V. Gas distribution system, reactor including the system, and methods of using the same
US11015245B2 (en) 2014-03-19 2021-05-25 Asm Ip Holding B.V. Gas-phase reactor and system having exhaust plenum and components thereof
US9404587B2 (en) 2014-04-24 2016-08-02 ASM IP Holding B.V Lockout tagout for semiconductor vacuum valve
US10858737B2 (en) 2014-07-28 2020-12-08 Asm Ip Holding B.V. Showerhead assembly and components thereof
US9543180B2 (en) 2014-08-01 2017-01-10 Asm Ip Holding B.V. Apparatus and method for transporting wafers between wafer carrier and process tool under vacuum
US9478411B2 (en) 2014-08-20 2016-10-25 Lam Research Corporation Method to tune TiOx stoichiometry using atomic layer deposited Ti film to minimize contact resistance for TiOx/Ti based MIS contact scheme for CMOS
US9478438B2 (en) 2014-08-20 2016-10-25 Lam Research Corporation Method and apparatus to deposit pure titanium thin film at low temperature using titanium tetraiodide precursor
US9890456B2 (en) 2014-08-21 2018-02-13 Asm Ip Holding B.V. Method and system for in situ formation of gas-phase compounds
US9657845B2 (en) 2014-10-07 2017-05-23 Asm Ip Holding B.V. Variable conductance gas distribution apparatus and method
US10941490B2 (en) 2014-10-07 2021-03-09 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
KR102300403B1 (ko) 2014-11-19 2021-09-09 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
US9564312B2 (en) 2014-11-24 2017-02-07 Lam Research Corporation Selective inhibition in atomic layer deposition of silicon-containing films
KR102263121B1 (ko) 2014-12-22 2021-06-09 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 및 그 제조 방법
US9478415B2 (en) 2015-02-13 2016-10-25 Asm Ip Holding B.V. Method for forming film having low resistance and shallow junction depth
US10529542B2 (en) 2015-03-11 2020-01-07 Asm Ip Holdings B.V. Cross-flow reactor and method
US10276355B2 (en) 2015-03-12 2019-04-30 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
US10566187B2 (en) 2015-03-20 2020-02-18 Lam Research Corporation Ultrathin atomic layer deposition film accuracy thickness control
US9502238B2 (en) 2015-04-03 2016-11-22 Lam Research Corporation Deposition of conformal films by atomic layer deposition and atomic layer etch
US10458018B2 (en) 2015-06-26 2019-10-29 Asm Ip Holding B.V. Structures including metal carbide material, devices including the structures, and methods of forming same
US10600673B2 (en) 2015-07-07 2020-03-24 Asm Ip Holding B.V. Magnetic susceptor to baseplate seal
US10526701B2 (en) 2015-07-09 2020-01-07 Lam Research Corporation Multi-cycle ALD process for film uniformity and thickness profile modulation
US10043661B2 (en) 2015-07-13 2018-08-07 Asm Ip Holding B.V. Method for protecting layer by forming hydrocarbon-based extremely thin film
US9899291B2 (en) 2015-07-13 2018-02-20 Asm Ip Holding B.V. Method for protecting layer by forming hydrocarbon-based extremely thin film
US10083836B2 (en) 2015-07-24 2018-09-25 Asm Ip Holding B.V. Formation of boron-doped titanium metal films with high work function
US11035039B2 (en) 2015-07-31 2021-06-15 Versum Materials Us, Llc Compositions and methods for depositing silicon nitride films
US10087525B2 (en) 2015-08-04 2018-10-02 Asm Ip Holding B.V. Variable gap hard stop design
US9647114B2 (en) 2015-08-14 2017-05-09 Asm Ip Holding B.V. Methods of forming highly p-type doped germanium tin films and structures and devices including the films
JP2018525841A (ja) * 2015-08-21 2018-09-06 アプライド マテリアルズ インコーポレイテッドApplied Materials,Incorporated 高温の熱ald及び窒化ケイ素膜
US9711345B2 (en) 2015-08-25 2017-07-18 Asm Ip Holding B.V. Method for forming aluminum nitride-based film by PEALD
US9960072B2 (en) 2015-09-29 2018-05-01 Asm Ip Holding B.V. Variable adjustment for precise matching of multiple chamber cavity housings
US9909214B2 (en) 2015-10-15 2018-03-06 Asm Ip Holding B.V. Method for depositing dielectric film in trenches by PEALD
US10211308B2 (en) 2015-10-21 2019-02-19 Asm Ip Holding B.V. NbMC layers
US10322384B2 (en) 2015-11-09 2019-06-18 Asm Ip Holding B.V. Counter flow mixer for process chamber
US9455138B1 (en) 2015-11-10 2016-09-27 Asm Ip Holding B.V. Method for forming dielectric film in trenches by PEALD using H-containing gas
US9905420B2 (en) 2015-12-01 2018-02-27 Asm Ip Holding B.V. Methods of forming silicon germanium tin films and structures and devices including the films
US9607837B1 (en) 2015-12-21 2017-03-28 Asm Ip Holding B.V. Method for forming silicon oxide cap layer for solid state diffusion process
US9633838B2 (en) * 2015-12-28 2017-04-25 L'Air Liquide, Société Anonyme pour l'Etude et l'Exploitation des Procédés Georges Claude Vapor deposition of silicon-containing films using penta-substituted disilanes
US9735024B2 (en) 2015-12-28 2017-08-15 Asm Ip Holding B.V. Method of atomic layer etching using functional group-containing fluorocarbon
US9627221B1 (en) 2015-12-28 2017-04-18 Asm Ip Holding B.V. Continuous process incorporating atomic layer etching
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
US10529554B2 (en) 2016-02-19 2020-01-07 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US10468251B2 (en) 2016-02-19 2019-11-05 Asm Ip Holding B.V. Method for forming spacers using silicon nitride film for spacer-defined multiple patterning
US9754779B1 (en) 2016-02-19 2017-09-05 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US10501866B2 (en) 2016-03-09 2019-12-10 Asm Ip Holding B.V. Gas distribution apparatus for improved film uniformity in an epitaxial system
US10343920B2 (en) 2016-03-18 2019-07-09 Asm Ip Holding B.V. Aligned carbon nanotubes
US9892913B2 (en) 2016-03-24 2018-02-13 Asm Ip Holding B.V. Radial and thickness control via biased multi-port injection settings
US10087522B2 (en) 2016-04-21 2018-10-02 Asm Ip Holding B.V. Deposition of metal borides
US10190213B2 (en) 2016-04-21 2019-01-29 Asm Ip Holding B.V. Deposition of metal borides
US10865475B2 (en) 2016-04-21 2020-12-15 Asm Ip Holding B.V. Deposition of metal borides and silicides
KR101968966B1 (ko) * 2016-04-29 2019-08-13 세종대학교산학협력단 실리콘 질화막의 증착 방법 및 상기 실리콘 질화막의 증착 장치
US10032628B2 (en) 2016-05-02 2018-07-24 Asm Ip Holding B.V. Source/drain performance through conformal solid state doping
US10367080B2 (en) 2016-05-02 2019-07-30 Asm Ip Holding B.V. Method of forming a germanium oxynitride film
US20190169212A1 (en) * 2016-05-17 2019-06-06 Dow Silicones Corporation Aminochlorohydridodisilanes
KR102592471B1 (ko) 2016-05-17 2023-10-20 에이에스엠 아이피 홀딩 비.브이. 금속 배선 형성 방법 및 이를 이용한 반도체 장치의 제조 방법
US11453943B2 (en) 2016-05-25 2022-09-27 Asm Ip Holding B.V. Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor
US10388509B2 (en) 2016-06-28 2019-08-20 Asm Ip Holding B.V. Formation of epitaxial layers via dislocation filtering
US9773643B1 (en) 2016-06-30 2017-09-26 Lam Research Corporation Apparatus and method for deposition and etch in gap fill
US10062563B2 (en) 2016-07-01 2018-08-28 Lam Research Corporation Selective atomic layer deposition with post-dose treatment
US10612137B2 (en) 2016-07-08 2020-04-07 Asm Ip Holdings B.V. Organic reactants for atomic layer deposition
US9859151B1 (en) 2016-07-08 2018-01-02 Asm Ip Holding B.V. Selective film deposition method to form air gaps
US9793135B1 (en) 2016-07-14 2017-10-17 ASM IP Holding B.V Method of cyclic dry etching using etchant film
US10714385B2 (en) 2016-07-19 2020-07-14 Asm Ip Holding B.V. Selective deposition of tungsten
US10381226B2 (en) 2016-07-27 2019-08-13 Asm Ip Holding B.V. Method of processing substrate
US10395919B2 (en) 2016-07-28 2019-08-27 Asm Ip Holding B.V. Method and apparatus for filling a gap
US9887082B1 (en) 2016-07-28 2018-02-06 Asm Ip Holding B.V. Method and apparatus for filling a gap
US9812320B1 (en) 2016-07-28 2017-11-07 Asm Ip Holding B.V. Method and apparatus for filling a gap
KR102532607B1 (ko) 2016-07-28 2023-05-15 에이에스엠 아이피 홀딩 비.브이. 기판 가공 장치 및 그 동작 방법
US10177025B2 (en) 2016-07-28 2019-01-08 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10037884B2 (en) 2016-08-31 2018-07-31 Lam Research Corporation Selective atomic layer deposition for gapfill using sacrificial underlayer
US10090316B2 (en) 2016-09-01 2018-10-02 Asm Ip Holding B.V. 3D stacked multilayer semiconductor memory using doped select transistor channel
US10410943B2 (en) 2016-10-13 2019-09-10 Asm Ip Holding B.V. Method for passivating a surface of a semiconductor and related systems
US10643826B2 (en) 2016-10-26 2020-05-05 Asm Ip Holdings B.V. Methods for thermally calibrating reaction chambers
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
US10643904B2 (en) 2016-11-01 2020-05-05 Asm Ip Holdings B.V. Methods for forming a semiconductor device and related semiconductor device structures
US10714350B2 (en) 2016-11-01 2020-07-14 ASM IP Holdings, B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10229833B2 (en) 2016-11-01 2019-03-12 Asm Ip Holding B.V. Methods for forming a transition metal nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10435790B2 (en) 2016-11-01 2019-10-08 Asm Ip Holding B.V. Method of subatmospheric plasma-enhanced ALD using capacitively coupled electrodes with narrow gap
US10134757B2 (en) 2016-11-07 2018-11-20 Asm Ip Holding B.V. Method of processing a substrate and a device manufactured by using the method
KR102546317B1 (ko) 2016-11-15 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기체 공급 유닛 및 이를 포함하는 기판 처리 장치
US10340135B2 (en) 2016-11-28 2019-07-02 Asm Ip Holding B.V. Method of topologically restricted plasma-enhanced cyclic deposition of silicon or metal nitride
KR20180068582A (ko) 2016-12-14 2018-06-22 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11447861B2 (en) 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
US9916980B1 (en) 2016-12-15 2018-03-13 Asm Ip Holding B.V. Method of forming a structure on a substrate
US11581186B2 (en) 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
KR20180070971A (ko) 2016-12-19 2018-06-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10269558B2 (en) 2016-12-22 2019-04-23 Asm Ip Holding B.V. Method of forming a structure on a substrate
US10867788B2 (en) 2016-12-28 2020-12-15 Asm Ip Holding B.V. Method of forming a structure on a substrate
US11390950B2 (en) 2017-01-10 2022-07-19 Asm Ip Holding B.V. Reactor system and method to reduce residue buildup during a film deposition process
US10655221B2 (en) 2017-02-09 2020-05-19 Asm Ip Holding B.V. Method for depositing oxide film by thermal ALD and PEALD
US10468261B2 (en) 2017-02-15 2019-11-05 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
US10529563B2 (en) 2017-03-29 2020-01-07 Asm Ip Holdings B.V. Method for forming doped metal oxide films on a substrate by cyclical deposition and related semiconductor device structures
US10283353B2 (en) 2017-03-29 2019-05-07 Asm Ip Holding B.V. Method of reforming insulating film deposited on substrate with recess pattern
US10103040B1 (en) 2017-03-31 2018-10-16 Asm Ip Holding B.V. Apparatus and method for manufacturing a semiconductor device
USD830981S1 (en) 2017-04-07 2018-10-16 Asm Ip Holding B.V. Susceptor for semiconductor substrate processing apparatus
KR102457289B1 (ko) 2017-04-25 2022-10-21 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10446393B2 (en) 2017-05-08 2019-10-15 Asm Ip Holding B.V. Methods for forming silicon-containing epitaxial layers and related semiconductor device structures
US10770286B2 (en) 2017-05-08 2020-09-08 Asm Ip Holdings B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
US10892156B2 (en) 2017-05-08 2021-01-12 Asm Ip Holding B.V. Methods for forming a silicon nitride film on a substrate and related semiconductor device structures
US10504742B2 (en) 2017-05-31 2019-12-10 Asm Ip Holding B.V. Method of atomic layer etching using hydrogen plasma
US10886123B2 (en) 2017-06-02 2021-01-05 Asm Ip Holding B.V. Methods for forming low temperature semiconductor layers and related semiconductor device structures
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
US10685834B2 (en) 2017-07-05 2020-06-16 Asm Ip Holdings B.V. Methods for forming a silicon germanium tin layer and related semiconductor device structures
KR20190009245A (ko) 2017-07-18 2019-01-28 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 구조물 형성 방법 및 관련된 반도체 소자 구조물
US11374112B2 (en) 2017-07-19 2022-06-28 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US11018002B2 (en) 2017-07-19 2021-05-25 Asm Ip Holding B.V. Method for selectively depositing a Group IV semiconductor and related semiconductor device structures
US10541333B2 (en) 2017-07-19 2020-01-21 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US10605530B2 (en) 2017-07-26 2020-03-31 Asm Ip Holding B.V. Assembly of a liner and a flange for a vertical furnace as well as the liner and the vertical furnace
US10312055B2 (en) 2017-07-26 2019-06-04 Asm Ip Holding B.V. Method of depositing film by PEALD using negative bias
US10590535B2 (en) 2017-07-26 2020-03-17 Asm Ip Holdings B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US10692741B2 (en) 2017-08-08 2020-06-23 Asm Ip Holdings B.V. Radiation shield
US10770336B2 (en) 2017-08-08 2020-09-08 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US11139191B2 (en) 2017-08-09 2021-10-05 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US10249524B2 (en) 2017-08-09 2019-04-02 Asm Ip Holding B.V. Cassette holder assembly for a substrate cassette and holding member for use in such assembly
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US10236177B1 (en) 2017-08-22 2019-03-19 ASM IP Holding B.V.. Methods for depositing a doped germanium tin semiconductor and related semiconductor device structures
USD900036S1 (en) 2017-08-24 2020-10-27 Asm Ip Holding B.V. Heater electrical connector and adapter
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
US11056344B2 (en) 2017-08-30 2021-07-06 Asm Ip Holding B.V. Layer forming method
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
KR102491945B1 (ko) 2017-08-30 2023-01-26 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR102401446B1 (ko) 2017-08-31 2022-05-24 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10269559B2 (en) 2017-09-13 2019-04-23 Lam Research Corporation Dielectric gapfill of high aspect ratio features utilizing a sacrificial etch cap layer
US10607895B2 (en) 2017-09-18 2020-03-31 Asm Ip Holdings B.V. Method for forming a semiconductor device structure comprising a gate fill metal
KR102630301B1 (ko) 2017-09-21 2024-01-29 에이에스엠 아이피 홀딩 비.브이. 침투성 재료의 순차 침투 합성 방법 처리 및 이를 이용하여 형성된 구조물 및 장치
US10844484B2 (en) 2017-09-22 2020-11-24 Asm Ip Holding B.V. Apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US10658205B2 (en) 2017-09-28 2020-05-19 Asm Ip Holdings B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
US10403504B2 (en) 2017-10-05 2019-09-03 Asm Ip Holding B.V. Method for selectively depositing a metallic film on a substrate
US10319588B2 (en) 2017-10-10 2019-06-11 Asm Ip Holding B.V. Method for depositing a metal chalcogenide on a substrate by cyclical deposition
US10923344B2 (en) 2017-10-30 2021-02-16 Asm Ip Holding B.V. Methods for forming a semiconductor structure and related semiconductor structures
US10910262B2 (en) 2017-11-16 2021-02-02 Asm Ip Holding B.V. Method of selectively depositing a capping layer structure on a semiconductor device structure
KR102443047B1 (ko) 2017-11-16 2022-09-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 방법 및 그에 의해 제조된 장치
US11022879B2 (en) 2017-11-24 2021-06-01 Asm Ip Holding B.V. Method of forming an enhanced unexposed photoresist layer
JP7206265B2 (ja) 2017-11-27 2023-01-17 エーエスエム アイピー ホールディング ビー.ブイ. クリーン・ミニエンバイロメントを備える装置
CN111316417B (zh) 2017-11-27 2023-12-22 阿斯莫Ip控股公司 与批式炉偕同使用的用于储存晶圆匣的储存装置
US10290508B1 (en) 2017-12-05 2019-05-14 Asm Ip Holding B.V. Method for forming vertical spacers for spacer-defined patterning
US10872771B2 (en) 2018-01-16 2020-12-22 Asm Ip Holding B. V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
CN111630203A (zh) 2018-01-19 2020-09-04 Asm Ip私人控股有限公司 通过等离子体辅助沉积来沉积间隙填充层的方法
TWI799494B (zh) 2018-01-19 2023-04-21 荷蘭商Asm 智慧財產控股公司 沈積方法
USD903477S1 (en) 2018-01-24 2020-12-01 Asm Ip Holdings B.V. Metal clamp
US11018047B2 (en) 2018-01-25 2021-05-25 Asm Ip Holding B.V. Hybrid lift pin
USD880437S1 (en) 2018-02-01 2020-04-07 Asm Ip Holding B.V. Gas supply plate for semiconductor manufacturing apparatus
US10535516B2 (en) 2018-02-01 2020-01-14 Asm Ip Holdings B.V. Method for depositing a semiconductor structure on a surface of a substrate and related semiconductor structures
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
US11685991B2 (en) 2018-02-14 2023-06-27 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
US10896820B2 (en) 2018-02-14 2021-01-19 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
US10731249B2 (en) 2018-02-15 2020-08-04 Asm Ip Holding B.V. Method of forming a transition metal containing film on a substrate by a cyclical deposition process, a method for supplying a transition metal halide compound to a reaction chamber, and related vapor deposition apparatus
US10658181B2 (en) 2018-02-20 2020-05-19 Asm Ip Holding B.V. Method of spacer-defined direct patterning in semiconductor fabrication
KR102636427B1 (ko) 2018-02-20 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 장치
US10975470B2 (en) 2018-02-23 2021-04-13 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
JP7199497B2 (ja) * 2018-02-28 2023-01-05 株式会社Kokusai Electric 基板処理方法、半導体装置の製造方法、基板処理装置、およびプログラム
JP6789257B2 (ja) * 2018-02-28 2020-11-25 株式会社Kokusai Electric 半導体装置の製造方法、基板処理装置、およびプログラム
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
US11629406B2 (en) 2018-03-09 2023-04-18 Asm Ip Holding B.V. Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate
US11114283B2 (en) 2018-03-16 2021-09-07 Asm Ip Holding B.V. Reactor, system including the reactor, and methods of manufacturing and using same
KR102646467B1 (ko) 2018-03-27 2024-03-11 에이에스엠 아이피 홀딩 비.브이. 기판 상에 전극을 형성하는 방법 및 전극을 포함하는 반도체 소자 구조
US10510536B2 (en) 2018-03-29 2019-12-17 Asm Ip Holding B.V. Method of depositing a co-doped polysilicon film on a surface of a substrate within a reaction chamber
US11088002B2 (en) 2018-03-29 2021-08-10 Asm Ip Holding B.V. Substrate rack and a substrate processing system and method
US11230766B2 (en) 2018-03-29 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102501472B1 (ko) 2018-03-30 2023-02-20 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
KR20190128558A (ko) 2018-05-08 2019-11-18 에이에스엠 아이피 홀딩 비.브이. 기판 상에 산화물 막을 주기적 증착 공정에 의해 증착하기 위한 방법 및 관련 소자 구조
KR20190129718A (ko) 2018-05-11 2019-11-20 에이에스엠 아이피 홀딩 비.브이. 기판 상에 피도핑 금속 탄화물 막을 형성하는 방법 및 관련 반도체 소자 구조
KR102596988B1 (ko) 2018-05-28 2023-10-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 그에 의해 제조된 장치
US10774422B2 (en) 2018-06-01 2020-09-15 Asm Ip Holding B.V. Systems and methods for controlling vapor phase processing
US11270899B2 (en) 2018-06-04 2022-03-08 Asm Ip Holding B.V. Wafer handling chamber with moisture reduction
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
US11286562B2 (en) 2018-06-08 2022-03-29 Asm Ip Holding B.V. Gas-phase chemical reactor and method of using same
KR102568797B1 (ko) 2018-06-21 2023-08-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 시스템
US10797133B2 (en) 2018-06-21 2020-10-06 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
KR20210024462A (ko) 2018-06-27 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 금속 함유 재료를 형성하기 위한 주기적 증착 방법 및 금속 함유 재료를 포함하는 필름 및 구조체
WO2020003000A1 (en) 2018-06-27 2020-01-02 Asm Ip Holding B.V. Cyclic deposition methods for forming metal-containing material and films and structures including the metal-containing material
US10612136B2 (en) 2018-06-29 2020-04-07 ASM IP Holding, B.V. Temperature-controlled flange and reactor system including same
KR20200002519A (ko) 2018-06-29 2020-01-08 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10755922B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10388513B1 (en) 2018-07-03 2019-08-20 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10767789B2 (en) 2018-07-16 2020-09-08 Asm Ip Holding B.V. Diaphragm valves, valve components, and methods for forming valve components
US10483099B1 (en) 2018-07-26 2019-11-19 Asm Ip Holding B.V. Method for forming thermally stable organosilicon polymer film
US11053591B2 (en) 2018-08-06 2021-07-06 Asm Ip Holding B.V. Multi-port gas injection system and reactor system including same
US10883175B2 (en) 2018-08-09 2021-01-05 Asm Ip Holding B.V. Vertical furnace for processing substrates and a liner for use therein
US10829852B2 (en) 2018-08-16 2020-11-10 Asm Ip Holding B.V. Gas distribution device for a wafer processing apparatus
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US11024523B2 (en) 2018-09-11 2021-06-01 Asm Ip Holding B.V. Substrate processing apparatus and method
KR20200030162A (ko) 2018-09-11 2020-03-20 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
US11049751B2 (en) 2018-09-14 2021-06-29 Asm Ip Holding B.V. Cassette supply system to store and handle cassettes and processing apparatus equipped therewith
CN110970344A (zh) 2018-10-01 2020-04-07 Asm Ip控股有限公司 衬底保持设备、包含所述设备的系统及其使用方法
US11232963B2 (en) 2018-10-03 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102592699B1 (ko) 2018-10-08 2023-10-23 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 박막 증착 장치와 기판 처리 장치
US10847365B2 (en) 2018-10-11 2020-11-24 Asm Ip Holding B.V. Method of forming conformal silicon carbide film by cyclic CVD
US10811256B2 (en) 2018-10-16 2020-10-20 Asm Ip Holding B.V. Method for etching a carbon-containing feature
KR102605121B1 (ko) 2018-10-19 2023-11-23 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
KR102546322B1 (ko) 2018-10-19 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
USD948463S1 (en) 2018-10-24 2022-04-12 Asm Ip Holding B.V. Susceptor for semiconductor substrate supporting apparatus
US10381219B1 (en) 2018-10-25 2019-08-13 Asm Ip Holding B.V. Methods for forming a silicon nitride film
US11087997B2 (en) 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
KR20200051105A (ko) 2018-11-02 2020-05-13 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 기판 처리 장치
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US11031242B2 (en) 2018-11-07 2021-06-08 Asm Ip Holding B.V. Methods for depositing a boron doped silicon germanium film
US10847366B2 (en) 2018-11-16 2020-11-24 Asm Ip Holding B.V. Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process
US10818758B2 (en) 2018-11-16 2020-10-27 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US10559458B1 (en) 2018-11-26 2020-02-11 Asm Ip Holding B.V. Method of forming oxynitride film
US11217444B2 (en) 2018-11-30 2022-01-04 Asm Ip Holding B.V. Method for forming an ultraviolet radiation responsive metal oxide-containing film
KR102636428B1 (ko) 2018-12-04 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치를 세정하는 방법
KR102402779B1 (ko) * 2018-12-11 2022-05-30 한양대학교 산학협력단 실리콘 전구체 가압 도징 단계를 포함하는 절연막 제조방법
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
JP2020096183A (ja) 2018-12-14 2020-06-18 エーエスエム・アイピー・ホールディング・ベー・フェー 窒化ガリウムの選択的堆積を用いてデバイス構造体を形成する方法及びそのためのシステム
TWI819180B (zh) 2019-01-17 2023-10-21 荷蘭商Asm 智慧財產控股公司 藉由循環沈積製程於基板上形成含過渡金屬膜之方法
KR20200091543A (ko) 2019-01-22 2020-07-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
CN111524788B (zh) 2019-02-01 2023-11-24 Asm Ip私人控股有限公司 氧化硅的拓扑选择性膜形成的方法
TW202104632A (zh) 2019-02-20 2021-02-01 荷蘭商Asm Ip私人控股有限公司 用來填充形成於基材表面內之凹部的循環沉積方法及設備
KR102626263B1 (ko) 2019-02-20 2024-01-16 에이에스엠 아이피 홀딩 비.브이. 처리 단계를 포함하는 주기적 증착 방법 및 이를 위한 장치
JP2020136678A (ja) 2019-02-20 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材表面内に形成された凹部を充填するための方法および装置
KR20200102357A (ko) 2019-02-20 2020-08-31 에이에스엠 아이피 홀딩 비.브이. 3-d nand 응용의 플러그 충진체 증착용 장치 및 방법
JP2020133004A (ja) 2019-02-22 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材を処理するための基材処理装置および方法
KR20200108248A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOCN 층을 포함한 구조체 및 이의 형성 방법
KR20200108243A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOC 층을 포함한 구조체 및 이의 형성 방법
KR20200108242A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. 실리콘 질화물 층을 선택적으로 증착하는 방법, 및 선택적으로 증착된 실리콘 질화물 층을 포함하는 구조체
KR20200116033A (ko) 2019-03-28 2020-10-08 에이에스엠 아이피 홀딩 비.브이. 도어 개방기 및 이를 구비한 기판 처리 장치
KR20200116855A (ko) 2019-04-01 2020-10-13 에이에스엠 아이피 홀딩 비.브이. 반도체 소자를 제조하는 방법
US11447864B2 (en) 2019-04-19 2022-09-20 Asm Ip Holding B.V. Layer forming method and apparatus
KR20200125453A (ko) 2019-04-24 2020-11-04 에이에스엠 아이피 홀딩 비.브이. 기상 반응기 시스템 및 이를 사용하는 방법
KR20200130118A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 비정질 탄소 중합체 막을 개질하는 방법
KR20200130121A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 딥 튜브가 있는 화학물질 공급원 용기
KR20200130652A (ko) 2019-05-10 2020-11-19 에이에스엠 아이피 홀딩 비.브이. 표면 상에 재료를 증착하는 방법 및 본 방법에 따라 형성된 구조
JP2020188254A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
JP2020188255A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
USD935572S1 (en) 2019-05-24 2021-11-09 Asm Ip Holding B.V. Gas channel plate
USD922229S1 (en) 2019-06-05 2021-06-15 Asm Ip Holding B.V. Device for controlling a temperature of a gas supply unit
KR20200141003A (ko) 2019-06-06 2020-12-17 에이에스엠 아이피 홀딩 비.브이. 가스 감지기를 포함하는 기상 반응기 시스템
KR20200143254A (ko) 2019-06-11 2020-12-23 에이에스엠 아이피 홀딩 비.브이. 개질 가스를 사용하여 전자 구조를 형성하는 방법, 상기 방법을 수행하기 위한 시스템, 및 상기 방법을 사용하여 형성되는 구조
USD944946S1 (en) 2019-06-14 2022-03-01 Asm Ip Holding B.V. Shower plate
USD931978S1 (en) 2019-06-27 2021-09-28 Asm Ip Holding B.V. Showerhead vacuum transport
KR20210005515A (ko) 2019-07-03 2021-01-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치용 온도 제어 조립체 및 이를 사용하는 방법
JP2021015791A (ja) 2019-07-09 2021-02-12 エーエスエム アイピー ホールディング ビー.ブイ. 同軸導波管を用いたプラズマ装置、基板処理方法
CN112216646A (zh) 2019-07-10 2021-01-12 Asm Ip私人控股有限公司 基板支撑组件及包括其的基板处理装置
KR20210010307A (ko) 2019-07-16 2021-01-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210010816A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 라디칼 보조 점화 플라즈마 시스템 및 방법
KR20210010820A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 실리콘 게르마늄 구조를 형성하는 방법
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
CN112242296A (zh) 2019-07-19 2021-01-19 Asm Ip私人控股有限公司 形成拓扑受控的无定形碳聚合物膜的方法
CN112309843A (zh) 2019-07-29 2021-02-02 Asm Ip私人控股有限公司 实现高掺杂剂掺入的选择性沉积方法
CN112309899A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
CN112309900A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
CN112323048B (zh) 2019-08-05 2024-02-09 Asm Ip私人控股有限公司 用于化学源容器的液位传感器
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
JP2021031769A (ja) 2019-08-21 2021-03-01 エーエスエム アイピー ホールディング ビー.ブイ. 成膜原料混合ガス生成装置及び成膜装置
KR20210024423A (ko) 2019-08-22 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 홀을 구비한 구조체를 형성하기 위한 방법
USD940837S1 (en) 2019-08-22 2022-01-11 Asm Ip Holding B.V. Electrode
USD930782S1 (en) 2019-08-22 2021-09-14 Asm Ip Holding B.V. Gas distributor
USD949319S1 (en) 2019-08-22 2022-04-19 Asm Ip Holding B.V. Exhaust duct
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
KR20210024420A (ko) 2019-08-23 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 비스(디에틸아미노)실란을 사용하여 peald에 의해 개선된 품질을 갖는 실리콘 산화물 막을 증착하기 위한 방법
KR20210029090A (ko) 2019-09-04 2021-03-15 에이에스엠 아이피 홀딩 비.브이. 희생 캡핑 층을 이용한 선택적 증착 방법
KR20210029663A (ko) 2019-09-05 2021-03-16 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
CN112593212B (zh) 2019-10-02 2023-12-22 Asm Ip私人控股有限公司 通过循环等离子体增强沉积工艺形成拓扑选择性氧化硅膜的方法
TW202129060A (zh) 2019-10-08 2021-08-01 荷蘭商Asm Ip控股公司 基板處理裝置、及基板處理方法
TW202115273A (zh) 2019-10-10 2021-04-16 荷蘭商Asm Ip私人控股有限公司 形成光阻底層之方法及包括光阻底層之結構
KR20210045930A (ko) 2019-10-16 2021-04-27 에이에스엠 아이피 홀딩 비.브이. 실리콘 산화물의 토폴로지-선택적 막의 형성 방법
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
KR20210047808A (ko) 2019-10-21 2021-04-30 에이에스엠 아이피 홀딩 비.브이. 막을 선택적으로 에칭하기 위한 장치 및 방법
KR20210050453A (ko) 2019-10-25 2021-05-07 에이에스엠 아이피 홀딩 비.브이. 기판 표면 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
KR20210054983A (ko) 2019-11-05 2021-05-14 에이에스엠 아이피 홀딩 비.브이. 도핑된 반도체 층을 갖는 구조체 및 이를 형성하기 위한 방법 및 시스템
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
KR20210062561A (ko) 2019-11-20 2021-05-31 에이에스엠 아이피 홀딩 비.브이. 기판의 표면 상에 탄소 함유 물질을 증착하는 방법, 상기 방법을 사용하여 형성된 구조물, 및 상기 구조물을 형성하기 위한 시스템
KR20210065848A (ko) 2019-11-26 2021-06-04 에이에스엠 아이피 홀딩 비.브이. 제1 유전체 표면과 제2 금속성 표면을 포함한 기판 상에 타겟 막을 선택적으로 형성하기 위한 방법
CN112951697A (zh) 2019-11-26 2021-06-11 Asm Ip私人控股有限公司 基板处理设备
CN112885692A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
CN112885693A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
JP2021090042A (ja) 2019-12-02 2021-06-10 エーエスエム アイピー ホールディング ビー.ブイ. 基板処理装置、基板処理方法
KR20210070898A (ko) 2019-12-04 2021-06-15 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210078405A (ko) 2019-12-17 2021-06-28 에이에스엠 아이피 홀딩 비.브이. 바나듐 나이트라이드 층을 형성하는 방법 및 바나듐 나이트라이드 층을 포함하는 구조
KR20210080214A (ko) 2019-12-19 2021-06-30 에이에스엠 아이피 홀딩 비.브이. 기판 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
JP2021109175A (ja) 2020-01-06 2021-08-02 エーエスエム・アイピー・ホールディング・ベー・フェー ガス供給アセンブリ、その構成要素、およびこれを含む反応器システム
US11993847B2 (en) 2020-01-08 2024-05-28 Asm Ip Holding B.V. Injector
KR20210095050A (ko) 2020-01-20 2021-07-30 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법 및 박막 표면 개질 방법
TW202130846A (zh) 2020-02-03 2021-08-16 荷蘭商Asm Ip私人控股有限公司 形成包括釩或銦層的結構之方法
TW202146882A (zh) 2020-02-04 2021-12-16 荷蘭商Asm Ip私人控股有限公司 驗證一物品之方法、用於驗證一物品之設備、及用於驗證一反應室之系統
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
US11781243B2 (en) 2020-02-17 2023-10-10 Asm Ip Holding B.V. Method for depositing low temperature phosphorous-doped silicon
TW202203344A (zh) 2020-02-28 2022-01-16 荷蘭商Asm Ip控股公司 專用於零件清潔的系統
KR20210116240A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 조절성 접합부를 갖는 기판 핸들링 장치
US11876356B2 (en) 2020-03-11 2024-01-16 Asm Ip Holding B.V. Lockout tagout assembly and system and method of using same
KR20210117157A (ko) 2020-03-12 2021-09-28 에이에스엠 아이피 홀딩 비.브이. 타겟 토폴로지 프로파일을 갖는 층 구조를 제조하기 위한 방법
KR20210124042A (ko) 2020-04-02 2021-10-14 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법
TW202146689A (zh) 2020-04-03 2021-12-16 荷蘭商Asm Ip控股公司 阻障層形成方法及半導體裝置的製造方法
TW202145344A (zh) 2020-04-08 2021-12-01 荷蘭商Asm Ip私人控股有限公司 用於選擇性蝕刻氧化矽膜之設備及方法
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
US11996289B2 (en) 2020-04-16 2024-05-28 Asm Ip Holding B.V. Methods of forming structures including silicon germanium and silicon layers, devices formed using the methods, and systems for performing the methods
TW202146831A (zh) 2020-04-24 2021-12-16 荷蘭商Asm Ip私人控股有限公司 垂直批式熔爐總成、及用於冷卻垂直批式熔爐之方法
KR20210132600A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐, 질소 및 추가 원소를 포함한 층을 증착하기 위한 방법 및 시스템
TW202140831A (zh) 2020-04-24 2021-11-01 荷蘭商Asm Ip私人控股有限公司 形成含氮化釩層及包含該層的結構之方法
KR20210134226A (ko) 2020-04-29 2021-11-09 에이에스엠 아이피 홀딩 비.브이. 고체 소스 전구체 용기
KR20210134869A (ko) 2020-05-01 2021-11-11 에이에스엠 아이피 홀딩 비.브이. Foup 핸들러를 이용한 foup의 빠른 교환
KR20210141379A (ko) 2020-05-13 2021-11-23 에이에스엠 아이피 홀딩 비.브이. 반응기 시스템용 레이저 정렬 고정구
KR20210143653A (ko) 2020-05-19 2021-11-29 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210145078A (ko) 2020-05-21 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 다수의 탄소 층을 포함한 구조체 및 이를 형성하고 사용하는 방법
TW202200837A (zh) 2020-05-22 2022-01-01 荷蘭商Asm Ip私人控股有限公司 用於在基材上形成薄膜之反應系統
JP2021188094A (ja) 2020-05-29 2021-12-13 大陽日酸株式会社 混合ガス供給装置、金属窒化膜の製造装置、及び金属窒化膜の製造方法
TW202201602A (zh) 2020-05-29 2022-01-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202218133A (zh) 2020-06-24 2022-05-01 荷蘭商Asm Ip私人控股有限公司 形成含矽層之方法
TW202217953A (zh) 2020-06-30 2022-05-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
KR20220010438A (ko) 2020-07-17 2022-01-25 에이에스엠 아이피 홀딩 비.브이. 포토리소그래피에 사용하기 위한 구조체 및 방법
TW202204662A (zh) 2020-07-20 2022-02-01 荷蘭商Asm Ip私人控股有限公司 用於沉積鉬層之方法及系統
TW202212623A (zh) 2020-08-26 2022-04-01 荷蘭商Asm Ip私人控股有限公司 形成金屬氧化矽層及金屬氮氧化矽層的方法、半導體結構、及系統
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
TW202229613A (zh) 2020-10-14 2022-08-01 荷蘭商Asm Ip私人控股有限公司 於階梯式結構上沉積材料的方法
KR20220053482A (ko) 2020-10-22 2022-04-29 에이에스엠 아이피 홀딩 비.브이. 바나듐 금속을 증착하는 방법, 구조체, 소자 및 증착 어셈블리
TW202223136A (zh) 2020-10-28 2022-06-16 荷蘭商Asm Ip私人控股有限公司 用於在基板上形成層之方法、及半導體處理系統
TW202235675A (zh) 2020-11-30 2022-09-16 荷蘭商Asm Ip私人控股有限公司 注入器、及基板處理設備
US11946137B2 (en) 2020-12-16 2024-04-02 Asm Ip Holding B.V. Runout and wobble measurement fixtures
TW202231903A (zh) 2020-12-22 2022-08-16 荷蘭商Asm Ip私人控股有限公司 過渡金屬沉積方法、過渡金屬層、用於沉積過渡金屬於基板上的沉積總成
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
USD1023959S1 (en) 2021-05-11 2024-04-23 Asm Ip Holding B.V. Electrode for substrate processing apparatus
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate

Family Cites Families (13)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US3924024A (en) * 1973-04-02 1975-12-02 Ncr Co Process for fabricating MNOS non-volatile memories
US5037514A (en) * 1986-01-06 1991-08-06 Semiconductor Energy Laboratory Co., Ltd. Silicon oxide depositing method
ES2006119A6 (es) * 1988-03-24 1989-04-01 Union Explosivos Rio Tinto Procedimiento de obtencion de nitruro de silicio.
US5300322A (en) * 1992-03-10 1994-04-05 Martin Marietta Energy Systems, Inc. Molybdenum enhanced low-temperature deposition of crystalline silicon nitride
US5981404A (en) * 1996-11-22 1999-11-09 United Microelectronics Corp. Multilayer ONO structure
US6090442A (en) * 1997-04-14 2000-07-18 University Technology Corporation Method of growing films on substrates at room temperatures using catalyzed binary reaction sequence chemistry
JPH1174485A (ja) * 1997-06-30 1999-03-16 Toshiba Corp 半導体装置およびその製造方法
KR100269328B1 (ko) * 1997-12-31 2000-10-16 윤종용 원자층 증착 공정을 이용하는 도전층 형성방법
KR100275738B1 (ko) * 1998-08-07 2000-12-15 윤종용 원자층 증착법을 이용한 박막 제조방법
JP4021593B2 (ja) * 1998-09-25 2007-12-12 株式会社東芝 半導体装置およびその製造方法
JP2001168092A (ja) * 1999-01-08 2001-06-22 Toshiba Corp 半導体装置およびその製造方法
KR20010017820A (ko) * 1999-08-14 2001-03-05 윤종용 반도체 소자 및 그 제조방법
KR20020065245A (ko) * 2001-02-06 2002-08-13 주식회사 하이닉스반도체 피이에이엘디법을 이용한 박막 증착방법

Cited By (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US7468311B2 (en) 2003-09-30 2008-12-23 Tokyo Electron Limited Deposition of silicon-containing films from hexachlorodisilane
CN102047386B (zh) * 2008-06-03 2013-06-19 气体产品与化学公司 含硅薄膜的低温沉积

Also Published As

Publication number Publication date
US20020164890A1 (en) 2002-11-07
KR20020085487A (ko) 2002-11-16
KR100443085B1 (ko) 2004-08-04
US6528430B2 (en) 2003-03-04
DE10123858B4 (de) 2006-03-23
JP2002343793A (ja) 2002-11-29
DE10123858A1 (de) 2002-11-28
JP3602072B2 (ja) 2004-12-15

Similar Documents

Publication Publication Date Title
TW483054B (en) A method of forming silicon containing thin films by atomic layer deposition utilizing Si2Cl6 and NH3
JP7092709B2 (ja) ケイ素含有膜の高温原子層堆積
US6391803B1 (en) Method of forming silicon containing thin films by atomic layer deposition utilizing trisdimethylaminosilane
US20220076946A1 (en) FORMATION OF SiOCN THIN FILMS
US7125815B2 (en) Methods of forming a phosphorous doped silicon dioxide comprising layer
KR101427142B1 (ko) 금속 규산염 막의 원자층 증착
KR100560654B1 (ko) 질화실리콘막을 형성을 위한 질소화합물 및 이를 이용한질화실리콘 막의 형성방법
TW201111540A (en) Boron film interface engineering
KR20090016403A (ko) 실리콘 산화막 증착 방법
US9431238B2 (en) Reactive curing process for semiconductor substrates
JP2016500762A (ja) シリコン含有薄膜の製造方法
JP7256263B2 (ja) ケイ素含有膜の高温原子層堆積
JP4032889B2 (ja) 絶縁膜の形成方法
JP2004186210A (ja) 窒素含有ケイ素化合物膜の形成方法

Legal Events

Date Code Title Description
GD4A Issue of patent certificate for granted invention patent