JP3602072B2 - ヘキサクロロジシランおよびアンモニアを用いた原子層蒸着によるシリコン含有固体薄膜の製造方法 - Google Patents

ヘキサクロロジシランおよびアンモニアを用いた原子層蒸着によるシリコン含有固体薄膜の製造方法 Download PDF

Info

Publication number
JP3602072B2
JP3602072B2 JP2001174015A JP2001174015A JP3602072B2 JP 3602072 B2 JP3602072 B2 JP 3602072B2 JP 2001174015 A JP2001174015 A JP 2001174015A JP 2001174015 A JP2001174015 A JP 2001174015A JP 3602072 B2 JP3602072 B2 JP 3602072B2
Authority
JP
Japan
Prior art keywords
silicon
chamber
reactant
solid material
substrate
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Expired - Fee Related
Application number
JP2001174015A
Other languages
English (en)
Other versions
JP2002343793A (ja
Inventor
榮 寛 金
泳 旭 朴
周 遠 李
東 燦 金
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Samsung Electronics Co Ltd
Original Assignee
Samsung Electronics Co Ltd
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Samsung Electronics Co Ltd filed Critical Samsung Electronics Co Ltd
Publication of JP2002343793A publication Critical patent/JP2002343793A/ja
Application granted granted Critical
Publication of JP3602072B2 publication Critical patent/JP3602072B2/ja
Anticipated expiration legal-status Critical
Expired - Fee Related legal-status Critical Current

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/0228Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition deposition by cyclic CVD, e.g. ALD, ALE, pulsed CVD
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/20Deposition of semiconductor materials on a substrate, e.g. epitaxial growth solid phase epitaxy
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/34Nitrides
    • C23C16/345Silicon nitride
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45527Atomic layer deposition [ALD] characterized by the ALD cycle, e.g. different flows or temperatures during half-reactions, unusual pulsing sequence, use of precursor mixtures or auxiliary reactants or activations
    • C23C16/45536Use of plasma, radiation or electromagnetic fields
    • C23C16/45542Plasma being used non-continuously during the ALD reactions
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45553Atomic layer deposition [ALD] characterized by the use of precursors specially adapted for ALD
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/0217Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material being a silicon nitride not containing oxygen, e.g. SixNy or SixByNz
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/314Inorganic layers
    • H01L21/318Inorganic layers composed of nitrides
    • H01L21/3185Inorganic layers composed of nitrides of siliconnitrides
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/02164Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material being a silicon oxide, e.g. SiO2
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02205Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition
    • H01L21/02208Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si
    • H01L21/02211Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si the compound being a silane, e.g. disilane, methylsilane or chlorosilane
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/02274Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition in the presence of a plasma [PECVD]
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10STECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10S438/00Semiconductor device manufacturing: process
    • Y10S438/971Stoichiometric control of host substrate composition

Landscapes

  • Chemical & Material Sciences (AREA)
  • Engineering & Computer Science (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Physics & Mathematics (AREA)
  • General Chemical & Material Sciences (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Manufacturing & Machinery (AREA)
  • Organic Chemistry (AREA)
  • General Physics & Mathematics (AREA)
  • Computer Hardware Design (AREA)
  • Materials Engineering (AREA)
  • Mechanical Engineering (AREA)
  • Metallurgy (AREA)
  • Inorganic Chemistry (AREA)
  • Electromagnetism (AREA)
  • Plasma & Fusion (AREA)
  • Chemical Vapour Deposition (AREA)
  • Formation Of Insulating Films (AREA)

Description

【0001】
【発明の属する技術分野】
本発明は、原子層蒸着によるSi薄膜形成に関する。特に本発明は、原子層蒸着方法により、反応物質としてSiClおよびNH、または、SiClおよびNHプラズマを用いてSi薄膜を形成する方法に関する。
【0002】
【従来の技術】
Si薄膜は、半導体素子製造における重要技術である。Si/SiOインターフェースにおけるSi薄膜は、インターフェーストラップを減少させ、ホットキャリアイミューニティーを改善する。従ってSi薄膜は、従来のSiOゲート酸化物の信頼性およびパフォーマンスを向上させる。SiOゲートインターフェースにおけるSi薄膜は特に、アルカリイオンに対する優れた拡散バリアを提供する。超薄膜素子において、Si薄膜はSiOより高い誘電率を提供する。さらにSi薄膜は、DRAMSやその他の素子において、高いアスペクト比を有する絶縁層として非常に有用である。
【0003】
そこで近年、成長速度、サーマルバジェット、パターンローディング、純度、厚さの均一度に関して良好な特徴を示し、高いアスペクト比特性を有するSi薄膜を形成する方法が求められている。
【0004】
例えば、化学気相蒸着(CVD)、減圧化学気相蒸着(LPCVD)、プラズマ気相蒸着(PECVD)のような積層方法を、Si薄膜の形成に利用することができる。しかしながら、CVDによる方法で半導体素子を製造した場合、Si薄膜を含むことにより得られる有用性を制限することがある。典型的なCVDにおいて、SiN薄膜は比較的高い温度で積層される。しかしながら、高温での積層は素子へ悪影響を及ぼす可能性があるため、低い温度で工程を実施することがより望ましい。また、CVDによって積層されるSiN薄膜は、幾何学的な妨害要因を生じることがある。これは、素子表面にCVDによって積層されるSiN薄膜の厚さが、ばらつくことを意味する。このばらつきは、表面上に稠密にパッキングされた薄膜の厚さが、それほど稠密でないところより薄くなるためである。これはパターンローディング効果として知られている。
【0005】
さらに、LPCVDは次のような欠点を有している。従来のLPCVDにより製造された薄膜は高い水素含量を示し、ステップカバレージ(step coverage)が良好ではない。さらに薄膜の成長速度が比較的遅いために、所望の厚さまで成長させるには比較的長時間を必要とする。さらに、工程時間が長くなることによって基板が長時間高温に晒されるために、得られる薄膜は高いサーマルバジェットを有するという問題がある。
【0006】
近年、CVDによる製造方法として、原子層蒸着(ALD;Atomic Layer Deposition)が提案されている。ALDとは、原子の表面運動体系(surface kinetic regime)による表面制御工程のことであり、特に、基板表面上に2次元的積層(two−dimensional layer−by−layer deposition)を形成する技術のことである。ジクロロシラン(DCS)およびNHプラズマを使用するALD積層方法でSi薄膜を形成する例は、Goto等によって開示されている(Goto et al., Appl. Surf. Sci., 112, 75−81(1997); Appl. Phys. Lett. 68(23), 3257−9(1996))。しかしこの方法で製造される薄膜は、不純物である塩素を0.5%程度含み、かつ酸素を許容できないほど多く含むという問題がある。さらにSiおよびNがSi:N=41:37の成分比で結合するために、化学量論的なSi薄膜を形成できない。また薄膜の成長速度が1サイクル(300秒)あたり0.91Åと遅く、工業的生産に不利である。
【0007】
一方、SiClおよびNHの反応を利用してSi薄膜を形成するALD方法が、クラウス等(Klaus, et al.)により開示されている[米国特許第6,090,442号、およびSurf.Sci., 418, L14−L19 (1998)を参照]。クラウスの方法による薄膜は、Si:N成分比が1:1.39であり、塩素、水素および酸素含量が適切に低いことから、上記Gotoの方法より優れている。しかし、薄膜の成長速度が1サイクル(10分)あたり2.45Åであり、これも工業的生産に不利である。
【0008】
加えて、ALDによるSi薄膜の積層にSiCl(ヘキサクロロジシラン;HCD)およびNを使用する方法が提案されている[Appl. Surf. Sci., 112, 198−203 (1997)を参照]。この方法は、塩素および水素含量の含量は適切であるが、酸素含量が許容できないほど高い。従ってこの方法による薄膜もその特性の面で好ましくない。
【0009】
【発明が解決しようとする課題】
本発明は、上記問題点に鑑みなされたものである。従って本発明の目的は、サーマルバジェットが低く、ステップカバレージに優れ、パターンローディング効果がなく、SiのSi:N比が一定であり、優れた厚さ制御および均一度を実現でき、微細粒子の存在が最小であり、不純物の含量が少なく、薄膜成長速度が工業的に十分実施可能な、Si薄膜の製造方法を提供することである。
【0010】
【課題を解決するための手段】
すなわち本発明は、下記のとおりである。
【0011】
(1)チャンバ内に基板を設置する段階(a)と、
前記チャンバ内にSiClを含む第1反応物質を導入する段階(b)と、
前記基板上に、前記第1反応物質の一部を化学吸着させ、かつ他の一部を物理吸着させる段階(c)と、
前記チャンバから、段階(c)で化学吸着しなかった反応物質を除去する段階(d)と、
前記チャンバ内にNHを含む第2反応物質を導入する段階(e)と、
前記基板上に、前記第2反応物質の一部と、化学吸着した前記第1反応物質とを化学的に反応させ、シリコンを含む固体物質を形成する段階(f)と、
前記チャンバから、前記第2反応物質の未反応部分を除去する段階(g)と
を含むことを特徴とする、シリコン含有固体薄膜の製造方法。
【0012】
(2)段階(f)における前記シリコンを含む固体物質は、Siである、(1)に記載の方法。
【0013】
(3)段階(f)における前記シリコンを含む固体物質は、Si薄膜である、(1)に記載の方法。
【0014】
(4)段階(f)における前記シリコンを含む固体物質のN/Si成分比が1〜1.6である、(1)〜(3)のいずれか一つに記載の方法。
【0015】
(5)前記基板を350〜700℃で加熱する段階をさらに含む、(1)〜(4)のいずれか一つに記載の方法。
【0016】
(6)前記チャンバ内の絶対圧力を0.01〜100torrの範囲に維持する段階をさらに含む、(1)〜(5)のいずれか一つに記載の方法。
【0017】
(7)前記チャンバ内の絶対圧力を0.01〜100torrの範囲に維持する、(1)〜(5)のいずれか一つに記載の方法。
【0018】
(8)前記段階の少なくとも一つの段階を実施している間にチャンバ内の圧力を変化させる段階をさらに含む、(1)〜(7)のいずれか一つに記載の方法。
【0019】
(9)段階(f)における前記シリコンを含む固体物質は、シリコン物質に対してドライエッチング選択比を有するアクティブマスク窒化物である、(1)〜(8)のいずれか一つに記載の方法。
【0020】
(10)段階(f)における前記シリコンを含む固体物質は、WSiおよびドープされたポリシリコンからなる群より選択されるゲート物質に対して、ドライエッチング選択比を有するゲートマスク窒化物である、(1)〜(8)のいずれか一つに記載の方法。
【0021】
(11)段階(f)における前記シリコンを含む固体物質は、WおよびTi/TiNからなる群より選択される導電性物質に対して、ドライエッチング選択比を有するビットラインマスク窒化物である、(1)〜(8)のいずれか一つに記載の方法。
【0022】
(12)段階(f)における前記シリコンを含む固体物質は、化学機械研磨阻止膜である、(1)〜(8)のいずれか一つに記載の方法。
【0023】
(13)段階(f)における前記シリコンを含む固体物質は、SiOに対して、ドライエッチング選択比を有する絶縁層スペーサである、(1)〜(8)のいずれか一つに記載の方法。
【0024】
(14)段階(f)における前記シリコンを含む固体物質は、半導体素子のSiOに対して、HFを含む溶液によるウエットエッチング選択比を有するウエットエッチングストッパーである、(1)〜(8)のいずれか一つに記載の方法。
【0025】
(15)段階(f)における前記シリコンを含む固体物質は、半導体素子のゲート絶縁体である、(1)〜(8)のいずれか一つに記載の方法。
【0026】
(16)段階(f)における前記シリコンを含む固体物質は、半導体素子キャパシタのストレージノードとTa層との間に形成される層である、(1)〜(8)のいずれか一つに記載の方法。
【0027】
(17)段階(f)における前記シリコンを含む固体物質は、半導体素子キャパシタの絶縁層である、(1)〜(8)のいずれか一つに記載の方法。
【0028】
(18)段階(f)における前記シリコンを含む固体物質は、半導体素子のSTIライナーである、(1)〜(8)のいずれか一つに記載の方法。
【0029】
(19) 段階(e)における前記第2反応物質に含まれるNHは活性化されたNHを含む、(1)〜(18)のいずれか一つに記載の方法。
【0030】
(20)リモートプラズマ方法を行う段階をさらに含み、前記リモートプラズマ方法のパワーが100〜300ワットである、(1)〜(19)のいずれか一つに記載の方法。
【0031】
(21)段階(b)〜(g)を少なくとも2回繰り返すことを特徴とする、(1)〜(20)のいずれか一つに記載の方法。
【0032】
(22)チャンバ内に基板を設置する段階(a)と、
前記チャンバ内にSi化合物およびCl化合物のそれぞれ一種以上を含む第1反応物質を導入する段階(b)と、
前記基板上に、前記第1反応物質の一部を化学吸着させ、かつ他の一部を物理吸着させる段階(c)と、
前記チャンバから、段階(c)で化学吸着しなかった反応物質を除去する段階(d)と、
前記チャンバ内にNHを含む第2反応物質を導入する段階(e)と、
前記基板上に、前記第2反応物質の一部と、化学吸着した前記第1反応物質とを化学的に反応させ、シリコンを含む固体物質を形成する段階(f)と、
前記チャンバから、前記第2反応物質の未反応部分を除去する段階(g)と
を含むことを特徴とする、シリコン含有固体薄膜の製造方法。
【0033】
(23)段階(f)における前記シリコンを含む固体物質は、Siである、(22)に記載の方法。
【0034】
(24)段階(f)における前記シリコンを含む固体物質は、Si薄膜である、(22)に記載の方法。
【0035】
(25)段階(f)における前記シリコンを含む固体物質のN/Si成分比が1〜1.6である、(22)〜(25)のいずれか一つに記載の方法。
【0036】
(26)前記基板を350〜700℃で加熱する段階をさらに含む、(22)〜(25)のいずれか一つに記載の方法。
【0037】
(27)前記チャンバ内の絶対圧力を0.01〜100torrの範囲に維持する段階をさらに含む、(22)〜(26)のいずれか一つに記載の方法。
【0038】
(28)前記チャンバ内の絶対圧力を0.01〜100torrの範囲に維持する、(22)〜(26)のいずれか一つに記載の方法。
【0039】
(29)前記段階の少なくとも一つの段階を実施している間にチャンバ内の圧力を変化させる段階をさらに含む、(22)〜(28)のいずれか一つに記載の方法。
【0040】
(30)段階(f)における前記シリコンを含む固体物質は、シリコン物質に対してドライエッチング選択比を有するアクティブマスク窒化物である、(22)〜(29)のいずれか一つに記載の方法。
【0041】
(31)段階(f)における前記シリコンを含む固体物質は、WSiおよびドープされたポリシリコンからなる群より選択されるゲート物質に対して、ドライエッチング選択比を有するゲートマスク窒化物である、(22)〜(29)のいずれか一つに記載の方法。
【0042】
(32)段階(f)における前記シリコンを含む固体物質は、WおよびTi/TiNからなる群より選択される導電性物質に対して、ドライエッチング選択比を有するビットラインマスク窒化物である、(22)〜(29)のいずれか一つに記載の方法。
【0043】
(33)段階(f)における前記シリコンを含む固体物質は、化学機械研磨阻止膜である、(22)〜(29)のいずれか一つに記載の方法。
【0044】
(34)段階(f)における前記シリコンを含む固体物質は、SiOに対して、ドライエッチング選択比を有する絶縁層スペーサである、(22)〜(29)のいずれか一つに記載の方法。
【0045】
(35)段階(f)における前記シリコンを含む固体物質は、半導体素子のSiOに対して、HFを含む溶液によるウエットエッチング選択比を有するウエットエッチングストッパーである、(22)〜(29)のいずれか一つに記載の方法。
【0046】
(36)段階(f)における前記シリコンを含む固体物質は、半導体素子のゲート絶縁体である、(22)〜(29)のいずれか一つに記載の方法。
【0047】
(37)段階(f)における前記シリコンを含む固体物質は、半導体素子キャパシタのストレージノードとTa層との間に形成される層である、(22)〜(29)のいずれか一つに記載の方法。
【0048】
(38)段階(f)における前記シリコンを含む固体物質は、半導体素子キャパシタの絶縁層である、(22)〜(29)のいずれか一つに記載の方法。
【0049】
(39)段階(f)における前記シリコンを含む固体物質は、半導体素子のSTIライナーである、(22)〜(29)のいずれか一つに記載の方法。
【0050】
(40)段階(e)における前記第2反応物質に含まれるNHは活性化されたNHを含む、(22)〜(39)のいずれか一つに記載の方法。
【0051】
(41)リモートプラズマ方法を行う段階をさらに含み、前記リモートプラズマ方法のパワーが100〜300ワットである、(22)〜(40)のいずれか一つに記載の方法。
【0052】
(42)段階(b)〜(f)を少なくとも2回繰り返すことを特徴とする、(22)〜(41)のいずれか一つに記載の方法。
【0053】
(43)チャンバ内に基板を設置する段階(a)と、
前記チャンバ内にSiClを含む第1反応物質を導入する段階(b)と、
前記第1反応物質を導入する途中に前記第1反応物質の供給にSiClを添加する段階(c)と、
前記基板上に、前記SiClおよび前記SiClの一部を化学吸着させる段階(d)と、
前記チャンバから、段階(d)で化学吸着しなかった反応物質を除去する段階(e)と、
前記チャンバ内にNHを含む第2反応物質を導入する段階(f)と、
前記基板上に、前記第2反応物質の一部と、化学吸着した前記第1反応物質とを化学的に反応させ、シリコンを含む固体物質を形成する段階(g)と、
前記チャンバから、前記第2反応物質の未反応部分を除去する段階(h)と
を含むことを特徴とする、シリコン含有固体薄膜の製造方法。
【0054】
(44)段階(b)〜(h)を少なくとも2回繰り返すことを特徴とする、(43)に記載の方法。
【0055】
(45)段階(f)における前記第2反応物質に含まれるNHは活性化されたNHを含む、(43)または(44)に記載の方法。
【0056】
当該方法により形成された薄膜は、優れたステップカバレージを有し、パターンローディング効果がなく、そして優れた厚さ制御および均一度を有している。さらに当該方法は、薄膜または固体物質としてのSiを形成する方法として、低いサーマルバジェットおよび高い成長速度を示す。
【0057】
【発明の実施の形態】
以下、図面を参照して本発明の望ましい実施例をより詳細に説明する。
【0058】
図1〜5は、本発明による原子層蒸着を利用してSiの薄膜を形成するための工程段階を説明する図面である。図6は、本発明による薄膜製造方法に使用される薄膜製造装置を示す概略的な構成図である。図7は、本発明による薄膜製造方法を説明するためのフローチャートである。
【0059】
図6の装置概略図および図7のフローチャートを参照しながら、本発明の一実施形態における薄膜形成工程(図1〜5)を説明する。
【0060】
まずシリコン等の基板1をチャンバ3(図6)内に設置する(ステップ100)。続いて、チャンバ3を減圧する。ここでチャンバの絶対圧力は、好ましくは0.01〜100torrである。ここで絶対圧力が上記範囲を外れる場合、目的とする薄膜が得られない恐れがある。
【0061】
次に基板1を、好ましくは350〜700℃の範囲に加熱する。ここで温度が上記範囲を外れる場合、目的とする薄膜が得られない恐れがある。
【0062】
次に第1反応物質であるSiCl(ヘキサクロロジシラン)を含むガスストリーム2を、500sccmでチャンバ3内に30秒間導入する(ステップ105)。SiClはAr等の不活性ガスのキャリアガスを用いて導入され得る。
【0063】
ここで、SiClを含むガスストリームにSiClを添加して、上記同様にチャンバに導入してもよい。
【0064】
さらに、SiClを含むガスストリームをチャンバ3に導入し、このストリーム供給が維持されている間にSiClを含むガスストリームを追加導入してもよい。ここでSiClを含むガスストリームは、チャンバ3内に導入する前にSiClを含むガスストリームと混合してチャンバに導入してもよいし、または、別々かつ同時期に導入されてもよい。
【0065】
ガスストリームの導入中に、SiCl分子(および、場合によってSiCl分子も含む)の一部が化学吸着により基板1表面上に層4を形成する。さらにSiCl分子(および、場合によってSiCl分子)の他の一部が、その上に物理的に接触(物理吸着)して、層4に緩く接触する。
【0066】
次にチャンバ3にNを5秒間パージし、5秒間減圧パージする。パージによって、SiClまたはSiClの化学的に吸着しなかった部分がチャンバ3から除去される(ステップ110)。結果的に、図2に示すように、基板上には化学吸着したSiCl(および場合によってはSiCl分子)の層4が形成される。
【0067】
次に第二反応物質であるNH(アンモニア)ストリーム6(図3)を、30秒間、2000sccmでチャンバ3内に導入する(ステップ115)。ここでNHはAr等の不活性ガスのキャリアガスを用いて導入され得る。その最中、チャンバ内の圧力を、再び上記範囲、具体的には2torrに維持し、基板の温度も上記範囲、具体的には550℃に維持する。これによって、NHの一部が基板に化学吸着したSiClと反応して、図4のようなSi層8を形成する。
【0068】
ここで好ましくは、上記NHは、リモートプラズマ発生装置により活性化されたNH、すなわちプラズマNHである(リモートプラズマ法)。この場合、プラズマNHはArキャリアストリームを伴いチャンバに導入される。NHプラズマは、リモートプラズマ発生装置によって発生し、約2000sccmでガスライン16およびシャワーヘッド15を通ってチャンバ3内に30秒間導入される。前記リモートプラズマ発生装置の稼動パワーは、好ましくは100〜300ワットである。ここで稼動パワーが上記範囲を外れる場合、目的とする薄膜が得られない恐れがある。
【0069】
次に、上記第2反応物質のNHガスストリームをチャンバ内に30秒間供給し、Nでチャンバを5秒間パージし、5秒間減圧パージすることによって、未反応の第2反応物質を除去する(ステップ120)。
【0070】
以上説明した、チャンバ3内にSiCl(および、場合によってはSiCl)を導入する段階、パージして吸着しなかった物質を除去する段階、チャンバ内にNHを導入する段階、および、パージして未反応物質を除去するする段階を1サイクルとし、Si層8が適切な厚さになるまで数サイクル、少なくとも2回繰り返すことが好ましい(ステップ105〜125)。図5には2サイクル繰り返した場合を示す。
【0071】
さらに他の実施形態を説明する。ここで上記実施形態と共通部分は、上記説明、例示が適用される。さらにチャンバ内の絶対圧力、基板の温度、プラズマ発生装置の出力についても、上記同様の範囲、説明が適用される。
【0072】
まず基板(例えばシリコン基板)をチャンバ3内に設置した後、チャンバ3を約2torrの圧力にし、ヒータ5を用いて約550℃にする(ステップ100)。この最中、チャンバ3内に第1反応物質であるSiClを含む反応ストリームを30秒間導入する(ステップ105)。詳しく説明すると、当該反応ストリーム6は、ガスソース19からArキャリアガスを、500sccmで、40℃で液状のSiClを含む第1バブラー12へ噴射することによってSiClを気化して得られる。得られたSiClおよびArからなる反応ストリーム6を、第1ガスライン13およびシャワーヘッド15を通って約30秒間チャンバ3内に導入する。
【0073】
次に、チャンバ3を5秒間Arでパージし、続いて例えばポンプ7のポンピング動作によって5秒間減圧パージする。このパージによって、化学吸着したSiCl層表面から、物理吸着した、すなわち化学的に吸着しなかったSiClが除去される(ステップ110)。
【0074】
さらに、Arキャリアガスストリームを用いた第2反応物質であるNHストリームを、約2000sccm、30秒間、ガスライン16およびシャワーヘッド15を通ってチャンバ3内に導入する(ステップ115)。この段階において基板は550℃を維持し、チャンバ圧力は約2torrで維持される。ここで一実施形態として、約25℃の温度で液状のNHを含むソース19を、第2バブラー14にArによりバブリングさせることで、第2反応物質であるNHを気化する。好ましい実施形態として、ArキャリアガスとともにプラズマNHをチャンバ3内に導入してもよい。この場合、NHプラズマは、リモートプラズマ発生装置(図示せず)によって発生し、約2000sccmでガスライン16およびシャワーヘッド15を通ってチャンバ3内に30秒間導入される。
【0075】
図3および図4に示すように、第2反応物質であるNHストリームの一部が、基板上に化学吸着したSiCl(他の実施例においてはSiClである)と反応してSi層を形成する。前記基板上にSiが形成されるとき、第2反応物質であるNHストリームの他の一部は、Si層上に物理吸着する。
【0076】
次に、5秒間のArストリームでチャンバをパージし、続けてポンプ7のポンピング動作によってチャンバを減圧パージすることにより、物理吸着した第2反応物質を除去する(ステップ120)。また、不活性ガス(ここではAr)を使用した第1のパージを実施しなくても、物理吸着した第2反応物質を減圧パージすることで除去可能である。
【0077】
次に、未反応のNHをパージして除去した後、Si薄膜の厚さを測定する(ステップ125)。この厚さが、設定した厚さより薄い場合、ステップ105〜ステップ125を数サイクル実施して、設定した厚さに形成する。
【0078】
このようにして所望の薄膜を形成した後、チャンバ3の圧力および温度を常圧常温に戻すことで、製造工程が完了する(ステップ130)。
【0079】
このようにして得られた本発明のシリコンを含む固体物質のN/Si成分比が1〜1.6であることが好ましい。ここで成分比が上記範囲を外れると所望の薄膜が得られない恐れがある。
【0080】
このようにして得られた本発明のシリコンを含む固体物質、特に、シリコン含有薄膜は、例えば、シリコン物質に対してドライエッチング選択比を有するアクティブマスク窒化物;WSiおよびドープされたポリシリコンからなる群より選択されるゲート物質に対して、ドライエッチング選択比を有するゲートマスク窒化物;WおよびTi/TiNからなる群より選択される導電性物質に対して、ドライエッチング選択比を有するビットラインマスク窒化物;化学機械研磨阻止膜;SiOに対して、ドライエッチング選択比を有する絶縁層スペーサ;半導体素子のSiOに対して、HFを含む溶液によるウエットエッチング選択比を有するウエットエッチングストッパー;半導体素子のゲート絶縁体;半導体素子キャパシタのストレージノードとTa層との間に形成される層;半導体素子キャパシタの絶縁層;半導体素子のSTIライナー、として用いることができる。
【0081】
【実施例】
以下、実施例を参照して本発明の望ましい製造方法を説明するが、これらの実施例は本発明を説明するために記載されたものであり、本発明の範囲を限定するものではない。
【0082】
[実施例1]
典型的な構成の積層チャンバ内に、シリコン基板を設置した。Nでパージすることにより、チャンバ内の圧力を2torrに維持した。基板を550℃で加熱し、その温度を安定化した。40℃で液状のSiClに、Arを500sccmでバブリングしてSiClを気化し、第1反応物質ストリームを調製し、これを30秒間チャンバ内に導入した。チャンバは、Nで5秒間パージし、続いて5秒間減圧パージした。次に、25℃で液状のNHに、Arを2000sccmでバブリングして気化し、第2反応物質ストリームを調製し、これを30秒間チャンバ内に導入した。次に、チャンバ内の圧力を2torrに維持し、基板を550℃に維持した。チャンバは、Nで5秒間パージし、続いて5秒間減圧パージした。
【0083】
上記段階を1サイクルを90秒未満で実行することによって、1サイクルあたり2.68Åの成長速度でSi薄膜が形成されることがわかった。このSi薄膜は、632.8nmの波長で屈折率が2.0を示し、化学量論的にSiであり、引張強さが5×1010ダイン/cmであった。ここで積層されたSiは、Si:N成分比が純粋なSiに相似しており、汚染レベルが顕著に低かった。具体的には水素含量が顕著に低く、酸素も検出されなかった。アスペクト比が5:1を有する構造でステップカバレージが98%以上を示し、パターンローディング効果が5%以下であった。
【0084】
さらに、他のシリコンウェーハを用いて上記同様の段階を繰り返し数サイクル実施したところ、図8に示すように、Si薄膜の厚さが上記段階のサイクル回数に比例していることがわかる。
【0085】
従って、実施例1において、1サイクルあたり2.68Åの成長速度で純粋なSi層が形成されることがわかった。Si層の形成は、従来に比べて低い550℃でなされ、従来に比べて短い90秒以下でなされる。
【0086】
[実施例2]
実施例2は、ArキャリアガスにNHプラズマを含む第2反応物質を使用した以外は、実施例1と同じ方法を用いた。
【0087】
シリコンウェーハを典型的な構成を有する積層チャンバ上に位置させた。チャンバはN用いてパージし、チャンバ内の圧力を2torrに維持した。基板は550℃で加熱し、安定化させる。実施例1の条件として、Arキャリアガスを使用してSiClを含む第1反応物質を生成させる。第1反応物質は、チャンバ内に500sccmで30秒間導入させる。チャンバをNで5秒間パージし、続いて5秒間減圧パージさせる。
【0088】
プラズマチャンバを使用して、ArキャリアガスにNHストリームを混合させ、Arキャリアを伴う第2反応物質であるNHプラズマストリームを調製した。プラズマチャンバは400ワットで稼動させた。得られたNHプラズマ/Arストリームを、2000sccmで30秒間チャンバ内に導入した。次にチャンバをNで5秒間パージし、続いて5秒間減圧パージした。
【0089】
この段階を1サイクルすることによって、1サイクルあたり2.51Åの成長速度で、Si薄膜が形成されることが分かった。この際、上記段階を1サイクルするのに要する時間は、90秒以下であった。得られたSi薄膜は、632.8nmの波長で屈折率1.95を示し、化学量論的にSiであることがわかった。このSi薄膜は引張強さが7×1010ダイン/cmであった。このSi薄膜の水素含量は3%以下であり、酸素は検出されなかった。アスペクト比が5:1である構造でステップカバレージは98%以上を示し、パターンローディング効果は5%以下を示した。
【0090】
実施例2で、他のシリコンウェーハを使用して上記段階を数サイクル実施したところ、図9に示すように、Si薄膜の厚さが上記段階のサイクル回数に比例していることが確認できた。
【0091】
[実施例3]
実施例3は、基板を400℃以下の温度で加熱すること以外は、実施例2と同じ方法を用いた。
【0092】
上記段階を1回行うことによって、1サイクルあたり1.23Åの成長速度で、Si薄膜を形成した。この際、上記段階を1サイクルするのに要する時間は、90秒以下であった。さらに当該薄膜は、波長632.8nmで屈折率が1.95を示し、化学量論的にSiであることを示した。さらに、引張強さが7×1010ダイン/cmであった。当該Si薄膜の水素含量は3%以下であり、酸素は検出されなかった。
【0093】
しかし400℃の温度での成長速度が550℃の温度での成長速度の1/2を示すことから、実施例3の方法はSi薄膜の成長速度が温度に依存することがわかる。さらに、温度が400℃より低い場合には、Si薄膜に水素が多く含有される結果になり得る。
【0094】
[実施例4]
典型的な構成の積層チャンバ内にシリコン基板を設置した。Nを用いてパージすることによって、チャンバ内の圧力を2torrに維持した。基板を550℃で加熱し、温度を安定化した。40℃で液状のSiClに、Arを500sccmでバブリングして、第1反応物質のストリームを調製した。20℃で液状のSiClにArを500sccmでバブリングして、SiおよびClを含むそれぞれ別個のストリームを調製した。これらのストリームを第1反応物質ストリームと同時に30秒間チャンバ内に導入させた後に、供給を中断した。その後に、チャンバをNで5秒間パージし、続いて5秒間減圧パージした。次に、25℃で液状のNHに、Arを2000sccmでバブリングして、第2反応物質ストリームを調製し、30秒間チャンバ内に導入させた。チャンバ内の圧力を2torrで維持させ、基板を550℃の温度により維持させる。次に、チャンバをNで5秒間パージし、続いて5秒間減圧パージさせた。
【0095】
上記段階を90秒未満で1サイクル実行することによって、1サイクルあたり2.72Åの成長速度で、Si薄膜を形成した。当該薄膜は、波長632.8nmで屈折率が2.01を示し、化学量論的にSiであることが示された。Si薄膜のSi:N成分比は、純粋なSiと相似しており、汚染レベルは顕著に低かった。さらに水素含量は1%以下であり、酸素も検出されなかった。アスペクト比が5:1を有する構造でステップカバレージは98%以上を示し、パターンローディング効果が5%以下を示した。
【0096】
実施例4において、上記段階を1サイクル実施することにより、1サイクルあたり2.72Åの成長速度で非常に純粋なSiを形成することができた。本実施例において、Si層の形成は、温度が550℃と低く、さらに1サイクルの時間が90秒未満と短かった。
【0097】
本実施例で、他のシリコンウェーハを用いて上記段階を数サイクル実施した。Si薄膜の厚さは、上記段階のサイクル数に比例していることがわかる。
【0098】
[実施例5]
典型的な構成の積層チャンバ内にシリコン基板を設置した。Nでパージすることによってチャンバ内の圧力を2torrに維持した。基板を550℃で加熱し、温度を安定化した。40℃で液状のSiClに、Arを500sccmでバブリングして、第1反応物質のストリームを調製した。20℃で液状のSiClに、Arを500sccmでバブリングして、SiおよびClを含むそれぞれ別個のストリームを調製した。前記それぞれ別個のストリームを第1反応物質ストリームと同時に30秒間チャンバ内に導入した後に、供給を中断した。その後、チャンバをNで5秒間パージし、続いて5秒間減圧パージした。
【0099】
プラズマチャンバで、ArキャリアガスにNHストリームを導入して、Arキャリアを伴うNHプラズマの第2反応物質ストリームを調製した。プラズマチャンバは、400ワットで稼動させた。NHプラズマ/Arストリームを、2000sccmで30秒間チャンバ内に導入した。チャンバを、Nで5秒間パージし、続いて5秒間減圧パージした。
【0100】
上記段階を90秒未満で1サイクル実行することによって、1サイクルあたり2.54Åの成長速度で、Si薄膜を形成した。当該薄膜は、波長632.8nmで屈折率が1.96を示し、薄膜が化学量論的Siであることが示された。Siは、Si:N成分比が純粋なSiに相似しており、さらに、水素含量が2.5%以下であり、酸素が検出されなかった。アスペクト比が5:1を有する構造でステップカバレージは98%以上を示し、パターンローディング効果が5%以下を示した。
【0101】
本実施例で、他のシリコンウェーハを用いて上記段階を数サイクル実施した。Si薄膜の厚さは、上記段階のサイクル数に比例していた。実施例5において、上記段階を1サイクル実施することにより、1サイクルあたり2.54Åの成長速度で非常に純粋なSi薄膜を形成することができた。本実施例において、Si層の形成は、温度が550℃と低く、さらに1サイクルの時間が90秒未満と短かった。
【0102】
[実施例6]
典型的な構成の積層チャンバ内にシリコン基板を設置した。Nでパージすることによって、チャンバ内の圧力を2torrに維持した。基板を550℃で加熱し、温度を安定化した。40℃で液状のSiClに、Arを500sccmでバブリングして、第1反応物質のストリームを調製した。20℃で液状のSiClにArを500sccmでバブリングして、SiおよびClを含むそれぞれ別個のストリームを調製した。前記SiClを含むストリームを30秒間チャンバ内に導入させた。30秒のうち、初めの15秒はチャンバ内にSiClを含むストリームが供給され、後の15秒はSiClを含むストリームおよびSiClを含むストリームが、チャンバ内へ同時に供給され、各ストリームの供給が止められた。チャンバをNで5秒間パージし、続いて5秒間減圧パージした。次に25℃で液状のNHに、Arを2000sccmでバブリングし、第2反応物質のストリームを調製した。第2反応物質のストリームを30秒間チャンバ内に導入し、この際、チャンバ内の圧力を2torrで維持するようにパージし、基板を550℃で加熱し、温度を安定化した。チャンバをNで5秒間パージし、続いて5秒間減圧パージした。
【0103】
上記段階を90秒未満で1サイクル実行することによって、1サイクルあたり2.70Åの成長速度で、Si薄膜を形成した。当該薄膜は、波長632.8nmで屈折率が2.00を示し、化学量論的にSiであることが示された。Si薄膜のSi:N成分比は、純粋なSiと相似しており、汚染レベルは顕著に低かった。さらに水素含量は1%以下であり、酸素も検出されなかった。アスペクト比が5:1を有する構造でステップカバレージは98%以上を示し、パターンローディング効果が5%以下を示した。
【0104】
本実施例で、他のシリコンウェーハを用いて上記段階を数サイクル実施した。Si薄膜の厚さは、上記段階のサイクル数に比例していた。実施例6では、上記段階を1サイクル実施することにより、1サイクルあたり2.70Åの成長速度で非常に純粋なSiを形成することができた。Si層の形成は、温度が550℃と低く、さらに1サイクルの時間が90秒未満と短かった。
【0105】
[実施例7]
典型的な構成の積層チャンバ内にシリコン基板を設置した。Nを用いてパージすることによって、チャンバ内の圧力を2torrに維持した。基板を550℃で加熱し、温度を安定化した。40℃で液状のSiClに、Arを500sccmでバブリングして、第1反応物質のストリームを調製した。20℃で液状のSiClに、Arを500sccmでバブリングして、SiおよびClを含むそれぞれ別個のストリームを調製した。前記SiClを含むストリームを30秒間チャンバ内に導入した。30秒のうち、初めの15秒はチャンバ内にSiClを含むストリームが供給され、後の15秒はSiClを含むストリームおよびSiClを含むストリームがチャンバ内に同時に供給し、各ストリームの供給を止めた。チャンバをNで5秒間パージし、続いて5秒間減圧パージした。次に、プラズマチャンバでArキャリアガスにNHストリームを導入して、Arキャリアを伴うNHプラズマの第2反応物質ストリームを調製した。プラズマチャンバは400ワットで稼動させた。NHプラズマ/Arストリームを、2000sccmで30秒間チャンバ内に導入した。チャンバをNで5秒間パージし、続いて5秒間減圧パージした。
【0106】
上記段階を90秒未満で1サイクル実行することによって、1サイクルあたり2.53Åの成長速度で、Si薄膜を形成した。当該薄膜は、波長632.8nmで屈折率が2.00を示し、化学量論的にSiであることが示された。Si薄膜のSi:N成分比は、純粋なSiと相似しており、汚染レベルは顕著に低かった。さらに水素含量は2.5%以下であり、酸素も検出されなかった。アスペクト比が5:1を有する構造でステップカバレージは98%以上を示し、パターンローディング効果が5%以下を示した。
【0107】
本実施例で、他のシリコンウェーハを用いて上記段階を数サイクル実施した。Si薄膜の厚さが上記段階のサイクル数に比例していた。実施例7において、上記段階を1サイクル実施することにより、1サイクルあたり2.53Åの成長速度で非常に純粋なSi薄膜を形成することができた。Si層の形成は、温度が550℃と低く、さらに1サイクルの時間が90秒未満と短かった。
【0108】
【発明の効果】
本発明の薄膜製造方法によれば、サーマルバジェットが低く、ステップカバレージが優れて、パターンローディング効果が少なく、SiのSi:N成分比が一定であり、優れた厚さ制御および均一度を有し、微細粒子の存在が最小であり、不純物の含量が少なく、薄膜成長速度が工業的に適用可能であるSi薄膜を容易に形成することができる。
【図面の簡単な説明】
【図1】本発明による原子層蒸着を利用してSiの薄膜を形成するための工程段階を説明する図面である。
【図2】本発明による原子層蒸着を利用してSiの薄膜を形成するための工程段階を説明する図面である。
【図3】本発明による原子層蒸着を利用してSiの薄膜を形成するための工程段階を説明する図面である。
【図4】本発明による原子層蒸着を利用してSiの薄膜を形成するための工程段階を説明する図面である。
【図5】本発明による原子層蒸着を利用してSiの薄膜を形成するための工程段階を説明する図面である。
【図6】本発明による薄膜製造方法に使用される薄膜製造装置を示す概略的な構成図である。
【図7】本発明による薄膜製造方法を説明するためのフローチャートである。
【図8】本発明の実施例1による製造方法を繰り返し数サイクル実施することによって形成される、Si薄膜の厚さを示すグラフである。
【図9】本発明の実施例2による製造方法を繰り返し数サイクル実施することによって形成される、Si薄膜の厚さを示すグラフである。
【符合の説明】
1 基板
2,6 ストリーム
3 チャンバ
4 化学吸着層
5 ヒータ
7 ポンプ
8 Si
12 第1バブラー
13,16 ガスライン
14 第2バブラー
15 シャワーヘッド
19 ソース

Claims (42)

  1. チャンバ内に基板を設置する段階(a)と、
    前記チャンバ内にSiClを含む第1反応物質を導入する段階(b)と、
    前記基板上に、前記第1反応物質の一部を化学吸着させ、かつ他の一部を物理吸着させる段階(c)と、
    前記チャンバから、段階(c)で化学吸着しなかった反応物質を除去する段階(d)と、
    前記チャンバ内に活性化されたNHを含む第2反応物質を導入する段階(e)と、
    前記基板上に、前記第2反応物質の一部と、化学吸着した前記第1反応物質とを化学的に反応させ、シリコンを含む固体物質を形成する段階(f)と、
    前記チャンバから、前記第2反応物質の未反応部分を除去する段階(g)とを含むことを特徴とする、シリコン含有固体薄膜の製造方法。
  2. 段階(f)における前記シリコンを含む固体物質は、Siである、請求項1に記載の方法。
  3. 段階(f)における前記シリコンを含む固体物質は、Si薄膜である、請求項1に記載の方法。
  4. 段階(f)における前記シリコンを含む固体物質のN/Si成分比が1〜1.6である、請求項1〜3のいずれか一項に記載の方法。
  5. 前記基板を350〜700℃で加熱する段階をさらに含む、請求項1〜4のいずれか一項に記載の方法。
  6. 前記チャンバ内の絶対圧力を0.01〜100torrの範囲に維持する段階をさらに含む、請求項1〜5のいずれか一項に記載の方法。
  7. 前記チャンバ内の絶対圧力を0.01〜100torrの範囲に維持する、請求項1〜5のいずれか一項に記載の方法。
  8. 段階(b)および段階(e)の圧力よりも、段階(c)および段階(g)の圧力を低くするものである、請求項1〜7のいずれか一項に記載の方法。
  9. 段階(f)における前記シリコンを含む固体物質は、シリコン物質に対してドライエッチング選択比を有するアクティブマスク窒化物である、請求項1〜8のいずれか一項に記載の方法。
  10. 段階(f)における前記シリコンを含む固体物質は、WSiおよびドープされたポリシリコンからなる群より選択されるゲート物質に対して、ドライエッチング選択比を有するゲートマスク窒化物である、請求項1〜8のいずれか一項に記載の方法。
  11. 段階(f)における前記シリコンを含む固体物質は、WおよびTi/TiNからなる群より選択される導電性物質に対して、ドライエッチング選択比を有するビットラインマスク窒化物である、請求項1〜8のいずれか一項に記載の方法。
  12. 段階(f)における前記シリコンを含む固体物質は、化学機械研磨阻止膜である、請求項1〜8のいずれか一項に記載の方法。
  13. 段階(f)における前記シリコンを含む固体物質は、SiOに対して、ドライエッチング選択比を有する絶縁層スペーサである、請求項1〜8のいずれか一項に記載の方法。
  14. 段階(f)における前記シリコンを含む固体物質は、半導体素子のSiOに対して、HFを含む溶液によるウエットエッチング選択比を有するウエットエッチングストッパーである、請求項1〜8のいずれか一項に記載の方法。
  15. 段階(f)における前記シリコンを含む固体物質は、半導体素子のゲート絶縁体である、請求項1〜8のいずれか一項に記載の方法。
  16. 段階(f)における前記シリコンを含む固体物質は、半導体素子キャパシタのストレージノードとTa層との間に形成される層である、請求項1〜8のいずれか一項に記載の方法。
  17. 段階(f)における前記シリコンを含む固体物質は、半導体素子キャパシタの絶縁層である、請求項1〜8のいずれか一項に記載の方法。
  18. 段階(f)における前記シリコンを含む固体物質は、半導体素子のSTIライナーである、請求項1〜8のいずれか一項に記載の方法。
  19. リモートプラズマ方法を行う段階をさらに含み、前記リモートプラズマ方法のパワーが300〜500ワットである、請求項1〜18のいずれか一項に記載の方法。
  20. 段階(b)〜(g)を少なくとも2回繰り返すことを特徴とする、請求項1〜19のいずれか一項に記載の方法。
  21. チャンバ内に基板を設置する段階(a)と、
    前記チャンバ内にSi化合物およびCl化合物のそれぞれ一種以上を含む第1反応物質を導入する段階(b)と、
    前記基板上に、前記第1反応物質の一部を化学吸着させ、かつ他の一部を物理吸着させる段階(c)と、
    前記チャンバから、段階(c)で化学吸着しなかった反応物質を除去する段階(d)と、
    前記チャンバ内に活性化されたNHを含む第2反応物質を導入する段階(e)と、
    前記基板上に、前記第2反応物質の一部と、化学吸着した前記第1反応物質とを化学的に反応させ、シリコンを含む固体物質を形成する段階(f)と、
    前記チャンバから、前記第2反応物質の未反応部分を除去する段階(g)とを含むことを特徴とする、シリコン含有固体薄膜の製造方法。
  22. 段階(f)における前記シリコンを含む固体物質は、Siである、請求項21に記載の方法。
  23. 段階(f)における前記シリコンを含む固体物質は、Si薄膜である、請求項21に記載の方法。
  24. 段階(f)における前記シリコンを含む固体物質のN/Si成分比が1〜1.6である、請求項21〜23のいずれか一項に記載の方法。
  25. 前記基板を350〜700℃で加熱する段階をさらに含む、請求項21〜24のいずれか一項に記載の方法。
  26. 前記チャンバ内の絶対圧力を0.01〜100torrの範囲に維持する段階をさらに含む、請求項21〜25のいずれか一項に記載の方法。
  27. 前記チャンバ内の絶対圧力を0.01〜100torrの範囲に維持する、請求項21〜25のいずれか一項に記載の方法。
  28. 段階(b)および段階(e)の圧力よりも、段階(c)および段階(g)の圧力を低くするものである、請求項21〜27のいずれか一項に記載の方法。
  29. 段階(f)における前記シリコンを含む固体物質は、シリコン物質に対してドライエッチング選択比を有するアクティブマスク窒化物である、請求項21〜28のいずれか一項に記載の方法。
  30. 段階(f)における前記シリコンを含む固体物質は、WSiおよびドープされたポリシリコンからなる群より選択されるゲート物質に対して、ドライエッチング選択比を有するゲートマスク窒化物である、請求項21〜28のいずれか一項に記載の方法。
  31. 段階(f)における前記シリコンを含む固体物質は、WおよびTi/TiNからなる群より選択される導電性物質に対して、ドライエッチング選択比を有するビットラインマスク窒化物である、請求項21〜28のいずれか一項に記載の方法。
  32. 段階(f)における前記シリコンを含む固体物質は、化学機械研磨阻止膜である、請求項21〜28のいずれか一項に記載の方法。
  33. 段階(f)における前記シリコンを含む固体物質は、SiOに対して、ドライエッチング選択比を有する絶縁層スペーサである、請求項21〜28のいずれか一項に記載の方法。
  34. 段階(f)における前記シリコンを含む固体物質は、半導体素子のSiOに対して、HFを含む溶液によるウエットエッチング選択比を有するウエットエッチングストッパーである、請求項21〜28のいずれか一項に記載の方法。
  35. 段階(f)における前記シリコンを含む固体物質は、半導体素子のゲート絶縁体である、請求項21〜28のいずれか一項に記載の方法。
  36. 段階(f)における前記シリコンを含む固体物質は、半導体素子キャパシタのストレージノードとTa層との間に形成される層である、請求項21〜28のいずれか一項に記載の方法。
  37. 段階(f)における前記シリコンを含む固体物質は、半導体素子キャパシタの絶縁層である、請求項21〜28のいずれか一項に記載の方法。
  38. 段階(f)における前記シリコンを含む固体物質は、半導体素子のSTIライナーである、請求項21〜28のいずれか一項に記載の方法。
  39. リモートプラズマ方法を行う段階をさらに含み、前記リモートプラズマ方法のパワーが300〜500ワットである、請求項21〜38のいずれか一項に記載の方法。
  40. 段階(b)〜(f)を少なくとも2回繰り返すことを特徴とする、請求項21〜39のいずれか一項に記載の方法。
  41. チャンバ内に基板を設置する段階(a)と、
    前記チャンバ内にSiClを含む第1反応物質を導入する段階(b)と、
    前記第1反応物質を導入する途中に前記第1反応物質にSiClを添加する段階(c)と、
    前記基板上に、前記SiClおよび前記SiClの一部を化学吸着させる段階(d)と、
    前記チャンバから、段階(d)で化学吸着しなかった反応物質を除去する段階(e)と、
    前記チャンバ内に活性化されたNHを含む第2反応物質を導入する段階(f)と、
    前記基板上に、前記第2反応物質の一部と、化学吸着した前記第1反応物質とを化学的に反応させ、シリコンを含む固体物質を形成する段階(g)と、
    前記チャンバから、前記第2反応物質の未反応部分を除去する段階(h)とを含むことを特徴とする、シリコン含有固体薄膜の製造方法。
  42. 段階(b)〜(h)を少なくとも2回繰り返すことを特徴とする、請求項41に記載の方法。
JP2001174015A 2001-05-01 2001-06-08 ヘキサクロロジシランおよびアンモニアを用いた原子層蒸着によるシリコン含有固体薄膜の製造方法 Expired - Fee Related JP3602072B2 (ja)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US09/847,161 US6528430B2 (en) 2001-05-01 2001-05-01 Method of forming silicon containing thin films by atomic layer deposition utilizing Si2C16 and NH3
US09/847,161 2001-05-01

Publications (2)

Publication Number Publication Date
JP2002343793A JP2002343793A (ja) 2002-11-29
JP3602072B2 true JP3602072B2 (ja) 2004-12-15

Family

ID=25299926

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2001174015A Expired - Fee Related JP3602072B2 (ja) 2001-05-01 2001-06-08 ヘキサクロロジシランおよびアンモニアを用いた原子層蒸着によるシリコン含有固体薄膜の製造方法

Country Status (5)

Country Link
US (1) US6528430B2 (ja)
JP (1) JP3602072B2 (ja)
KR (1) KR100443085B1 (ja)
DE (1) DE10123858B4 (ja)
TW (1) TW483054B (ja)

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US8929212B2 (en) 2008-12-25 2015-01-06 Nec Corporation Communication quality monitoring device, communication system, communication quality monitoring method and program thereof

Families Citing this family (410)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR100458982B1 (ko) * 2000-08-09 2004-12-03 주성엔지니어링(주) 회전형 가스분사기를 가지는 반도체소자 제조장치 및 이를이용한 박막증착방법
KR100418581B1 (ko) * 2001-06-12 2004-02-11 주식회사 하이닉스반도체 메모리 소자의 제조방법
US7374617B2 (en) * 2002-04-25 2008-05-20 Micron Technology, Inc. Atomic layer deposition methods and chemical vapor deposition methods
KR100468729B1 (ko) * 2002-04-25 2005-01-29 삼성전자주식회사 Hcd 소스를 이용하여 실리콘 산화막을 원자층 증착하는방법
KR100505668B1 (ko) * 2002-07-08 2005-08-03 삼성전자주식회사 원자층 증착 방법에 의한 실리콘 산화막 형성 방법
AU2003289764A1 (en) * 2002-12-09 2004-06-30 Asm America Inc. Method for forming a dielectric stack
US7084076B2 (en) * 2003-02-27 2006-08-01 Samsung Electronics, Co., Ltd. Method for forming silicon dioxide film using siloxane
JP4047766B2 (ja) 2003-05-21 2008-02-13 エルピーダメモリ株式会社 半導体装置の製造方法
US7378129B2 (en) * 2003-08-18 2008-05-27 Micron Technology, Inc. Atomic layer deposition methods of forming conductive metal nitride comprising layers
US7468311B2 (en) * 2003-09-30 2008-12-23 Tokyo Electron Limited Deposition of silicon-containing films from hexachlorodisilane
US20050109276A1 (en) * 2003-11-25 2005-05-26 Applied Materials, Inc. Thermal chemical vapor deposition of silicon nitride using BTBAS bis(tertiary-butylamino silane) in a single wafer chamber
KR100560654B1 (ko) * 2004-01-08 2006-03-16 삼성전자주식회사 질화실리콘막을 형성을 위한 질소화합물 및 이를 이용한질화실리콘 막의 형성방법
JP4669679B2 (ja) * 2004-07-29 2011-04-13 東京エレクトロン株式会社 窒化珪素膜の製造方法及び半導体装置の製造方法
US7628863B2 (en) * 2004-08-03 2009-12-08 Applied Materials, Inc. Heated gas box for PECVD applications
US7323400B2 (en) * 2004-08-30 2008-01-29 Micron Technology, Inc. Plasma processing, deposition and ALD methods
US20060084283A1 (en) * 2004-10-20 2006-04-20 Paranjpe Ajit P Low temperature sin deposition methods
US20060211248A1 (en) * 2005-02-25 2006-09-21 Brabant Paul D Purifier for chemical reactor
US8138104B2 (en) * 2005-05-26 2012-03-20 Applied Materials, Inc. Method to increase silicon nitride tensile stress using nitrogen plasma in-situ treatment and ex-situ UV cure
US8129290B2 (en) * 2005-05-26 2012-03-06 Applied Materials, Inc. Method to increase tensile stress of silicon nitride films using a post PECVD deposition UV cure
US7566655B2 (en) * 2005-05-26 2009-07-28 Applied Materials, Inc. Integration process for fabricating stressed transistor structure
US7732342B2 (en) * 2005-05-26 2010-06-08 Applied Materials, Inc. Method to increase the compressive stress of PECVD silicon nitride films
KR100648859B1 (ko) * 2005-06-07 2006-11-24 주식회사 하이닉스반도체 반도체 소자 제조 방법
US20070082507A1 (en) * 2005-10-06 2007-04-12 Applied Materials, Inc. Method and apparatus for the low temperature deposition of doped silicon nitride films
US7501355B2 (en) 2006-06-29 2009-03-10 Applied Materials, Inc. Decreasing the etch rate of silicon nitride by carbon addition
EP2049705A4 (en) * 2006-07-20 2014-10-29 Linde Inc IMPROVED METHODS FOR DEPOSITION OF ATOMIC LAYERS
US7692222B2 (en) * 2006-11-07 2010-04-06 Raytheon Company Atomic layer deposition in the formation of gate structures for III-V semiconductor
US20080145536A1 (en) * 2006-12-13 2008-06-19 Applied Materials, Inc. METHOD AND APPARATUS FOR LOW TEMPERATURE AND LOW K SiBN DEPOSITION
US8298628B2 (en) * 2008-06-02 2012-10-30 Air Products And Chemicals, Inc. Low temperature deposition of silicon-containing films
KR101266135B1 (ko) * 2008-06-03 2013-05-27 도쿄엘렉트론가부시키가이샤 실리콘 함유 막의 저온 증착
JP5665289B2 (ja) 2008-10-29 2015-02-04 株式会社日立国際電気 半導体装置の製造方法、基板処理方法および基板処理装置
US10378106B2 (en) 2008-11-14 2019-08-13 Asm Ip Holding B.V. Method of forming insulation film by modified PEALD
US9394608B2 (en) 2009-04-06 2016-07-19 Asm America, Inc. Semiconductor processing reactor and components thereof
US8802201B2 (en) 2009-08-14 2014-08-12 Asm America, Inc. Systems and methods for thin-film deposition of metal oxides using excited nitrogen-oxygen species
JP2011082493A (ja) 2009-09-14 2011-04-21 Hitachi Kokusai Electric Inc 半導体装置の製造方法及び基板処理装置
JP5467007B2 (ja) 2009-09-30 2014-04-09 株式会社日立国際電気 半導体装置の製造方法および基板処理装置
US9257274B2 (en) 2010-04-15 2016-02-09 Lam Research Corporation Gapfill of variable aspect ratio features with a composite PEALD and PECVD method
US20110256734A1 (en) 2010-04-15 2011-10-20 Hausmann Dennis M Silicon nitride films and methods
US8637411B2 (en) 2010-04-15 2014-01-28 Novellus Systems, Inc. Plasma activated conformal dielectric film deposition
US9997357B2 (en) 2010-04-15 2018-06-12 Lam Research Corporation Capped ALD films for doping fin-shaped channel regions of 3-D IC transistors
US9076646B2 (en) 2010-04-15 2015-07-07 Lam Research Corporation Plasma enhanced atomic layer deposition with pulsed plasma exposure
US9611544B2 (en) 2010-04-15 2017-04-04 Novellus Systems, Inc. Plasma activated conformal dielectric film deposition
US9390909B2 (en) 2013-11-07 2016-07-12 Novellus Systems, Inc. Soft landing nanolaminates for advanced patterning
US8956983B2 (en) 2010-04-15 2015-02-17 Novellus Systems, Inc. Conformal doping via plasma activated atomic layer deposition and conformal film deposition
US9373500B2 (en) 2014-02-21 2016-06-21 Lam Research Corporation Plasma assisted atomic layer deposition titanium oxide for conformal encapsulation and gapfill applications
US9892917B2 (en) 2010-04-15 2018-02-13 Lam Research Corporation Plasma assisted atomic layer deposition of multi-layer films for patterning applications
JP5687547B2 (ja) 2010-06-28 2015-03-18 株式会社日立国際電気 半導体装置の製造方法、基板処理方法および基板処理装置
US8524612B2 (en) 2010-09-23 2013-09-03 Novellus Systems, Inc. Plasma-activated deposition of conformal films
US9685320B2 (en) 2010-09-23 2017-06-20 Lam Research Corporation Methods for depositing silicon oxide
US8563443B2 (en) 2011-02-18 2013-10-22 Asm Japan K.K. Method of depositing dielectric film by ALD using precursor containing silicon, hydrocarbon, and halogen
US8329599B2 (en) 2011-02-18 2012-12-11 Asm Japan K.K. Method of depositing dielectric film by ALD using precursor containing silicon, hydrocarbon, and halogen
US8647993B2 (en) 2011-04-11 2014-02-11 Novellus Systems, Inc. Methods for UV-assisted conformal film deposition
US9312155B2 (en) 2011-06-06 2016-04-12 Asm Japan K.K. High-throughput semiconductor-processing apparatus equipped with multiple dual-chamber modules
US9793148B2 (en) 2011-06-22 2017-10-17 Asm Japan K.K. Method for positioning wafers in multiple wafer transport
US10364496B2 (en) 2011-06-27 2019-07-30 Asm Ip Holding B.V. Dual section module having shared and unshared mass flow controllers
US10854498B2 (en) 2011-07-15 2020-12-01 Asm Ip Holding B.V. Wafer-supporting device and method for producing same
US20130023129A1 (en) 2011-07-20 2013-01-24 Asm America, Inc. Pressure transmitter for a semiconductor processing environment
US9017481B1 (en) 2011-10-28 2015-04-28 Asm America, Inc. Process feed management for semiconductor substrate processing
US8592328B2 (en) 2012-01-20 2013-11-26 Novellus Systems, Inc. Method for depositing a chlorine-free conformal sin film
US8728955B2 (en) 2012-02-14 2014-05-20 Novellus Systems, Inc. Method of plasma activated deposition of a conformal film on a substrate surface
US8912101B2 (en) 2012-03-15 2014-12-16 Asm Ip Holding B.V. Method for forming Si-containing film using two precursors by ALD
US8946830B2 (en) 2012-04-04 2015-02-03 Asm Ip Holdings B.V. Metal oxide protective layer for a semiconductor device
US9558931B2 (en) 2012-07-27 2017-01-31 Asm Ip Holding B.V. System and method for gas-phase sulfur passivation of a semiconductor surface
US9659799B2 (en) 2012-08-28 2017-05-23 Asm Ip Holding B.V. Systems and methods for dynamic semiconductor process scheduling
US9021985B2 (en) 2012-09-12 2015-05-05 Asm Ip Holdings B.V. Process gas management for an inductively-coupled plasma deposition reactor
US9324811B2 (en) 2012-09-26 2016-04-26 Asm Ip Holding B.V. Structures and devices including a tensile-stressed silicon arsenic layer and methods of forming same
US10714315B2 (en) 2012-10-12 2020-07-14 Asm Ip Holdings B.V. Semiconductor reaction chamber showerhead
KR102207992B1 (ko) 2012-10-23 2021-01-26 램 리써치 코포레이션 서브-포화된 원자층 증착 및 등각막 증착
KR20140059107A (ko) 2012-11-07 2014-05-15 주식회사 유피케미칼 실리콘 질화물 박막 제조 방법
SG2013083241A (en) 2012-11-08 2014-06-27 Novellus Systems Inc Conformal film deposition for gapfill
SG2013083654A (en) 2012-11-08 2014-06-27 Novellus Systems Inc Methods for depositing films on sensitive substrates
US9640416B2 (en) 2012-12-26 2017-05-02 Asm Ip Holding B.V. Single-and dual-chamber module-attachable wafer-handling chamber
US9018108B2 (en) 2013-01-25 2015-04-28 Applied Materials, Inc. Low shrinkage dielectric films
US20160376700A1 (en) 2013-02-01 2016-12-29 Asm Ip Holding B.V. System for treatment of deposition reactor
US9589770B2 (en) 2013-03-08 2017-03-07 Asm Ip Holding B.V. Method and systems for in-situ formation of intermediate reactive species
US9484191B2 (en) 2013-03-08 2016-11-01 Asm Ip Holding B.V. Pulsed remote plasma method and system
JP6118197B2 (ja) * 2013-07-02 2017-04-19 東京エレクトロン株式会社 成膜方法
US8993054B2 (en) 2013-07-12 2015-03-31 Asm Ip Holding B.V. Method and system to reduce outgassing in a reaction chamber
US9018111B2 (en) 2013-07-22 2015-04-28 Asm Ip Holding B.V. Semiconductor reaction chamber with plasma capabilities
US9793115B2 (en) 2013-08-14 2017-10-17 Asm Ip Holding B.V. Structures and devices including germanium-tin films and methods of forming same
US9240412B2 (en) 2013-09-27 2016-01-19 Asm Ip Holding B.V. Semiconductor structure and device and methods of forming same using selective epitaxial process
US9905415B2 (en) 2013-10-03 2018-02-27 Versum Materials Us, Llc Methods for depositing silicon nitride films
US9556516B2 (en) 2013-10-09 2017-01-31 ASM IP Holding B.V Method for forming Ti-containing film by PEALD using TDMAT or TDEAT
US10179947B2 (en) 2013-11-26 2019-01-15 Asm Ip Holding B.V. Method for forming conformal nitrided, oxidized, or carbonized dielectric film by atomic layer deposition
US9214334B2 (en) 2014-02-18 2015-12-15 Lam Research Corporation High growth rate process for conformal aluminum nitride
US10683571B2 (en) 2014-02-25 2020-06-16 Asm Ip Holding B.V. Gas supply manifold and method of supplying gases to chamber using same
US9447498B2 (en) 2014-03-18 2016-09-20 Asm Ip Holding B.V. Method for performing uniform processing in gas system-sharing multiple reaction chambers
US10167557B2 (en) 2014-03-18 2019-01-01 Asm Ip Holding B.V. Gas distribution system, reactor including the system, and methods of using the same
US11015245B2 (en) 2014-03-19 2021-05-25 Asm Ip Holding B.V. Gas-phase reactor and system having exhaust plenum and components thereof
US9404587B2 (en) 2014-04-24 2016-08-02 ASM IP Holding B.V Lockout tagout for semiconductor vacuum valve
US10858737B2 (en) 2014-07-28 2020-12-08 Asm Ip Holding B.V. Showerhead assembly and components thereof
US9543180B2 (en) 2014-08-01 2017-01-10 Asm Ip Holding B.V. Apparatus and method for transporting wafers between wafer carrier and process tool under vacuum
US9478411B2 (en) 2014-08-20 2016-10-25 Lam Research Corporation Method to tune TiOx stoichiometry using atomic layer deposited Ti film to minimize contact resistance for TiOx/Ti based MIS contact scheme for CMOS
US9478438B2 (en) 2014-08-20 2016-10-25 Lam Research Corporation Method and apparatus to deposit pure titanium thin film at low temperature using titanium tetraiodide precursor
US9890456B2 (en) 2014-08-21 2018-02-13 Asm Ip Holding B.V. Method and system for in situ formation of gas-phase compounds
US9657845B2 (en) 2014-10-07 2017-05-23 Asm Ip Holding B.V. Variable conductance gas distribution apparatus and method
US10941490B2 (en) 2014-10-07 2021-03-09 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
KR102300403B1 (ko) 2014-11-19 2021-09-09 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
US9564312B2 (en) 2014-11-24 2017-02-07 Lam Research Corporation Selective inhibition in atomic layer deposition of silicon-containing films
KR102263121B1 (ko) 2014-12-22 2021-06-09 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 및 그 제조 방법
US9478415B2 (en) 2015-02-13 2016-10-25 Asm Ip Holding B.V. Method for forming film having low resistance and shallow junction depth
US10529542B2 (en) 2015-03-11 2020-01-07 Asm Ip Holdings B.V. Cross-flow reactor and method
US10276355B2 (en) 2015-03-12 2019-04-30 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
US10566187B2 (en) 2015-03-20 2020-02-18 Lam Research Corporation Ultrathin atomic layer deposition film accuracy thickness control
US9502238B2 (en) 2015-04-03 2016-11-22 Lam Research Corporation Deposition of conformal films by atomic layer deposition and atomic layer etch
US10458018B2 (en) 2015-06-26 2019-10-29 Asm Ip Holding B.V. Structures including metal carbide material, devices including the structures, and methods of forming same
US10600673B2 (en) 2015-07-07 2020-03-24 Asm Ip Holding B.V. Magnetic susceptor to baseplate seal
US10526701B2 (en) 2015-07-09 2020-01-07 Lam Research Corporation Multi-cycle ALD process for film uniformity and thickness profile modulation
US10043661B2 (en) 2015-07-13 2018-08-07 Asm Ip Holding B.V. Method for protecting layer by forming hydrocarbon-based extremely thin film
US9899291B2 (en) 2015-07-13 2018-02-20 Asm Ip Holding B.V. Method for protecting layer by forming hydrocarbon-based extremely thin film
US10083836B2 (en) 2015-07-24 2018-09-25 Asm Ip Holding B.V. Formation of boron-doped titanium metal films with high work function
US11035039B2 (en) 2015-07-31 2021-06-15 Versum Materials Us, Llc Compositions and methods for depositing silicon nitride films
US10087525B2 (en) 2015-08-04 2018-10-02 Asm Ip Holding B.V. Variable gap hard stop design
US9647114B2 (en) 2015-08-14 2017-05-09 Asm Ip Holding B.V. Methods of forming highly p-type doped germanium tin films and structures and devices including the films
JP2018525841A (ja) * 2015-08-21 2018-09-06 アプライド マテリアルズ インコーポレイテッドApplied Materials,Incorporated 高温の熱ald及び窒化ケイ素膜
US9711345B2 (en) 2015-08-25 2017-07-18 Asm Ip Holding B.V. Method for forming aluminum nitride-based film by PEALD
US9960072B2 (en) 2015-09-29 2018-05-01 Asm Ip Holding B.V. Variable adjustment for precise matching of multiple chamber cavity housings
US9909214B2 (en) 2015-10-15 2018-03-06 Asm Ip Holding B.V. Method for depositing dielectric film in trenches by PEALD
US10211308B2 (en) 2015-10-21 2019-02-19 Asm Ip Holding B.V. NbMC layers
US10322384B2 (en) 2015-11-09 2019-06-18 Asm Ip Holding B.V. Counter flow mixer for process chamber
US9455138B1 (en) 2015-11-10 2016-09-27 Asm Ip Holding B.V. Method for forming dielectric film in trenches by PEALD using H-containing gas
US9905420B2 (en) 2015-12-01 2018-02-27 Asm Ip Holding B.V. Methods of forming silicon germanium tin films and structures and devices including the films
US9607837B1 (en) 2015-12-21 2017-03-28 Asm Ip Holding B.V. Method for forming silicon oxide cap layer for solid state diffusion process
US9633838B2 (en) * 2015-12-28 2017-04-25 L'Air Liquide, Société Anonyme pour l'Etude et l'Exploitation des Procédés Georges Claude Vapor deposition of silicon-containing films using penta-substituted disilanes
US9735024B2 (en) 2015-12-28 2017-08-15 Asm Ip Holding B.V. Method of atomic layer etching using functional group-containing fluorocarbon
US9627221B1 (en) 2015-12-28 2017-04-18 Asm Ip Holding B.V. Continuous process incorporating atomic layer etching
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
US10529554B2 (en) 2016-02-19 2020-01-07 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US10468251B2 (en) 2016-02-19 2019-11-05 Asm Ip Holding B.V. Method for forming spacers using silicon nitride film for spacer-defined multiple patterning
US9754779B1 (en) 2016-02-19 2017-09-05 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US10501866B2 (en) 2016-03-09 2019-12-10 Asm Ip Holding B.V. Gas distribution apparatus for improved film uniformity in an epitaxial system
US10343920B2 (en) 2016-03-18 2019-07-09 Asm Ip Holding B.V. Aligned carbon nanotubes
US9892913B2 (en) 2016-03-24 2018-02-13 Asm Ip Holding B.V. Radial and thickness control via biased multi-port injection settings
US10087522B2 (en) 2016-04-21 2018-10-02 Asm Ip Holding B.V. Deposition of metal borides
US10190213B2 (en) 2016-04-21 2019-01-29 Asm Ip Holding B.V. Deposition of metal borides
US10865475B2 (en) 2016-04-21 2020-12-15 Asm Ip Holding B.V. Deposition of metal borides and silicides
KR101968966B1 (ko) * 2016-04-29 2019-08-13 세종대학교산학협력단 실리콘 질화막의 증착 방법 및 상기 실리콘 질화막의 증착 장치
US10032628B2 (en) 2016-05-02 2018-07-24 Asm Ip Holding B.V. Source/drain performance through conformal solid state doping
US10367080B2 (en) 2016-05-02 2019-07-30 Asm Ip Holding B.V. Method of forming a germanium oxynitride film
US20190169212A1 (en) * 2016-05-17 2019-06-06 Dow Silicones Corporation Aminochlorohydridodisilanes
KR102592471B1 (ko) 2016-05-17 2023-10-20 에이에스엠 아이피 홀딩 비.브이. 금속 배선 형성 방법 및 이를 이용한 반도체 장치의 제조 방법
US11453943B2 (en) 2016-05-25 2022-09-27 Asm Ip Holding B.V. Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor
US10388509B2 (en) 2016-06-28 2019-08-20 Asm Ip Holding B.V. Formation of epitaxial layers via dislocation filtering
US9773643B1 (en) 2016-06-30 2017-09-26 Lam Research Corporation Apparatus and method for deposition and etch in gap fill
US10062563B2 (en) 2016-07-01 2018-08-28 Lam Research Corporation Selective atomic layer deposition with post-dose treatment
US10612137B2 (en) 2016-07-08 2020-04-07 Asm Ip Holdings B.V. Organic reactants for atomic layer deposition
US9859151B1 (en) 2016-07-08 2018-01-02 Asm Ip Holding B.V. Selective film deposition method to form air gaps
US9793135B1 (en) 2016-07-14 2017-10-17 ASM IP Holding B.V Method of cyclic dry etching using etchant film
US10714385B2 (en) 2016-07-19 2020-07-14 Asm Ip Holding B.V. Selective deposition of tungsten
US10381226B2 (en) 2016-07-27 2019-08-13 Asm Ip Holding B.V. Method of processing substrate
US10395919B2 (en) 2016-07-28 2019-08-27 Asm Ip Holding B.V. Method and apparatus for filling a gap
US9887082B1 (en) 2016-07-28 2018-02-06 Asm Ip Holding B.V. Method and apparatus for filling a gap
US9812320B1 (en) 2016-07-28 2017-11-07 Asm Ip Holding B.V. Method and apparatus for filling a gap
KR102532607B1 (ko) 2016-07-28 2023-05-15 에이에스엠 아이피 홀딩 비.브이. 기판 가공 장치 및 그 동작 방법
US10177025B2 (en) 2016-07-28 2019-01-08 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10037884B2 (en) 2016-08-31 2018-07-31 Lam Research Corporation Selective atomic layer deposition for gapfill using sacrificial underlayer
US10090316B2 (en) 2016-09-01 2018-10-02 Asm Ip Holding B.V. 3D stacked multilayer semiconductor memory using doped select transistor channel
US10410943B2 (en) 2016-10-13 2019-09-10 Asm Ip Holding B.V. Method for passivating a surface of a semiconductor and related systems
US10643826B2 (en) 2016-10-26 2020-05-05 Asm Ip Holdings B.V. Methods for thermally calibrating reaction chambers
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
US10643904B2 (en) 2016-11-01 2020-05-05 Asm Ip Holdings B.V. Methods for forming a semiconductor device and related semiconductor device structures
US10714350B2 (en) 2016-11-01 2020-07-14 ASM IP Holdings, B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10229833B2 (en) 2016-11-01 2019-03-12 Asm Ip Holding B.V. Methods for forming a transition metal nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10435790B2 (en) 2016-11-01 2019-10-08 Asm Ip Holding B.V. Method of subatmospheric plasma-enhanced ALD using capacitively coupled electrodes with narrow gap
US10134757B2 (en) 2016-11-07 2018-11-20 Asm Ip Holding B.V. Method of processing a substrate and a device manufactured by using the method
KR102546317B1 (ko) 2016-11-15 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기체 공급 유닛 및 이를 포함하는 기판 처리 장치
US10340135B2 (en) 2016-11-28 2019-07-02 Asm Ip Holding B.V. Method of topologically restricted plasma-enhanced cyclic deposition of silicon or metal nitride
KR20180068582A (ko) 2016-12-14 2018-06-22 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11447861B2 (en) 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
US9916980B1 (en) 2016-12-15 2018-03-13 Asm Ip Holding B.V. Method of forming a structure on a substrate
US11581186B2 (en) 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
KR20180070971A (ko) 2016-12-19 2018-06-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10269558B2 (en) 2016-12-22 2019-04-23 Asm Ip Holding B.V. Method of forming a structure on a substrate
US10867788B2 (en) 2016-12-28 2020-12-15 Asm Ip Holding B.V. Method of forming a structure on a substrate
US11390950B2 (en) 2017-01-10 2022-07-19 Asm Ip Holding B.V. Reactor system and method to reduce residue buildup during a film deposition process
US10655221B2 (en) 2017-02-09 2020-05-19 Asm Ip Holding B.V. Method for depositing oxide film by thermal ALD and PEALD
US10468261B2 (en) 2017-02-15 2019-11-05 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
US10529563B2 (en) 2017-03-29 2020-01-07 Asm Ip Holdings B.V. Method for forming doped metal oxide films on a substrate by cyclical deposition and related semiconductor device structures
US10283353B2 (en) 2017-03-29 2019-05-07 Asm Ip Holding B.V. Method of reforming insulating film deposited on substrate with recess pattern
US10103040B1 (en) 2017-03-31 2018-10-16 Asm Ip Holding B.V. Apparatus and method for manufacturing a semiconductor device
USD830981S1 (en) 2017-04-07 2018-10-16 Asm Ip Holding B.V. Susceptor for semiconductor substrate processing apparatus
KR102457289B1 (ko) 2017-04-25 2022-10-21 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10446393B2 (en) 2017-05-08 2019-10-15 Asm Ip Holding B.V. Methods for forming silicon-containing epitaxial layers and related semiconductor device structures
US10770286B2 (en) 2017-05-08 2020-09-08 Asm Ip Holdings B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
US10892156B2 (en) 2017-05-08 2021-01-12 Asm Ip Holding B.V. Methods for forming a silicon nitride film on a substrate and related semiconductor device structures
US10504742B2 (en) 2017-05-31 2019-12-10 Asm Ip Holding B.V. Method of atomic layer etching using hydrogen plasma
US10886123B2 (en) 2017-06-02 2021-01-05 Asm Ip Holding B.V. Methods for forming low temperature semiconductor layers and related semiconductor device structures
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
US10685834B2 (en) 2017-07-05 2020-06-16 Asm Ip Holdings B.V. Methods for forming a silicon germanium tin layer and related semiconductor device structures
KR20190009245A (ko) 2017-07-18 2019-01-28 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 구조물 형성 방법 및 관련된 반도체 소자 구조물
US11374112B2 (en) 2017-07-19 2022-06-28 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US11018002B2 (en) 2017-07-19 2021-05-25 Asm Ip Holding B.V. Method for selectively depositing a Group IV semiconductor and related semiconductor device structures
US10541333B2 (en) 2017-07-19 2020-01-21 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US10605530B2 (en) 2017-07-26 2020-03-31 Asm Ip Holding B.V. Assembly of a liner and a flange for a vertical furnace as well as the liner and the vertical furnace
US10312055B2 (en) 2017-07-26 2019-06-04 Asm Ip Holding B.V. Method of depositing film by PEALD using negative bias
US10590535B2 (en) 2017-07-26 2020-03-17 Asm Ip Holdings B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US10692741B2 (en) 2017-08-08 2020-06-23 Asm Ip Holdings B.V. Radiation shield
US10770336B2 (en) 2017-08-08 2020-09-08 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US11139191B2 (en) 2017-08-09 2021-10-05 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US10249524B2 (en) 2017-08-09 2019-04-02 Asm Ip Holding B.V. Cassette holder assembly for a substrate cassette and holding member for use in such assembly
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US10236177B1 (en) 2017-08-22 2019-03-19 ASM IP Holding B.V.. Methods for depositing a doped germanium tin semiconductor and related semiconductor device structures
USD900036S1 (en) 2017-08-24 2020-10-27 Asm Ip Holding B.V. Heater electrical connector and adapter
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
US11056344B2 (en) 2017-08-30 2021-07-06 Asm Ip Holding B.V. Layer forming method
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
KR102491945B1 (ko) 2017-08-30 2023-01-26 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR102401446B1 (ko) 2017-08-31 2022-05-24 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10269559B2 (en) 2017-09-13 2019-04-23 Lam Research Corporation Dielectric gapfill of high aspect ratio features utilizing a sacrificial etch cap layer
US10607895B2 (en) 2017-09-18 2020-03-31 Asm Ip Holdings B.V. Method for forming a semiconductor device structure comprising a gate fill metal
KR102630301B1 (ko) 2017-09-21 2024-01-29 에이에스엠 아이피 홀딩 비.브이. 침투성 재료의 순차 침투 합성 방법 처리 및 이를 이용하여 형성된 구조물 및 장치
US10844484B2 (en) 2017-09-22 2020-11-24 Asm Ip Holding B.V. Apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US10658205B2 (en) 2017-09-28 2020-05-19 Asm Ip Holdings B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
US10403504B2 (en) 2017-10-05 2019-09-03 Asm Ip Holding B.V. Method for selectively depositing a metallic film on a substrate
US10319588B2 (en) 2017-10-10 2019-06-11 Asm Ip Holding B.V. Method for depositing a metal chalcogenide on a substrate by cyclical deposition
US10923344B2 (en) 2017-10-30 2021-02-16 Asm Ip Holding B.V. Methods for forming a semiconductor structure and related semiconductor structures
US10910262B2 (en) 2017-11-16 2021-02-02 Asm Ip Holding B.V. Method of selectively depositing a capping layer structure on a semiconductor device structure
KR102443047B1 (ko) 2017-11-16 2022-09-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 방법 및 그에 의해 제조된 장치
US11022879B2 (en) 2017-11-24 2021-06-01 Asm Ip Holding B.V. Method of forming an enhanced unexposed photoresist layer
JP7206265B2 (ja) 2017-11-27 2023-01-17 エーエスエム アイピー ホールディング ビー.ブイ. クリーン・ミニエンバイロメントを備える装置
CN111316417B (zh) 2017-11-27 2023-12-22 阿斯莫Ip控股公司 与批式炉偕同使用的用于储存晶圆匣的储存装置
US10290508B1 (en) 2017-12-05 2019-05-14 Asm Ip Holding B.V. Method for forming vertical spacers for spacer-defined patterning
US10872771B2 (en) 2018-01-16 2020-12-22 Asm Ip Holding B. V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
CN111630203A (zh) 2018-01-19 2020-09-04 Asm Ip私人控股有限公司 通过等离子体辅助沉积来沉积间隙填充层的方法
TWI799494B (zh) 2018-01-19 2023-04-21 荷蘭商Asm 智慧財產控股公司 沈積方法
USD903477S1 (en) 2018-01-24 2020-12-01 Asm Ip Holdings B.V. Metal clamp
US11018047B2 (en) 2018-01-25 2021-05-25 Asm Ip Holding B.V. Hybrid lift pin
USD880437S1 (en) 2018-02-01 2020-04-07 Asm Ip Holding B.V. Gas supply plate for semiconductor manufacturing apparatus
US10535516B2 (en) 2018-02-01 2020-01-14 Asm Ip Holdings B.V. Method for depositing a semiconductor structure on a surface of a substrate and related semiconductor structures
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
US11685991B2 (en) 2018-02-14 2023-06-27 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
US10896820B2 (en) 2018-02-14 2021-01-19 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
US10731249B2 (en) 2018-02-15 2020-08-04 Asm Ip Holding B.V. Method of forming a transition metal containing film on a substrate by a cyclical deposition process, a method for supplying a transition metal halide compound to a reaction chamber, and related vapor deposition apparatus
US10658181B2 (en) 2018-02-20 2020-05-19 Asm Ip Holding B.V. Method of spacer-defined direct patterning in semiconductor fabrication
KR102636427B1 (ko) 2018-02-20 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 장치
US10975470B2 (en) 2018-02-23 2021-04-13 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
JP7199497B2 (ja) * 2018-02-28 2023-01-05 株式会社Kokusai Electric 基板処理方法、半導体装置の製造方法、基板処理装置、およびプログラム
JP6789257B2 (ja) * 2018-02-28 2020-11-25 株式会社Kokusai Electric 半導体装置の製造方法、基板処理装置、およびプログラム
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
US11629406B2 (en) 2018-03-09 2023-04-18 Asm Ip Holding B.V. Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate
US11114283B2 (en) 2018-03-16 2021-09-07 Asm Ip Holding B.V. Reactor, system including the reactor, and methods of manufacturing and using same
KR102646467B1 (ko) 2018-03-27 2024-03-11 에이에스엠 아이피 홀딩 비.브이. 기판 상에 전극을 형성하는 방법 및 전극을 포함하는 반도체 소자 구조
US10510536B2 (en) 2018-03-29 2019-12-17 Asm Ip Holding B.V. Method of depositing a co-doped polysilicon film on a surface of a substrate within a reaction chamber
US11088002B2 (en) 2018-03-29 2021-08-10 Asm Ip Holding B.V. Substrate rack and a substrate processing system and method
US11230766B2 (en) 2018-03-29 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102501472B1 (ko) 2018-03-30 2023-02-20 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
KR20190128558A (ko) 2018-05-08 2019-11-18 에이에스엠 아이피 홀딩 비.브이. 기판 상에 산화물 막을 주기적 증착 공정에 의해 증착하기 위한 방법 및 관련 소자 구조
KR20190129718A (ko) 2018-05-11 2019-11-20 에이에스엠 아이피 홀딩 비.브이. 기판 상에 피도핑 금속 탄화물 막을 형성하는 방법 및 관련 반도체 소자 구조
KR102596988B1 (ko) 2018-05-28 2023-10-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 그에 의해 제조된 장치
US10774422B2 (en) 2018-06-01 2020-09-15 Asm Ip Holding B.V. Systems and methods for controlling vapor phase processing
US11270899B2 (en) 2018-06-04 2022-03-08 Asm Ip Holding B.V. Wafer handling chamber with moisture reduction
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
US11286562B2 (en) 2018-06-08 2022-03-29 Asm Ip Holding B.V. Gas-phase chemical reactor and method of using same
KR102568797B1 (ko) 2018-06-21 2023-08-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 시스템
US10797133B2 (en) 2018-06-21 2020-10-06 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
KR20210024462A (ko) 2018-06-27 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 금속 함유 재료를 형성하기 위한 주기적 증착 방법 및 금속 함유 재료를 포함하는 필름 및 구조체
WO2020003000A1 (en) 2018-06-27 2020-01-02 Asm Ip Holding B.V. Cyclic deposition methods for forming metal-containing material and films and structures including the metal-containing material
US10612136B2 (en) 2018-06-29 2020-04-07 ASM IP Holding, B.V. Temperature-controlled flange and reactor system including same
KR20200002519A (ko) 2018-06-29 2020-01-08 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10755922B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10388513B1 (en) 2018-07-03 2019-08-20 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10767789B2 (en) 2018-07-16 2020-09-08 Asm Ip Holding B.V. Diaphragm valves, valve components, and methods for forming valve components
US10483099B1 (en) 2018-07-26 2019-11-19 Asm Ip Holding B.V. Method for forming thermally stable organosilicon polymer film
US11053591B2 (en) 2018-08-06 2021-07-06 Asm Ip Holding B.V. Multi-port gas injection system and reactor system including same
US10883175B2 (en) 2018-08-09 2021-01-05 Asm Ip Holding B.V. Vertical furnace for processing substrates and a liner for use therein
US10829852B2 (en) 2018-08-16 2020-11-10 Asm Ip Holding B.V. Gas distribution device for a wafer processing apparatus
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US11024523B2 (en) 2018-09-11 2021-06-01 Asm Ip Holding B.V. Substrate processing apparatus and method
KR20200030162A (ko) 2018-09-11 2020-03-20 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
US11049751B2 (en) 2018-09-14 2021-06-29 Asm Ip Holding B.V. Cassette supply system to store and handle cassettes and processing apparatus equipped therewith
CN110970344A (zh) 2018-10-01 2020-04-07 Asm Ip控股有限公司 衬底保持设备、包含所述设备的系统及其使用方法
US11232963B2 (en) 2018-10-03 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102592699B1 (ko) 2018-10-08 2023-10-23 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 박막 증착 장치와 기판 처리 장치
US10847365B2 (en) 2018-10-11 2020-11-24 Asm Ip Holding B.V. Method of forming conformal silicon carbide film by cyclic CVD
US10811256B2 (en) 2018-10-16 2020-10-20 Asm Ip Holding B.V. Method for etching a carbon-containing feature
KR102605121B1 (ko) 2018-10-19 2023-11-23 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
KR102546322B1 (ko) 2018-10-19 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
USD948463S1 (en) 2018-10-24 2022-04-12 Asm Ip Holding B.V. Susceptor for semiconductor substrate supporting apparatus
US10381219B1 (en) 2018-10-25 2019-08-13 Asm Ip Holding B.V. Methods for forming a silicon nitride film
US11087997B2 (en) 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
KR20200051105A (ko) 2018-11-02 2020-05-13 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 기판 처리 장치
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US11031242B2 (en) 2018-11-07 2021-06-08 Asm Ip Holding B.V. Methods for depositing a boron doped silicon germanium film
US10847366B2 (en) 2018-11-16 2020-11-24 Asm Ip Holding B.V. Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process
US10818758B2 (en) 2018-11-16 2020-10-27 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US10559458B1 (en) 2018-11-26 2020-02-11 Asm Ip Holding B.V. Method of forming oxynitride film
US11217444B2 (en) 2018-11-30 2022-01-04 Asm Ip Holding B.V. Method for forming an ultraviolet radiation responsive metal oxide-containing film
KR102636428B1 (ko) 2018-12-04 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치를 세정하는 방법
KR102402779B1 (ko) * 2018-12-11 2022-05-30 한양대학교 산학협력단 실리콘 전구체 가압 도징 단계를 포함하는 절연막 제조방법
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
JP2020096183A (ja) 2018-12-14 2020-06-18 エーエスエム・アイピー・ホールディング・ベー・フェー 窒化ガリウムの選択的堆積を用いてデバイス構造体を形成する方法及びそのためのシステム
TWI819180B (zh) 2019-01-17 2023-10-21 荷蘭商Asm 智慧財產控股公司 藉由循環沈積製程於基板上形成含過渡金屬膜之方法
KR20200091543A (ko) 2019-01-22 2020-07-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
CN111524788B (zh) 2019-02-01 2023-11-24 Asm Ip私人控股有限公司 氧化硅的拓扑选择性膜形成的方法
TW202104632A (zh) 2019-02-20 2021-02-01 荷蘭商Asm Ip私人控股有限公司 用來填充形成於基材表面內之凹部的循環沉積方法及設備
KR102626263B1 (ko) 2019-02-20 2024-01-16 에이에스엠 아이피 홀딩 비.브이. 처리 단계를 포함하는 주기적 증착 방법 및 이를 위한 장치
JP2020136678A (ja) 2019-02-20 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材表面内に形成された凹部を充填するための方法および装置
KR20200102357A (ko) 2019-02-20 2020-08-31 에이에스엠 아이피 홀딩 비.브이. 3-d nand 응용의 플러그 충진체 증착용 장치 및 방법
JP2020133004A (ja) 2019-02-22 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材を処理するための基材処理装置および方法
KR20200108248A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOCN 층을 포함한 구조체 및 이의 형성 방법
KR20200108243A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOC 층을 포함한 구조체 및 이의 형성 방법
KR20200108242A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. 실리콘 질화물 층을 선택적으로 증착하는 방법, 및 선택적으로 증착된 실리콘 질화물 층을 포함하는 구조체
KR20200116033A (ko) 2019-03-28 2020-10-08 에이에스엠 아이피 홀딩 비.브이. 도어 개방기 및 이를 구비한 기판 처리 장치
KR20200116855A (ko) 2019-04-01 2020-10-13 에이에스엠 아이피 홀딩 비.브이. 반도체 소자를 제조하는 방법
US11447864B2 (en) 2019-04-19 2022-09-20 Asm Ip Holding B.V. Layer forming method and apparatus
KR20200125453A (ko) 2019-04-24 2020-11-04 에이에스엠 아이피 홀딩 비.브이. 기상 반응기 시스템 및 이를 사용하는 방법
KR20200130118A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 비정질 탄소 중합체 막을 개질하는 방법
KR20200130121A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 딥 튜브가 있는 화학물질 공급원 용기
KR20200130652A (ko) 2019-05-10 2020-11-19 에이에스엠 아이피 홀딩 비.브이. 표면 상에 재료를 증착하는 방법 및 본 방법에 따라 형성된 구조
JP2020188254A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
JP2020188255A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
USD935572S1 (en) 2019-05-24 2021-11-09 Asm Ip Holding B.V. Gas channel plate
USD922229S1 (en) 2019-06-05 2021-06-15 Asm Ip Holding B.V. Device for controlling a temperature of a gas supply unit
KR20200141003A (ko) 2019-06-06 2020-12-17 에이에스엠 아이피 홀딩 비.브이. 가스 감지기를 포함하는 기상 반응기 시스템
KR20200143254A (ko) 2019-06-11 2020-12-23 에이에스엠 아이피 홀딩 비.브이. 개질 가스를 사용하여 전자 구조를 형성하는 방법, 상기 방법을 수행하기 위한 시스템, 및 상기 방법을 사용하여 형성되는 구조
USD944946S1 (en) 2019-06-14 2022-03-01 Asm Ip Holding B.V. Shower plate
USD931978S1 (en) 2019-06-27 2021-09-28 Asm Ip Holding B.V. Showerhead vacuum transport
KR20210005515A (ko) 2019-07-03 2021-01-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치용 온도 제어 조립체 및 이를 사용하는 방법
JP2021015791A (ja) 2019-07-09 2021-02-12 エーエスエム アイピー ホールディング ビー.ブイ. 同軸導波管を用いたプラズマ装置、基板処理方法
CN112216646A (zh) 2019-07-10 2021-01-12 Asm Ip私人控股有限公司 基板支撑组件及包括其的基板处理装置
KR20210010307A (ko) 2019-07-16 2021-01-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210010816A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 라디칼 보조 점화 플라즈마 시스템 및 방법
KR20210010820A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 실리콘 게르마늄 구조를 형성하는 방법
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
CN112242296A (zh) 2019-07-19 2021-01-19 Asm Ip私人控股有限公司 形成拓扑受控的无定形碳聚合物膜的方法
CN112309843A (zh) 2019-07-29 2021-02-02 Asm Ip私人控股有限公司 实现高掺杂剂掺入的选择性沉积方法
CN112309899A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
CN112309900A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
CN112323048B (zh) 2019-08-05 2024-02-09 Asm Ip私人控股有限公司 用于化学源容器的液位传感器
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
JP2021031769A (ja) 2019-08-21 2021-03-01 エーエスエム アイピー ホールディング ビー.ブイ. 成膜原料混合ガス生成装置及び成膜装置
KR20210024423A (ko) 2019-08-22 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 홀을 구비한 구조체를 형성하기 위한 방법
USD940837S1 (en) 2019-08-22 2022-01-11 Asm Ip Holding B.V. Electrode
USD930782S1 (en) 2019-08-22 2021-09-14 Asm Ip Holding B.V. Gas distributor
USD949319S1 (en) 2019-08-22 2022-04-19 Asm Ip Holding B.V. Exhaust duct
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
KR20210024420A (ko) 2019-08-23 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 비스(디에틸아미노)실란을 사용하여 peald에 의해 개선된 품질을 갖는 실리콘 산화물 막을 증착하기 위한 방법
KR20210029090A (ko) 2019-09-04 2021-03-15 에이에스엠 아이피 홀딩 비.브이. 희생 캡핑 층을 이용한 선택적 증착 방법
KR20210029663A (ko) 2019-09-05 2021-03-16 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
CN112593212B (zh) 2019-10-02 2023-12-22 Asm Ip私人控股有限公司 通过循环等离子体增强沉积工艺形成拓扑选择性氧化硅膜的方法
TW202129060A (zh) 2019-10-08 2021-08-01 荷蘭商Asm Ip控股公司 基板處理裝置、及基板處理方法
TW202115273A (zh) 2019-10-10 2021-04-16 荷蘭商Asm Ip私人控股有限公司 形成光阻底層之方法及包括光阻底層之結構
KR20210045930A (ko) 2019-10-16 2021-04-27 에이에스엠 아이피 홀딩 비.브이. 실리콘 산화물의 토폴로지-선택적 막의 형성 방법
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
KR20210047808A (ko) 2019-10-21 2021-04-30 에이에스엠 아이피 홀딩 비.브이. 막을 선택적으로 에칭하기 위한 장치 및 방법
KR20210050453A (ko) 2019-10-25 2021-05-07 에이에스엠 아이피 홀딩 비.브이. 기판 표면 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
KR20210054983A (ko) 2019-11-05 2021-05-14 에이에스엠 아이피 홀딩 비.브이. 도핑된 반도체 층을 갖는 구조체 및 이를 형성하기 위한 방법 및 시스템
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
KR20210062561A (ko) 2019-11-20 2021-05-31 에이에스엠 아이피 홀딩 비.브이. 기판의 표면 상에 탄소 함유 물질을 증착하는 방법, 상기 방법을 사용하여 형성된 구조물, 및 상기 구조물을 형성하기 위한 시스템
KR20210065848A (ko) 2019-11-26 2021-06-04 에이에스엠 아이피 홀딩 비.브이. 제1 유전체 표면과 제2 금속성 표면을 포함한 기판 상에 타겟 막을 선택적으로 형성하기 위한 방법
CN112951697A (zh) 2019-11-26 2021-06-11 Asm Ip私人控股有限公司 基板处理设备
CN112885692A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
CN112885693A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
JP2021090042A (ja) 2019-12-02 2021-06-10 エーエスエム アイピー ホールディング ビー.ブイ. 基板処理装置、基板処理方法
KR20210070898A (ko) 2019-12-04 2021-06-15 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210078405A (ko) 2019-12-17 2021-06-28 에이에스엠 아이피 홀딩 비.브이. 바나듐 나이트라이드 층을 형성하는 방법 및 바나듐 나이트라이드 층을 포함하는 구조
KR20210080214A (ko) 2019-12-19 2021-06-30 에이에스엠 아이피 홀딩 비.브이. 기판 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
JP2021109175A (ja) 2020-01-06 2021-08-02 エーエスエム・アイピー・ホールディング・ベー・フェー ガス供給アセンブリ、その構成要素、およびこれを含む反応器システム
US11993847B2 (en) 2020-01-08 2024-05-28 Asm Ip Holding B.V. Injector
KR20210095050A (ko) 2020-01-20 2021-07-30 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법 및 박막 표면 개질 방법
TW202130846A (zh) 2020-02-03 2021-08-16 荷蘭商Asm Ip私人控股有限公司 形成包括釩或銦層的結構之方法
TW202146882A (zh) 2020-02-04 2021-12-16 荷蘭商Asm Ip私人控股有限公司 驗證一物品之方法、用於驗證一物品之設備、及用於驗證一反應室之系統
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
US11781243B2 (en) 2020-02-17 2023-10-10 Asm Ip Holding B.V. Method for depositing low temperature phosphorous-doped silicon
TW202203344A (zh) 2020-02-28 2022-01-16 荷蘭商Asm Ip控股公司 專用於零件清潔的系統
KR20210116240A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 조절성 접합부를 갖는 기판 핸들링 장치
US11876356B2 (en) 2020-03-11 2024-01-16 Asm Ip Holding B.V. Lockout tagout assembly and system and method of using same
KR20210117157A (ko) 2020-03-12 2021-09-28 에이에스엠 아이피 홀딩 비.브이. 타겟 토폴로지 프로파일을 갖는 층 구조를 제조하기 위한 방법
KR20210124042A (ko) 2020-04-02 2021-10-14 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법
TW202146689A (zh) 2020-04-03 2021-12-16 荷蘭商Asm Ip控股公司 阻障層形成方法及半導體裝置的製造方法
TW202145344A (zh) 2020-04-08 2021-12-01 荷蘭商Asm Ip私人控股有限公司 用於選擇性蝕刻氧化矽膜之設備及方法
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
US11996289B2 (en) 2020-04-16 2024-05-28 Asm Ip Holding B.V. Methods of forming structures including silicon germanium and silicon layers, devices formed using the methods, and systems for performing the methods
TW202146831A (zh) 2020-04-24 2021-12-16 荷蘭商Asm Ip私人控股有限公司 垂直批式熔爐總成、及用於冷卻垂直批式熔爐之方法
KR20210132600A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐, 질소 및 추가 원소를 포함한 층을 증착하기 위한 방법 및 시스템
TW202140831A (zh) 2020-04-24 2021-11-01 荷蘭商Asm Ip私人控股有限公司 形成含氮化釩層及包含該層的結構之方法
KR20210134226A (ko) 2020-04-29 2021-11-09 에이에스엠 아이피 홀딩 비.브이. 고체 소스 전구체 용기
KR20210134869A (ko) 2020-05-01 2021-11-11 에이에스엠 아이피 홀딩 비.브이. Foup 핸들러를 이용한 foup의 빠른 교환
KR20210141379A (ko) 2020-05-13 2021-11-23 에이에스엠 아이피 홀딩 비.브이. 반응기 시스템용 레이저 정렬 고정구
KR20210143653A (ko) 2020-05-19 2021-11-29 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210145078A (ko) 2020-05-21 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 다수의 탄소 층을 포함한 구조체 및 이를 형성하고 사용하는 방법
TW202200837A (zh) 2020-05-22 2022-01-01 荷蘭商Asm Ip私人控股有限公司 用於在基材上形成薄膜之反應系統
JP2021188094A (ja) 2020-05-29 2021-12-13 大陽日酸株式会社 混合ガス供給装置、金属窒化膜の製造装置、及び金属窒化膜の製造方法
TW202201602A (zh) 2020-05-29 2022-01-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202218133A (zh) 2020-06-24 2022-05-01 荷蘭商Asm Ip私人控股有限公司 形成含矽層之方法
TW202217953A (zh) 2020-06-30 2022-05-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
KR20220010438A (ko) 2020-07-17 2022-01-25 에이에스엠 아이피 홀딩 비.브이. 포토리소그래피에 사용하기 위한 구조체 및 방법
TW202204662A (zh) 2020-07-20 2022-02-01 荷蘭商Asm Ip私人控股有限公司 用於沉積鉬層之方法及系統
TW202212623A (zh) 2020-08-26 2022-04-01 荷蘭商Asm Ip私人控股有限公司 形成金屬氧化矽層及金屬氮氧化矽層的方法、半導體結構、及系統
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
TW202229613A (zh) 2020-10-14 2022-08-01 荷蘭商Asm Ip私人控股有限公司 於階梯式結構上沉積材料的方法
KR20220053482A (ko) 2020-10-22 2022-04-29 에이에스엠 아이피 홀딩 비.브이. 바나듐 금속을 증착하는 방법, 구조체, 소자 및 증착 어셈블리
TW202223136A (zh) 2020-10-28 2022-06-16 荷蘭商Asm Ip私人控股有限公司 用於在基板上形成層之方法、及半導體處理系統
TW202235675A (zh) 2020-11-30 2022-09-16 荷蘭商Asm Ip私人控股有限公司 注入器、及基板處理設備
US11946137B2 (en) 2020-12-16 2024-04-02 Asm Ip Holding B.V. Runout and wobble measurement fixtures
TW202231903A (zh) 2020-12-22 2022-08-16 荷蘭商Asm Ip私人控股有限公司 過渡金屬沉積方法、過渡金屬層、用於沉積過渡金屬於基板上的沉積總成
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
USD1023959S1 (en) 2021-05-11 2024-04-23 Asm Ip Holding B.V. Electrode for substrate processing apparatus
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate

Family Cites Families (13)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US3924024A (en) * 1973-04-02 1975-12-02 Ncr Co Process for fabricating MNOS non-volatile memories
US5037514A (en) * 1986-01-06 1991-08-06 Semiconductor Energy Laboratory Co., Ltd. Silicon oxide depositing method
ES2006119A6 (es) * 1988-03-24 1989-04-01 Union Explosivos Rio Tinto Procedimiento de obtencion de nitruro de silicio.
US5300322A (en) * 1992-03-10 1994-04-05 Martin Marietta Energy Systems, Inc. Molybdenum enhanced low-temperature deposition of crystalline silicon nitride
US5981404A (en) * 1996-11-22 1999-11-09 United Microelectronics Corp. Multilayer ONO structure
US6090442A (en) * 1997-04-14 2000-07-18 University Technology Corporation Method of growing films on substrates at room temperatures using catalyzed binary reaction sequence chemistry
JPH1174485A (ja) * 1997-06-30 1999-03-16 Toshiba Corp 半導体装置およびその製造方法
KR100269328B1 (ko) * 1997-12-31 2000-10-16 윤종용 원자층 증착 공정을 이용하는 도전층 형성방법
KR100275738B1 (ko) * 1998-08-07 2000-12-15 윤종용 원자층 증착법을 이용한 박막 제조방법
JP4021593B2 (ja) * 1998-09-25 2007-12-12 株式会社東芝 半導体装置およびその製造方法
JP2001168092A (ja) * 1999-01-08 2001-06-22 Toshiba Corp 半導体装置およびその製造方法
KR20010017820A (ko) * 1999-08-14 2001-03-05 윤종용 반도체 소자 및 그 제조방법
KR20020065245A (ko) * 2001-02-06 2002-08-13 주식회사 하이닉스반도체 피이에이엘디법을 이용한 박막 증착방법

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US8929212B2 (en) 2008-12-25 2015-01-06 Nec Corporation Communication quality monitoring device, communication system, communication quality monitoring method and program thereof

Also Published As

Publication number Publication date
US20020164890A1 (en) 2002-11-07
KR20020085487A (ko) 2002-11-16
KR100443085B1 (ko) 2004-08-04
US6528430B2 (en) 2003-03-04
DE10123858B4 (de) 2006-03-23
JP2002343793A (ja) 2002-11-29
DE10123858A1 (de) 2002-11-28
TW483054B (en) 2002-04-11

Similar Documents

Publication Publication Date Title
JP3602072B2 (ja) ヘキサクロロジシランおよびアンモニアを用いた原子層蒸着によるシリコン含有固体薄膜の製造方法
JP3476801B2 (ja) トリスジメチルアミノシランを用いた原子層蒸着によるシリコン含有固体薄膜の製造方法
US10818489B2 (en) Atomic layer deposition of silicon carbon nitride based material
JP7092709B2 (ja) ケイ素含有膜の高温原子層堆積
KR101427142B1 (ko) 금속 규산염 막의 원자층 증착
JP4050655B2 (ja) 多層ナノラミネート構造を有する半導体装置の絶縁膜及びその形成方法
US7125815B2 (en) Methods of forming a phosphorous doped silicon dioxide comprising layer
US7431966B2 (en) Atomic layer deposition method of depositing an oxide on a substrate
US7329615B2 (en) Atomic layer deposition method of forming an oxide comprising layer on a substrate
US6867152B1 (en) Properties of a silica thin film produced by a rapid vapor deposition (RVD) process
KR20090068179A (ko) 실리콘 이산화물을 포함하는 박막의 제조 방법
KR20060054387A (ko) 증착 전 게르마늄 표면 처리 방법
JP6526562B2 (ja) シリコン含有薄膜の製造方法
JP2004260192A (ja) シロキサン化合物を利用した二酸化シリコン膜の形成方法
TWI842531B (zh) 氧化矽之拓撲選擇性膜形成之方法
TWI830973B (zh) 使用超低溫ald於高品質含矽膜沈積之方法
KR101046757B1 (ko) 반도체소자의 캐패시터 및 그 제조 방법

Legal Events

Date Code Title Description
A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20031209

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20040309

A02 Decision of refusal

Free format text: JAPANESE INTERMEDIATE CODE: A02

Effective date: 20040330

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20040623

A911 Transfer to examiner for re-examination before appeal (zenchi)

Free format text: JAPANESE INTERMEDIATE CODE: A911

Effective date: 20040812

TRDD Decision of grant or rejection written
A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

Effective date: 20040831

A61 First payment of annual fees (during grant procedure)

Free format text: JAPANESE INTERMEDIATE CODE: A61

Effective date: 20040921

R150 Certificate of patent or registration of utility model

Ref document number: 3602072

Country of ref document: JP

Free format text: JAPANESE INTERMEDIATE CODE: R150

Free format text: JAPANESE INTERMEDIATE CODE: R150

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20081001

Year of fee payment: 4

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20091001

Year of fee payment: 5

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20101001

Year of fee payment: 6

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20111001

Year of fee payment: 7

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20121001

Year of fee payment: 8

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20131001

Year of fee payment: 9

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

LAPS Cancellation because of no payment of annual fees