DE10123858A1 - Verfahren zum Bilden von Silicium-haltigen Dünnschichten durch Atomschicht-Abscheidung mittels SI2CL6 und NH3 - Google Patents

Verfahren zum Bilden von Silicium-haltigen Dünnschichten durch Atomschicht-Abscheidung mittels SI2CL6 und NH3

Info

Publication number
DE10123858A1
DE10123858A1 DE10123858A DE10123858A DE10123858A1 DE 10123858 A1 DE10123858 A1 DE 10123858A1 DE 10123858 A DE10123858 A DE 10123858A DE 10123858 A DE10123858 A DE 10123858A DE 10123858 A1 DE10123858 A1 DE 10123858A1
Authority
DE
Germany
Prior art keywords
silicon
substrate
reactant
chamber
containing solid
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
DE10123858A
Other languages
English (en)
Other versions
DE10123858B4 (de
Inventor
Yeong-Kwan Kim
Joo-Won Lee
Young-Wook Park
Dong-Chan Kim
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Samsung Electronics Co Ltd
Original Assignee
Samsung Electronics Co Ltd
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Samsung Electronics Co Ltd filed Critical Samsung Electronics Co Ltd
Publication of DE10123858A1 publication Critical patent/DE10123858A1/de
Application granted granted Critical
Publication of DE10123858B4 publication Critical patent/DE10123858B4/de
Anticipated expiration legal-status Critical
Expired - Lifetime legal-status Critical Current

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/20Deposition of semiconductor materials on a substrate, e.g. epitaxial growth solid phase epitaxy
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/0228Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition deposition by cyclic CVD, e.g. ALD, ALE, pulsed CVD
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/34Nitrides
    • C23C16/345Silicon nitride
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45527Atomic layer deposition [ALD] characterized by the ALD cycle, e.g. different flows or temperatures during half-reactions, unusual pulsing sequence, use of precursor mixtures or auxiliary reactants or activations
    • C23C16/45536Use of plasma, radiation or electromagnetic fields
    • C23C16/45542Plasma being used non-continuously during the ALD reactions
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45553Atomic layer deposition [ALD] characterized by the use of precursors specially adapted for ALD
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/0217Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material being a silicon nitride not containing oxygen, e.g. SixNy or SixByNz
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/314Inorganic layers
    • H01L21/318Inorganic layers composed of nitrides
    • H01L21/3185Inorganic layers composed of nitrides of siliconnitrides
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/02164Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material being a silicon oxide, e.g. SiO2
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02205Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition
    • H01L21/02208Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si
    • H01L21/02211Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si the compound being a silane, e.g. disilane, methylsilane or chlorosilane
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/02274Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition in the presence of a plasma [PECVD]
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10STECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10S438/00Semiconductor device manufacturing: process
    • Y10S438/971Stoichiometric control of host substrate composition

Landscapes

  • Chemical & Material Sciences (AREA)
  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Computer Hardware Design (AREA)
  • Materials Engineering (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • General Physics & Mathematics (AREA)
  • General Chemical & Material Sciences (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Manufacturing & Machinery (AREA)
  • Mechanical Engineering (AREA)
  • Metallurgy (AREA)
  • Organic Chemistry (AREA)
  • Inorganic Chemistry (AREA)
  • Electromagnetism (AREA)
  • Plasma & Fusion (AREA)
  • Chemical Vapour Deposition (AREA)
  • Formation Of Insulating Films (AREA)

Abstract

Es wird ein Atomschicht-Abscheidungs(ALD)-Verfahren beschrieben, das Si¶2¶Cl¶6¶ und NH¶3¶ oder Si¶2¶Cl¶6¶ und aktiviertes NH¶3¶ als Reaktanten verwendet. In einer Ausführungsform schließt die Erfindung die folgenden Schritte ein: (a) Einbringen eines Substrats in eine Kammer, (b) Einspritzen eines ersten Si¶2¶Cl¶6¶-haltigen Reaktanten in die Kammer, (c) Chemisorbieren eines ersten Anteils des ersten Reaktanten auf dem Substrat und Physisorbieren eines zweiten Anteils des ersten Reaktanten auf dem Substrat, (d) Entfernen des nicht chemisch absorbierten Anteils des ersten Reaktanten aus der Kammer, (e) Einspritzen eines zweiten Reaktanten, der NH¶3¶ enthält, in die Kammer, (f) chemisches Umsetzen eines ersten Anteils des zweiten Reaktanten mit dem chemisorbierten ersten Anteil des ersten Reaktanten, wodurch ein Silicium-haltiger Feststoff auf dem Substrat gebildet wird, und (g) Entfernen des nicht umgesetzten Anteils des zweiten Reaktanten aus der Kammer. In anderen Ausführungsformen kann der erste Reaktant zwei oder mehrere Verbindungen enthalten, die Si und Cl enthalten, beispielsweise Si¶2¶Cl¶6¶ und SiCl¶4¶. In anderen Ausführungsformen der Erfindung werden die Schritte b-g einmal oder mehrmals wiederholt, um die Dicke der Schicht zu erhöhen.

Description

Die Erfindung betrifft das Bilden einer Si3N4-Dünnschicht durch Atomschicht- Abscheidung (Atom Layer Deposition, ALD). Insbesondere manifestiert sich die Erfindung in der Bildung einer Si3N4-Dünnschicht mittels eines Atomschicht- Abscheidungsverfahrens und der Verwendung von Si2Cl6 (HCD) und NH3 oder HCD und NH3-Plasma als Reaktanten.
Si3N4-Dünnschichten gewinnen bei der Herstellung von Halbleiterelementen ständig an Bedeutung. Auf Si/SiO2-Grenzflächen verringern Si3N4-Schichten Grenz­ flächen-Fangstellen und verbessern die Immunität gegen heiße Trägermaterialien. Si3N4- Schichten verbessern die Zuverlässigkeit und die Eigenschaften herkömmlicher SiO2- Gate-Oxidschichten. Si3N4-Schichten an der SiO2/Gate-Grenzfläche dienen als ausge­ zeichnete Diffusionssperren, insbesondere bezüglich alkalischer Ionen. In Feinstschicht- Elementen könnte Si3N4 ein Material mit einer hohen Dielektrizitätskonstante liefern, das gegen Elektronen-Durchtunnelung (Tunneleffekt) beständig ist. Si3N4 mit einer höheren Dielektrizitätskonstante als SiO2 könnte sich auch für eine Konformations- Isolierschicht, die Merkmale mit hohen Seitenverhältnissen in DRAMs und anderen Elementen bereitstellt, als sehr gut geeignet erweisen. Diese Anwendungen erfordern ein Verfahren zum Bilden einer Si3N4-Dünnschicht, das gute Eigenschaften bezüglich der Wachstumsgeschwindigkeit, der Wärmebilanz, der Leiterbild-Zusetzung (pattern loading), der Reinheit, der gleichmäßigen Dicke und der Gestaltung für Merkmale mit hohen Seitenverhältnissen zeigt.
Abscheidungsverfahren, wie chemische Dampfabscheidung (chemical vapour deposition, CVD), chemische Niederdruck-Dampfabscheidung (low pressure chemical vapour deposition, LPCVD), Plasma-unterstützte chemische Dampfabscheidung (plasma enhanced chemical vapour deposition, PECVD), können für die Herstellung einer Si3N4-Dünnschicht verwendet werden. Verfahren auf CVD-Grundlage weisen häufig Nachteile auf, die ihre Eignung bei der Herstellung von Halbleiterelementen, welche von der Einbeziehung von Si3N4-Dünnschichten profitieren würden, ein­ schränken. Bei einem typischen CVD-Verfahren wird eine SiN-Dünnschicht bei einer relativ hohen Temperatur abgeschieden, was im allgemeinen aufgrund möglicher schäd­ licher Hitzeeinwirkungen auf das Baulement weniger erwünscht ist als ein Verfahren mit einer niedrigeren Temperatur. Eine SiN-Dünnschicht, die mittels CVD abge­ schieden wird, unterliegt auch geometrischen Beschränkungen, was zu unterschied­ lichen Dicken auf der Oberfläche des Baulements führen kann. Die Dicke der Dünn­ schicht, die um dicht gepackte Merkmale auf der Oberfläche gebildet wird, kann niedriger sein als die Dicke der Schicht um weniger dicht gepackte Merkmale. Dieses Problem ist als Leiterbild-Zusetzungseffekt (pattern loading effect) bekannt.
LPCVD hat ebenfalls Nachteile. Der Wasserstoffgehalt der LPCVD-gefertigten Dünnschichten ist üblicherweise hoch, und die Stufenabdeckung der Oberfläche ist nicht gut. Da die Wachstumsgeschwindigkeit der Schicht relativ niedrig ist, wenn LPCVD angewendet wird, ist die Bearbeitungszeit, die nötig ist, um eine Schicht mit geeigneter Dicke auszubilden, relativ lang. Die lange Bearbeitungszeit setzt das Substrat über einen langen Zeitraum einer relativ hohen Temperatur aus, und dies führt zu einer hohen Wärmebilanz, die mit dem LPCVD-Verfahren verbunden ist.
Die Atomschicht-Abscheidung (ALD) wurde als Alternative zu Abscheidungs­ verfahren auf CVD-Basis für die Bildung von SiN-Dünnschichten vorgeschlagen. ALD ist ein Oberflächen-gesteuertes Verfahren, das mit einem Oberflächen-kinetischen System durchgeführt wird, und das eine zweidimensionale Schicht-für-Schicht-Ab­ scheidung auf der Oberfläche zur Folge hat. Goto et al. beschreiben ein ALD-Ab­ scheidungsverfahren, das Dichlorsilan (DCS) und NH3-Plasma verwendet, um eine Si3N4-Schicht zu bilden (Appl. Surf. Sci., 112, 75-81 (1997); Appl. Phys. Lett. 68 (23), 3257-9 (1996)). Die Eigenschaften der Dünnschicht, die anhand des in Goto be­ schriebenen Verfahrens hergestellt wird, sind jedoch nicht geeignet. Der Cl-Gehalt (0,5%) und der O-Gehalt sind unannehmbar hoch. Dies zeigt zusammen mit einem gemessenen Si : N-Verhältnis von 41 : 37 an, daß dieses Verfahren keine annähernd stöchiometrische Si3N4-Schicht bildet. Darüber hinaus ist die Wachstumsgeschwindigkeit von 0,91 Angström pro 300 Sekunden-Zyklus nicht so hoch wie es für industrielle Anwendungen notwendig wäre.
Klaus et al. beschreiben ein ALD-Verfahren zum Bilden einer Si3N4-Schicht durch Umsetzen von SiCl4 und NH3. Siehe US-Patent 6 090 442 und Surf. Sci., 418, L14-L19 (1998). Die Eigenschaften der anhand dieses Verfahrens hergestellten Dünnschicht sind besser als die von Goto et al. erreichten. Das Verhältnis von Si : N = 1 : 1,39 und die Cl-, H- und O-Gehalte sind annehmbar niedrig. Die Zyklusdauer von 10 Minuten für das Ausbilden einer 2,45-Angström-Schicht ist jedoch zu lang, was eine industrielle An­ wendung nicht praktikabel macht.
Es wurde auch vorgeschlagen, Si2Cl6 (HCD) und N2H4 zu verwenden, um eine Si3N4-Dünnschicht mittels ALD abzuscheiden (Appl. Surf. Sci., 112, 198-203 (1997)). Obwohl die Stöchiometrie, der Cl- und der H-Gehalt solcher Schichten geeignet ist, zeigen sie einen unannehmbar hohen Sauerstoffgehalt, was solche Filme für die vor­ stehend beschriebenen Verwendungen ungeeignet macht.
Daher besteht, trotz eines seit langem erkannten Potentials für vielfältige An­ wendungen, weiterhin ein Bedarf an einem neuen Verfahren zum Bilden von Si3N4- Schichten, das die folgenden Kriterien erfüllt: Bearbeitung mit einer niedrigen Wärme­ bilanz; ausgezeichnete Stufenabdeckung; kein Leiterbild-Zusetzungseffekt; Si : N-Ver­ hältnis übereinstimmend mit Si3N4; ausgezeichnete Dickensteuerung und -gleichmäßig­ keit; minimale Zahl von Partikeleinschlüssen; niedriger Verunreinigungsgehalt und eine Wachstumsgeschwindigkeit der Schicht, die industrielle Anwendungen praktikabel macht.
Daher ist es ein Ziel der Erfindung, ein Verfahren zum Ausbilden von Si3N4 als Schicht bereitzustellen, in der Si3N4 physikalische und chemische Eigenschaften zeigt, die mit hochreinem Si3N4 übereinstimmen. Es ist ein anderes Ziel der Erfindung, ein Verfahren bereitzustellen, um Si3N4 als Dünnschicht abzuscheiden, wobei das Verfahren eine ausgezeichnete Stufenabdeckung, wenig oder keinen Leiterbild-Zusetzungseffekt und eine ausgezeichnete Dickensteuerung und -gleichmäßigkeit zeigt. Es ist ein weiteres Ziel der Erfindung, ein Verfahren bereitzustellen, um Si3N4 als Dünnschicht oder in anderer fester Form abzuscheiden, wobei das Verfahren eine relativ niedrige Wärme­ bilanz und eine annehmbar hohe Wachstumsgeschwindigkeit zeigt, wodurch das Ver­ fahren für die industrielle Anwendung praktikabel wird.
Um die vorstehend beschriebenen Punkte zu erreichen, besteht die Erfindung in einem Verfahren zur Atomschicht-Abscheidung (ALD), das Si2Cl6 und NH3 oder Si2Cl6 und aktivierten NH3 als Reaktanten verwendet.
Gegenstand der Erfindung ist ein Atomschicht-Abscheidungsverfahren zum bilden einer festen, Silicium-haltigen Schicht nach den Ansprüchen 1, 22 und 43.
Bevorzugte Ausführungsformen davon sind Gegenstand der Ansprüche 2 bis 21, 23 bis 42, bzw. 44 und 45.
In einer Ausführungsform beinhaltet die Erfindung die folgenden Schritte: a) Ein­ bringen eines Substrats in eine Kammer, b) Einspritzen eines ersten Reaktanten, der Si2Cl6 enthält, in die Kammer, c) Chemisorbieren eines ersten Anteils des ersten Reak­ tanten auf dem Substrat und Physisorbieren eines zweiten Anteils des ersten Reaktanten auf dem Substrat, d) Entfernen des nicht chemisch absorbierten Anteils des ersten Reaktanten aus der Kammer, e) Einspritzen eines zweiten Reaktanten, der NH3 enthält, in die Kammer, f) chemisches Umsetzen eines ersten Anteils des zweiten Reaktanten mit dem chemisorbierten ersten Anteil des ersten Reaktanten, wodurch ein Silicium­ haltiger Feststoff auf dem Substrat gebildet wird, und g) Entfernen des nicht-umge­ setzten Anteils des zweiten Reaktanten aus der Kammer. In einer anderen Ausführungs­ form der Erfindung enthält in Schritt b der erste Reaktant zwei oder mehr Verbindun­ gen, von denen jede Si und Cl enthält. In einer bevorzugten Ausführungsform davon handelt es sich bei den beiden Si- und Cl-haltigen Verbindungen um Si2Cl6 und SiCl4. In einer anderen Ausführungsform der Erfindung werden die Schritte b-g einmal oder mehrmals wiederholt, um die Dicke der Schicht zu erhöhen.
In einer weiteren Ausführungsform der Erfindung enthält das Verfahren die folgenden Schritte: a) Geben eines Substrats in eine Kammer, b) Einspritzen eines Stroms eines ersten Reaktanten, der Si2Cl6 enthält, in die Kammer, c) Zugeben von SiCl4 zum Strom des ersten Reaktanten, während der erste Reaktant in die Kammer eingespritzt wird, d) Chemisorbieren eines ersten Anteils des ersten Reaktanten auf dem Substrat und Physisorbieren eines zweiten Anteils des SiCl4 auf dem Substrat, e) Chemisorbieren eines ersten Anteils des SiCl4 auf dem Substrat und Physisorbieren eines zweiten Anteils des SiCl4 auf dem Substrat, f) Entfernen der nicht chemisch absorbierten Anteile von Si2Cl6 und SiCl4 aus der Kammer, g) Einspritzen eines zweiten Reaktanten, der NH3 enthält, in die Kammer, h) chemisches Umsetzen eines ersten An­ teils des zweiten Reaktanten mit dem chemisorbierten ersten Anteil des Si2Cl6 und des chemisorbierten ersten Anteils des SiCl4, wodurch ein Silicium-haltiger Feststoff auf dem Substrat gebildet wird; und i) Entfernen eines zweiten Anteils des zweiten Reak­ tanten aus der Kammer. In einer anderen Ausführungsform werden einer oder mehrere der Schritte b-i wiederholt. Diese und andere Merkmale der Erfindung werden nun detaillierter mit Bezug auf die nachstehenden Zeichnungen und die detaillierte Be­ schreibung beschrieben.
KURZE BESCHREIBUNG DER ZEICHNUNGEN
Die vorstehenden Ziele und Vorteile der Erfindung werden verständlicher, wenn bevorzugte Ausführungsformen davon mit Bezug auf die beigefügten Zeichnungen beschrieben werden, in denen bedeuten:
Die Fig. 1 bis 5 beschreiben die Bearbeitungsschritte zum Bilden einer Si3N4- Dünnschicht mittels einer Atomschicht-Abscheidung gemäß der Erfindung.
Fig. 6 ist eine schematische Darstellung einer Dünnschicht-Herstellungseinrich­ tung, die für das Dünnschicht-Herstellungsverfahren gemäß der Erfindung verwendet wird.
Fig. 7 ist ein Ablaufdiagramm, das die Dünnschicht-Herstellungsverfahren gemäß der Erfindung zeigt.
Fig. 8 ist ein Diagramm, das, die Dicke einer Si3N4-Schicht zeigt, die pro Zyklus mittels eines Herstellungsverfahrens gemäß einer bevorzugten Ausführungsform der Erfindung gebildet wird.
Fig. 9 ist ein Diagramm, das Dicke einer Si3N4-Schicht zeigt, die pro Zyklus mittels eines Herstellungsverfahrens gemäß einer zweiten bevorzugten Ausführungs­ form der Erfindung gebildet wird.
Detaillierte Beschreibung bevorzugter Ausführungsformen
Die folgende Beschreibung bevorzugter Ausführungsformen beginnt mit einer Erklärung der Bearbeitungsschritte der Verfahren, gefolgt von Beschreibungen spezifi­ scher Beispiele für bevorzugte Ausführungsformen der Erfindung.
Fig. 1-5: ein Substrat 1, beispielsweise Silicium (100), wird in eine Kammer 3 gegeben (siehe Fig. 6), die dann bis auf einen Druck von etwa 2 Ton evakuiert wird. Das Substrat 1 wird auf etwa 550°C erwärmt. Dann wird ein Strom 2 von 500 sccm (Standardkubikzentimeter/Minute) Si2Cl6 in einem Ar-Trägergas 30 Sekunden lang in die Kammer geleitet. In alternativen Ausführungsformen der Erfindung enthält der Si2Cl6-haltige Gasstrom auch SiCl4. In einer anderen Ausführungsform wird ein Si2Cl6- haltiger Gasstrom in die Kammer 3 geleitet, und während dieses Strömen aufrecht­ erhalten wird, wird ein Gasstrom, der SiCl4 enthält, entweder mit dem Si2Cl6-haltigen Gasstrom vor der Einleitung in die Kammer 3 gemischt, oder wird getrennt von dem Si2Cl6-haltigen Gasstrom, aber gleichzeitig mit diesem, eingeleitet.
Während dieser Zeit wird ein erster Anteil der Si2Cl6-Moleküle (und SiCl4- Moleküle, falls vorhanden) auf der Oberfläche von Substrat 1 chemisorbiert und bildet dort eine Schicht 4. Ein zweiter Anteil der Si2Cl6-Moleküle (und SiCl4-Moleküle, falls vorhanden) bindet sich physikalisch (physisorbiert) an die chemisorbierte Si2Cl6-Schicht (und SiCl4-Schicht, falls vorhanden) und wird locker darauf festgehalten. Dann wird die Kammer 3 für 5 Sekunden mit N2 gespült und 5 Sekunden lang Vakuum-entleert. Während dieser Reinigungsschritte werden die nicht chemisorbierten Anteile des Si2Cl6 und SiCl4 aus der Kammer entfernt, wodurch die chemisorbierte Schicht 4 aus Si2Cl6 (und SiCl4, falls vorhanden) unversehrt auf dem Substrat 1 zurückbleibt (Fig. 2). Fig. 3: Darin wird ein Strom 6 aus 2000 sccm NH3 30 Sekunden lang in die Kammer 3 geleitet, während wiederum ein Kammerdruck von 2 Ton und eine Substrattemperatur von 550°C beibehalten werden. Ein Anteil des NH3 reagiert mit dem chemisorbierten Si2Cl6 auf dem Substrat, wodurch eine Schicht 8 aus Si3N4 gebildet wird (Fig. 4). In einer Ausführungsform wird das NH3 als Gas eingeführt, das in einem Ar-Trägerstrom mitgeführt wird. In einer alternativen Ausführungsform wird das NH3 in dem Ar-Strom als Plasma mitgeführt, das in einem Plasmagenerator, der sich an anderer Stelle befindet, erzeugt wurde. In einer Ausführungsform wird der Plasmagenerator mit etwa 400 Watt betrieben, aber die Leistung kann variiert werden und die Erfindung soll nicht auf ein bestimmtes Leistungsniveau der Plasmakammer beschränkt sein. Nachdem der NH3-haltige Strom 30 Sekunden lang in die Kammer geströmt ist, wird die Kammer 3 für 5 Sekunden mit N2 gespült und dann 5 Sekunden lang Vakuum-entleert. Die Schritte des Einleitens von Si2Cl6 (und SiCl4) in die Kammer 3, des Spülens, des Einleitens von NH3 in die Kammer und des erneuten Spülens können wiederholt werden, um jede gewünschte Dicke der Si3N4-Schicht 8 zu erhalten. Die Bildung der Si3N4-Schicht ist nun abgeschlossen.
Fig. 6 ist eine schematische Darstellung einer Dünnschicht-Herstellungsvorrich­ tung, die für das Dünnschicht-Herstellungsverfahren der Erfindung verwendet wird.
Fig. 7 ist ein Ablaufdiagramm, das das Dünnschicht-Herstellungsverfahren gemäß der Erfindung beschreibt. Nach dem Einbringen eines Substrats 1, beispielsweise eines (100) Siliciumsubstrats, in eine Kammer 3 wird die Kammer auf einen Druck von etwa 2 Torr und mittels eines Heizgeräts 5 auf eine Temperatur von etwa 550°C gebracht (Schritt 100). Der erste Reaktantenstrom, der Si2Cl6 enthält, wird 30 Sekunden lang in die Kammer 3 eingespritzt, während das Substrat bei 550°C und etwa 2 Torr gehalten wird (Schritt 105). Das Si2Cl6 wird verdampft, um einen ersten Reaktantenstrom 6 zu bilden, indem man 500 sccm Ar-Trägergas aus einer Quelle 19 in den ersten Gas­ spüler 12 einspritzt, der flüssiges Si2Cl6 bei einer Temperatur von etwa 40°C enthält. Dann wird der kombinierte Si2Cl6- und Ar-Gasstrom während eines Zeitraums von etwa 30 Sekunden durch eine erste Gasleitung 13 und einen Sprühkopf 15 eingespritzt, wie vorstehend beschrieben. Dann wird die Kammer 3 für 5 Sekunden mit reinem Ar ge­ spült und dann 5 Sekunden lang mit der Pumpe 7 Vakuum-entleert. Die Erfindung soll nicht auf dieses spezielle Spülungsschema beschränkt sein, und soll davon abweichende Spülungs-Abfolgen einschließen, die zur Entfernung des physisorbierten Si2Cl6 von der chemisorbierten Si2Cl6-Oberflächenschicht führen.
Dann wird der zweite Reaktantengasstrom aus NH3 in einem Ar-Träger etwa 30 Sekunden lang durch die Gasleitung 16 und den Sprühkopf 15 mit einer Geschwin­ digkeit von etwa 2000 sccm in die Kammer 3 eingespritzt. Während dieses Schritts wird das Substrat 1 bei 550°C gehalten und der Kammerdruck wird bei etwa 2 Torr gehalten (Schritt 115 in Fig. 7). In einer Ausführungsform wird das NH3 im zweiten Reaktanten­ strom verdampft, indem man Ar aus der Gasquelle 19 durch flüssiges NH3 14 perlen läßt, das in einem zweiten Gasspüler 17 bei etwa 25°C gehalten wird. In einer anderen bevorzugten Ausführungsform wird NH3 als Plasma, das in einem Ar-Strom mitgeführt wird, in die Kammer 3 eingespritzt. Das NH3-Plasma wird in einem Plasmagenerator, der sich an anderer Stelle befindet (nicht gezeigt) erzeugt und dann etwa 30 Sekunden lang mit einer Geschwindigkeit von etwa 2000 sccm durch die Gasleitung 16 und den Sprühkopf 15 in die Kammer 3 eingeleitet.
Wie in den Fig. 3 und 4 dargestellt, reagiert ein Anteil des NH3 im zweiten Reaktantenstrom mit dem Si2Cl6 (und, in einigen Ausführungsformen, SiCl4), das auf dem Substrat 1 chemisorbiert wurde, wodurch eine Si3N4-Schicht gebildet wird. Sobald die Si3N4-Schicht sich auf dem Substrat gebildet hat, wird ein zweiter Anteil des NH3 im zweiten Reaktantenstrom auf der Si3N4-Schicht physisorbiert. Dann wird die Kammer 3 für 5 Sekunden mittels eines Ar-Stroms gespült, gefolgt von einer Vakuumentleerung mit Pumpe 7 (Schritt 120). Der physisorbierte zweite Reaktant kann jedoch auch mittels Vakuumentleerung der Kammer entfernt werden, ohne zuerst mit einem inerten Gas zu spülen.
Nach dem Ausspülen des nicht umgesetzten NH3 aus der Kammer 3 wird die Dicke der Si3N4-Schicht gemessen (Schritt 125). Wenn eine darüber hinausgehende Schichtdicke benötigt wird, werden die Schritte 105 bis 125 wiederholt, bis die ge­ wünschte Schichtdicke erreicht ist. Wenn die gewünschte Schichtdicke erreicht wurde, wird das Herstellungsverfahren abgeschlossen, indem man die Temperatur und den Druck der Kammer wieder auf normale Werte zurückführt (Schritt 130).
Die bevorzugten Ausführungsformen werden nun mit Bezug auf die nachstehenden Beispiele beschrieben. Die nachstehenden Beispiele sollen Beispiele für bevorzugte Ausführungsformen sein und nicht beschränkend wirken.
Beispiel 1
Ein Siliciumwafer wurde in eine typisch aufgebaute Bedampfungskammer ge­ geben. Die Kammer wurde mit Stickstoff gespült, dann bis auf 2 Ton evakuiert. Das Substrat wurde auf 550°C erwärmt und bei dieser Temperatur stabilisiert. Ein erster Reaktantenstrom wurde erzeugt, indem man 500 sccm Ar durch flüssiges Si2Cl6 bei 40°C perlen ließ, und dann 30 Sekunden lang in die Kammer geleitet. Dann wurde die Kammer 5 Sekunden lang mit N2 gespült und 5 Sekunden lang Vakuum-entleert. Dann wurde ein zweiter Reaktantenstrom erzeugt, indem man 2000 sccm Ar durch flüssiges NH3 bei 25°C perlen ließ. Dann wurde der zweite Reaktantenstrom 30 Sekunden lang in die Kammer eingeleitet, wobei wiederum ein Kammerdruck von 2 Torr und eine Substrattemperatur von 550°C aufrechterhalten wurden. Die Kammer wude dann 5 Sekunden lang mit N2 gespült, und dann 5 Sekunden lang Vakuum-entleert.
Man fand, daß durch die vorstehenden Schritte eine Si3N4-Schicht mit einer Geschwindigkeit von 2,68 Å pro Zyklus gebildet wurde. Man fand, daß das Si3N4 von sehr hoher Qualität war. Der Brechungsindex betrug bei einer Wellenlänge von 632,8 nm 2,0, was anzeigt, daß die abgeschiedene Schicht stöchiometrisches Si3N4 war. Die gemessene Zugspannung war mit 5E10 dyn/cm2 hoch. Das auf diese Weise abgeschiedene Si3N4 zeigt ein Si : N-Verhältnis, das dem von reinem Si3N4 vergleichbar ist und weist einen sehr geringen Verunreinigungsgrad auf. Der Wasserstoffgehalt war sehr niedrig und es wurde kein Sauerstoff festgestellt. Die Stufenabdeckung lag in einer Struktur mit einem Seitenverhältnis von 5 : 1 bei 98% oder mehr und die Schicht zeigte einen Leiterbild-Zusetzungseffekt von etwa 5% oder darunter. In diesem Beispiel wurden andere Siliciumwafer wiederholten Zyklen unterzogen, die dem vorstehenden gleich waren. Fig. 8: Es wurde gefunden, daß eine lineare Beziehung zwischen der Dicke der Si3N4-Schicht und der Anzahl der Zyklen besteht. Beispiel 1 demonstriert daher, daß diese Ausführungsform der Erfindung die Bildung einer Schicht aus hochreinem Si3N4 bei einer Geschwindigkeit von etwa 2,68 Å pro Zyklus ergibt. Die Bildung der Si3N4-Schicht findet bei einer relativ niedrigen Temperatur von 550°C und in einer relativ kurzen Zykluszeit von unter etwa 90 Sekunden statt.
Beispiel 2
In Beispiel 2 waren die Fertigungsbedingungen größtenteils denen von Beispiel 1 ähnlich. Beispiel 2 unterscheidet sich insofern von Beispiel 1, als der zweite Reaktant ein NH3-Plasma in einem Ar-Trägergas umfaßte. Wiederum wurde ein Siliciumwafer in eine typisch aufgebaute Bedampfungskammer gegeben. Die Kammer wurde mit Stick­ stoff gespült, dann bis auf 2 Torr evakuiert. Das Substrat wurde auf 550°C erwärmt und stabilisiert. Ein erster Reaktantenstrom, der Si2Cl6 in einem Ar-Trägergas enthielt, wurde auf die in Beispiel 1 beschriebene Weise erzeugt. Ein Strom von 500 sccm des ersten Reaktantengases wurde 30 Sekunden lang in die Kammer geleitet. Dann wurde die Kammer 5 Sekunden lang mit N2 gespült und 5 Sekunden lang Vakuum-entleert. Ein zweiter Reaktantenstrom aus NH3-Plasma in einem Ar-Träger wurde erzeugt, indem man einen Strom von NH3 in einem Ar-Trägergas in eine Plasmakammer leitete. Die Plasmakammer wurde bei 400 Watt betrieben. Dann wurde ein Strom von 2000 sccm NH3-Plasma/Ar-Mischung 30 Sekunden lang in die Kammer geleitet. Dann wurde die Kammer S Sekunden lang mit N2 gespült und dann 5 Sekunden lang Vakuum-entleert.
Man fand, daß das vorstehende Verfahren die Abscheidung einer Si3N4-Schicht mit einer Geschwindigkeit von 2,51 Å pro Zyklus bei einer Zykluszeit von unter 90 Sekunden zur Folge hatte. Man fand, daß das abgeschiedene Si3N4 von sehr hoher Qualität war. Der Brechungsindex lag bei einer Wellenlänge von 632,8 nm bei 1,95, was bestätigte, daß es sich bei der abgeschiedenen Schicht um stöchiometrisches Si3N4 handelte. Die gemessene Zugspannung war mit 7E10 dyn/cm2 hoch. Der Wasserstoff­ gehalt lag unter 3% und es wurde kein Sauerstoff festgestellt. Ebenso wie in Beispiel 1 lag die Stufenabdeckung bei einem Seitenverhältnis von 5 : 1 bei 98% oder mehr und der Leiterbild-Zusetzungseffekt war mit 5% oder darunter gut. In diesem Beispiel wurden andere Siliciumwafer wiederholten Zyklen wie vorstehend beschrieben unterzogen. Fig. 9: Es wurde gefunden, daß eine lineare Beziehung zwischen der Dicke der Si3N4-Schicht und der Anzahl der Zyklen besteht.
Beispiel 3
In Beispiel 3 war das Verfahren das gleiche wie in Beispiel 2, mit der einzigen Ausnahme, daß die Substrattemperatur auf 400°C abgesenkt wurde. Man fand, daß das Verfahren von Beispiel 3 die Bildung einer Si3N4-Schicht bei einer Geschwindigkeit von 1,23 Å pro Zyklus mit einer Zykluszeit von unter 90 Sekunden zur Folge hatte. Man fand wiederum, daß das abgeschiedene Si3N4 von sehr hoher Qualität war. Der Brechungsindex lag bei einer Wellenlänge von 632,8 nm bei 1,95, was bestätigte, daß es sich bei der abgeschiedenen Schicht um stöchiometrisches Si3N4 handelte. Die gemessene Zugspannung war mit 7E10 Dyn/cm2 hoch. Der Wasserstoffgehalt lag unter 3% und es wurde kein Sauerstoff entdeckt. Beispiel 3 demonstriert jedoch, daß die Wachstumsgeschwindigkeit der Si3N4-Schicht stark temperaturabhängig ist und daß bei einer Temperatur von 400°C die Wachstumsgeschwindigkeit der Schicht etwa der Hälfte der Wachstumsgeschwindigkeit bei 550°C entspricht. Die niedrigere Temperatur von 400°C hatte auch einen höheren Wasserstoffgehalt in der Si3N4-Schicht zur Folge.
Beispiel 4
Ein Siliciumwafer wurde in eine typisch aufgebaute Bedampfungskammer ge­ geben. Die Kammer wurde mit Stickstoff gespült, dann bis auf 2 Torr evakuiert. Das Substrat wurde auf 550°C erwärmt und bei dieser Temperatur stabilisiert. Ein erster Reaktantenstrom wurde erzeugt, indem man 500 sccm Ar durch flüssiges Si2Cl6 bei 40°C perlen ließ. Ein weiterer Strom, der Si und Cl enthielt, wurde erzeugt, indem man 500 sccm Ar durch flüssiges SiCl4 bei 20°C perlen ließ. Dann wurden die Ströme gleichzeitig 30 Sekunden lang in die Kammer geleitet, wonach das Fließen beider Ströme beendet wurde. Dann wurde die Kammer 5 Sekunden lang mit Stickstoff gespült und 5 Sekunden lang Vakuum-entleert. Dann wurde ein zweiter Reaktantenstrom er­ zeugt, indem man 2000 sccm Ar durch flüssiges NH3 bei 25°C perlen ließ. Dann wurde der zweite Reaktantenstrom 30 Sekunden lang in die Kammer eingeleitet, wobei wieder­ um ein Kammerdruck von 2 Torr und eine Substrattemperatur von 550°C aufrecht­ erhalten wurden. Dann wurde die Kammer 5 Sekunden lang mit Stickstoff gespült und dann 5 Sekunden lang Vakuum-entleert.
Man fand, daß durch die voranstehenden Schritte eine Si3N4-Schicht mit einer Geschwindigkeit von 2,72 Å pro Zyklus gebildet wurde. Man fand, daß das Si3N4 von sehr hoher Qualität war. Der Brechungsindex lag bei einer Wellenlänge von 632,8 nm bei 2,01, was anzeigte, daß es sich bei der abgeschiedenen Schicht um stöchio­ metrisches Si3N4 handelte. Das auf diese Weise abgeschiedene Si3N4 zeigt ein Si : N- Verhältnis, das dem von reinem Si3N4 vergleichbar ist und weist einen sehr niedrigen Verunreinigungsgehalt auf. Der Wasserstoffgehalt betrug < 1% und es wurde kein Sauerstoff festgestellt. Die Stufenabdeckung lag bei einer Struktur mit einem Seiten­ verhältnis von 5 : 1 bei 98% oder mehr, und die Schicht zeigte einen Leiterbild- Zusetzungseffekt von unter 5%. Beispiel 4 demonstriert dadurch, daß diese Aus­ führungsform der Erfindung die Bildung einer Schicht von hochreinem Si3N4 bei einer Geschwindigkeit von etwa 2,72 Å pro Zyklus ergibt. Die Bildung der Si3N4-Schicht findet bei einer relativ niedrigen Temperatur von 550°C und einer relativ kurzen Zykluszeit von unter etwa 90 Sekunden statt. In diesem Beispiel wurden andere Siliciumwafer wiederholten Zyklen unterzogen, die dem vorstehend beschriebenen gleich waren. Man fand, daß ein lineares Verhältnis zwischen der Dicke der Si3N4- Schicht und dem Anzahl der Zyklen bestand.
Beispiel 5
Ein Siliciumwafer wurde in eine typisch aufgebaute Bedampfungskammer ge­ geben. Die Kammer wurde mit Stickstoff gespült, dann bis auf 2 Torr evakuiert. Das Substrat wurde auf 550°C erwärmt und bei dieser Temperatur stabilisiert. Ein erster Reaktantenstrom wurde erzeugt, indem man 500 sccm Ar durch flüssiges Si2Cl6 bei 40°C perlen ließ. Ein weiterer Strom, der Si und Cl enthielt, wurde erzeugt, indem man 500 sccm Ar durch flüssiges SiCl4 bei 20°C perlen ließ. Beide Ströme wurden dann 30 Sekunden lang gleichzeitig in die Kammer geleitet, wonach das Fließen der beiden Ströme beendet wurde. Dann wurde die Kammer 5 Sekunden lang mit N2 gespült und 5 Sekunden lang Vakuum-entleert. Ein zweiter Reaktantenstrom aus NH3-Plasma in einem Ar-Träger wurde erzeugt, indem man einen Strom aus NH3 in einem Ar-Träger­ gas in eine Plasmakammer leitete. Das NH3-Plasma wurde mittels eines Plasma­ generators, der bei 400 Watt betrieben wurde, erzeugt. Dann wurde ein Strom von 2000 sccm NH3-Plasma/Ar-Mischung 30 Sekunden lang in die Kammer geleitet. Dann wurde die Kammer 5 Sekunden lang mit Stickstoff gespült und dann 5 Sekunden lang Vakuum-entleert.
Man fand, daß durch die vorstehenden Schritte eine Si3N4-Schicht bei einer Geschwindigkeit von 2,54 Å pro Zyklus gebildet wurde. Man fand, daß das Si3N4 von sehr hoher Qualität war. Der Brechungsindex lag bei einer Wellenlänge von 632,8 nm bei 1,96, was anzeigt, daß es sich bei der abgeschiedenen Schicht um stöchiometrisches Si3N4 handelte. Das auf diese Weise abgeschiedene Si3N4 zeigt ein Si : N-Verhältnis, das dem von reinem Si3N4 vergleichbar ist. Der Wasserstoffgehalt betrug < 2,5% und es wurde kein Sauerstoff festgestellt. Die Stufenabdeckung betrug bei einer Struktur, die ein Seitenverhältnis von 5 : 1 aufwies, 98% oder mehr und die Schicht zeigte ein Leiterbild-Zusetzungseffekt von unter 5%. In diesem Beispiel wurden weitere Silicium­ wafer wiederholten Zyklen, die dem vorstehend beschriebenen gleich waren, unter­ zogen. Man fand, daß eine lineare Beziehung zwischen der Dicke der Si3N4-Schicht und der Anzahl der Zyklen bestand. Beispiel 6 demonstriert damit, daß diese Ausführungs­ form der Erfindung die Bildung einer Schicht aus hochreinem Si3N4 bei einer Geschwindigkeit von etwa 2,54 Å pro Zyklus ergibt. Die Bildung der Si3N4-Schicht findet bei einer relativ niedrigen Temperatur von 550°C und in einer relativ kurzen Zykluszeit von unter etwa 90 Sekunden statt.
Beispiel 6
Ein Siliciumwafer wurde in eine typisch aufgebaute Bedampfungskammer ge­ geben. Die Kammer wurde mit Stickstoff gespült, dann bis auf 2 Torr evakuiert. Das Substrat wurde auf 550°C erwärmt und bei dieser Temperatur stabilisiert. Ein erster Reaktantenstrom wurde erzeugt, indem man 500 sccm Ar durch flüssiges Si2Cl6 bei 40°C perlen ließ. Ein weiterer Strom, der Si und Cl enthielt, wurde erzeugt, indem man 500 sccm Ar durch flüssiges SiCl4 bei 20°C perlen ließ. Der Si2Cl6-haltige Strom wurde dann 30 Sekunden lang in die Kammer geleitet. 15 Sekunden nach dem Beginn des Strömens des Si2Cl6-haltigen Stroms in die Kammer wurde damit begonnen, den SiCl4- haltigen Strom 15 Sekunden lang in die Kammer zu leiten, während welcher Zeit so­ wohl der Si2Cl6-haltige Strom als auch der SiCl4-haltige Strom gleichzeitig in die Kammer strömten, und wonach das Strömen jedes Stroms beendet wurde. Dann wurde die Kammer 5 Sekunden lang mit Stickstoff gespült und 5 Sekunden lang Vakuum­ entleert. Dann wurde en zweiter Reaktantenstrom erzeugt, indem man 2000 sccm Ar durch flüssiges NH3 bei 25°C perlen ließ. Dann wurde der zweite Reaktantenstrom 30 Sekunden lang in die Kammer geleitet, wobei wiederum ein Kammerdruck von 2 Torr und eine Substrattemperatur von 550°C aufrechterhalten wurden. Dann wurde die Kammer 5 Sekunden lang mit N2 gespült und dann 5 Sekunden lang Vakuum-entleert.
Man fand, daß mit den vorstehenden Schritten eine Si3N4-Schicht mit einer Ge­ schwindigkeit von 2,70 Å pro Zyklus gebildet wurde. Man fand, daß das Si3N4 von sehr hoher Qualität war. Der Brechungsindex lag bei einer Wellenlänge von 632,8 nm bei 2,00, was anzeigte, daß es sich bei der abgeschiedenen Schicht um stöchiometrisches Si3N4 handelte. Das auf diese Weise abgeschiedene Si3N4 zeigt en Si : N-Verhältnis, das dem von reinem Si3N4 vergleichbar ist und weist sehr niedrige Verunreinigungsgrade auf. Der Wasserstoffgehalt war sehr niedrig, < 1%, und es wurde kein Sauerstoff fest­ gestellt. Die Stufenabdeckung lag bei einer Struktur mit einem Seitenverhältnis von 5 : 1 bei 95% oder mehr und die Schicht zeigte einen Leiterbild-Zusetzungseffekt von unter 5%. In diesem Beispiel wurden andere Siliciumwafer wiederholten Zyklen unterzogen, die dem vorstehenden gleich waren. Man fand, daß ein lineares Verhältnis zwischen der Dicke der Si3N4-Schicht und der Anzahl der Zyklen besteht. Beispiel 6 demonstriert damit, daß diese Ausführungsform der Erfindung die Bildung einer Schicht aus hochreinem Si3N4 bei einer Geschwindigkeit von etwa 2,70 Å pro Zyklus ergibt. Die Bildung der Si3N4-Schicht findet bei einer relativ niedrigen Temperatur von 550°C und in einer relativ kurzen Zykluszeit von unter etwa 90 Sekunden statt.
Beispiel 7
Ein Siliciumwafer wurde in eine typisch aufgebaute Bedampfungskammer ge­ geben. Die Kammer wurde mit Stickstoff gespült, dann bis auf 2 Ton evakuiert. Das Substrat wurde auf 550°C erwärmt und bei dieser Temperatur stabilisiert. Ein erster Reaktantenstrom wurde erzeugt, indem man 500 sccm Ar durch flüssiges Si2Cl6 bei 40°C perlen ließ. Ein anderer Strom, der Si und Cl enthielt, wurde erzeugt, indem man 500 sccm Ar durch flüssiges SiCl4 bei 20°C perlen ließ. Der Si2Cl6-haltige Strom wurde dann 30 Sekunden lang in die Kammer geleitet. 15 Sekunden nach dem Beginn des Si2Cl6-haltigen Stroms wurde damit begonnen, den SiCl4-haltigen Strom 15 Sekunden lang in die Kammer zu leiten, während welcher Zeit sowohl der Si2Cl6-haltige Strom als auch der SiCl4-haltige Strom gleichzeitig in die Kammer strömten, und wonach das Strömen jedes Stroms angehalten wurde. Dann wurde die Kammer 5 Sekunden lang mit N2 gespült und 5 Sekunden lang Vakuum-entleert. Ein zweiter Reaktantenstrom aus NH3-Plasma in Ar-Träger wurde erzeugt, indem man einen Strom aus NH3 in einem Ar- Trägergas in eine Plasmakammer leitete. Das NH3-Plasma wurde mittels eines Plasma­ generators erzeugt, der bei 400 Watt betrieben wurde. Dann wurde ein Strom aus NH3- Plasma/Ar-Mischung 30 Sekunden lang in die Kammer geleitet. Dann wurde die Kammer 5 Sekunden lang mit N2 gespült und dann 5 Sekunden lang Vakuum-entleert.
Man fand, daß anhand der vorstehenden Schritte eine Si3N4-Schicht bei einer Ge­ schwindigkeit von 2,53 Å pro Zyklus gebildet wurde. Der Brechungsindex lag bei einer Wellenlänge von 632,8 nm bei 1,96, was anzeigt, daß es sich bei der abgeschiedenen Schicht um stöchiometrisches Si3N4 handelt. Das auf diese Weise abgeschiedene Si3N4 zeigt ein Si : N-Verhältnis, das dem von reinem Si3N4 vergleichbar ist und weist sehr niedrige Verunreinigungsgrade auf. Der Wasserstoffgehalt betrug < 2,5% und es wurde kein Sauerstoff festgestellt. Die Stufenabdeckung lag bei einer Struktur mit einem Seitenverhältnis von 5 : 1 bei 98% oder mehr und die Schicht zeigte einen Leiterbild-Zu­ setzungseffekt von 5% oder darunter. In diesem Beispiel wurden andere Siliciumwafer wiederholten Zyklen unterzogen, die die gleichen waren wie der vorstehende. Man fand, daß eine lineare Beziehung zwischen der Dicke der Si3N4-Schicht und der Anzahl der Zyklen besteht. Beispiel 7 demonstriert dadurch, daß diese Ausführungsform der Er­ findung die Bildung einer Schicht aus hochreinem Si3N4 bei einer Geschwindigkeit von etwa 2,53 Å pro Zyklus zur Folge hat. Die Bildung des Si3N4-Schicht findet bei einer relativ niedrigen Temperatur von 550°C und in einer relativ kurzen Zykluszeit von unter etwa 90 Sekunden statt.
Die vorstehenden Beispiele und die Beschreibung der bevorzugten Ausführungs­ formen sollen die Erfindung erläutern und sollen den Rahmen der nachstehenden An­ sprüche nicht beschränken. Der Fachmann wird erkennen, daß verschiedene Modifikationen eines oder mehrerer der vorstehenden Verfahren möglich sind, ohne vom Bereich der nachstehenden Ansprüche abzuweichen.

Claims (45)

1. Atomschicht-Abscheidungsverfahren zum Bilden einer festen, Silicium-haltigen Dünnschicht, die Schritte umfassend:
  • a) Enbringen eines Substrats in eine Kammer;
  • b) Einspritzen eines ersten Si2Cl6-haltigen Reaktanten in die Kammer;
  • c) Chemisorbieren eines ersten Anteils des ersten Reaktanten auf dem Substrat und Physisorbieren eines zweiten Anteils des ersten Reaktanten auf dem Substrat;
  • d) Entfernen des nicht chemisorbierten zweiten Anteils des ersten Reaktanten aus der Kammer;
  • e) Einspritzen eines zweiten NH3-haltigen Reaktanten in die Kammer;
  • f) chemisches Umsetzen eines ersten Anteils des zweiten Reaktanten mit dem chemisorbierten ersten Anteil des ersten Reaktanten, wodurch ein Silicium­ haltiger Feststoff auf dem Substrat gebildet wird, und
  • g) Entfernen der nicht-umgesetzten Anteile des zweiten Reaktanten aus der Kammer.
2. Verfahren nach Anspruch 1, wobei der Silicium-haltige Feststoff, der auf dem Substrat gebildet wird, Si3N4 umfaßt.
3. Verfahren nach Anspruch 1, wobei der Silicium-haltige Feststoff eine Si3N4- Dünnschicht umfaßt.
4. Verfahren nach Anspruch 1, wobei das N/Si-Komponentenverhältnis des Silicium-haltigen Feststoffs zwischen ca. 1 und einschließlich 1,6 liegt.
5. Verfahren nach Anspruch 1, weiterhin den Schritt des Erwärmens des Substrats auf zwischen 350 und 700°C umfassend.
6. Verfahren nach Anspruch 1, weiterhin den Schritt des Unterdrucksetzens der Kammer auf einen absoluten Druck von 0,01-100 Torr umfassend.
7. Verfahren nach Anspruch 1, wobei der Kammerdruck bei einem konstanten absoluten Druck von 0,01-100 Torr gehalten wird.
8. Verfahren nach Anspruch 1, weiterhin umfassend den Schritt des Änderns des Kammerdrucks während der Durchführung mindestens eines dieser Schritte.
9. Verfahren nach Anspruch 1, wobei der Silicium-haltige Feststoff, der auf dem Substrat gebildet wird, eine Trockenätz-Selektivität bezüglich eines Silicium­ materials aufweist (aktives Maskennitrid).
10. Verfahren nach Anspruch 1, wobei der Silicium-haltige Feststoff, der auf dem Substrat gebildet wird, eine Trockenätz-Selektivität bezüglich eines Gate- Materials, ausgewählt aus der Gruppe bestehend aus WSix und dotiertem Poly­ silicon, aufweist (Gate-Maskennitrid).
11. Verfahren nach Anspruch 1, wobei der Silicium-haltige Feststoff, der auf dem Substrat gebildet wird, eine Trockenätz-Selektivität bezüglich eines leitfähigen Materials, ausgewählt aus der Gruppe bestehend aus W und Ti/TiN, aufweist (bit line-Maskennitrid).
12. Verfahren nach Anspruch 1, wobei das Silicium-haltige Material eine chemisch- mechanische Polier-Sperrschicht aufweist.
13. Verfahren nach Anspruch 1, wobei der Silicium-haltige Feststoff, der auf dem Substrat gebildet wird, eine Isolierschicht mit einer Trockenätz-Selektivität bezüg­ lich SiO2 aufweist (Spacer).
14. Verfahren nach Anspruch 1, wobei der Silicium-haltige Feststoff, der auf dem Substrat gebildet wird, eine Isolierschicht mit einer Naßätz-Selektivität einer HF-haltigen Lösung bezüglich des SiO2 eines Halbleiter-Bauelements aufweist (Naßätz-Sperre).
15. Verfahren nach Anspruch 1, wobei der Silicium-haltige Feststoff, der auf dem Substrat gebildet wird, ein Gate-Dielektrikum eines Halbleiter-Bauelements um­ faßt.
16. Verfahren nach Anspruch 1, wobei der Silicium-haltige Feststoff, der auf dem Substrat gebildet wird, eine Schicht umfaßt, die zwischen einer Ta2O5-Schicht und einem Speicherknoten (storage node) eines Kondensators eines Halbleiter-Bau­ elements gebildet wird.
17. Verfahren nach Anspruch 1, wobei der Silicium-haltige Feststoff, der auf dem Substrat gebildet wird, eine dielelektrische Schicht eines Kondensators eines Halbleiter-Bauelements umfaßt.
18. Verfahren nach Anspruch 1, wobei der Silicium-haltige Feststoff, der auf dem Substrat gebildet wird, eine STI-Auskleidung (STI-liner) eines Halbleiterelements umfaßt.
19. Verfahren nach Anspruch 1, wobei der zweite Reaktant, der NH3 enthält, aktiviertes NH3 enthält.
20. Verfahren nach Anspruch 1, wobei die Plasmaleistung des Verfahrens des an anderer Stelle erzeugten Plasmas zwischen ca. 100 und einschließlich 3000 Watt liegt.
21. Atomschicht-Abscheidungsverfahren nach Anspruch 1, weiterhin umfassend den Schritt des Wiederholens mindestens eines der Schritte (b)-(g).
22. Atomschicht-Abscheidungsverfahren zum Bilden einer festen, Silicium-haltigen Dünnschicht, umfassend die Schritte:
  • a) Einbringen eines Substrats in eine Kammer;
  • b) Einspritzen eines ersten Reaktanten, der zwei oder mehr Verbindungen ent­ hält, in die Kammer, wobei jede Komponente Si und Cl enthält;
  • c) Chemisorbieren eines ersten Anteils des ersten Reaktanten auf dem Substrat und Physisorbieren eines zweiten Anteils des ersten Reaktanten auf dem Substrat;
  • d) Entfernen des nicht chemisch absorbierten zweiten Anteils des ersten Reak­ tanten aus der Kammer;
  • e) Einspritzen eines zweiten Reaktanten, der NH3 enthält, in die Kammer;
  • f) chemisches Umsetzen eines ersten Anteils des zweiten Reaktanten mit dem chemisorbierten ersten Anteil des ersten Reaktanten, wodurch ein Silicium­ haltiger Feststoff auf dem Substrat gebildet wird, und
  • g) Entfernen nicht-umgesetzter Anteile des zweiten Reaktanten aus der Kammer.
23. Verfahren nach Anspruch 22, wobei der Silicium-haltige Feststoff, der auf dem Substrat gebildet wird, Si3N4 umfaßt.
24. Verfahren nach Anspruch 22, wobei der Silicium-haltige Feststoff eine Si3N4- Dünnschicht umfaßt.
25. Verfahren nach Anspruch 22, wobei das N/Si-Komponentenverhältnis des Silicium-haltigen Feststoffs zwischen ca. 1 und einschließlich 1,6 liegt.
26. Verfahren nach Anspruch 22, weiterhin umfassend den Schritt des Erwärmens des Substrats auf zwischen 350 und 700°C.
27. Verfahren nach Anspruch 22, weiterhin umfassend den Schritt des Unterdruck­ setzens der Kammer auf einen absoluten Druck von 0,01-100 Torr.
28. Verfahren nach Anspruch 22, wobei der Kammerdruck bei einem konstanten absoluten Druck von 0,01-100 Torr gehalten wird.
29. Verfahren nach Anspruch 22, weiterhin umfassend den Schritt des Änderns des Kammerdrucks während der Durchführung mindestens eines dieser Schritte.
30. Verfahren nach Anspruch 22, wobei der Silicium-haltige Feststoff, der auf dem Substrat gebildet wird, eine Tockenätz-Selektivität gegenüber einem Silicium­ material aufweist (aktives Maskennitrid).
31. Verfahren nach Anspruch 22, wobei der Silicium-haltige Feststoff, der auf dem Substrat gebildet wird, eine Trockenätz-Selektivität bezüglich eines Gate- Materials, ausgewählt aus der Gruppe bestehend aus WSix und dotiertem Poly­ silicon, aufweist (Gate-Maskennitrid).
32. Verfahren nach Anspruch 22, wobei der Silicium-haltige Feststoff, der auf dem Substrat gebildet wird, eine Trockenätz-Selektivität bezüglich eines leitfähigen Materials, ausgewählt aus der Gruppe bestehend aus W und Ti/TiN, aufweist (bit line-Maskennitrid).
33. Verfahren nach Anspruch 22, wobei das Silicium-haltige Material eine chemisch- mechanische Polier-Sperrschicht aufweist.
34. Verfahren nach Anspruch 22, wobei der Silicium-haltige Feststoff, der auf dem Substrat gebildet wird, eine Isolierschicht mit einer Trockenätz-Selektivität bezüglich SiO2 aufweist (Spacer).
35. Verfahren nach Anspruch 22, wobei der Silicium-haltige Feststoff, der auf dem Substrat gebildet wird, eine Isolierschicht umfaßt, die eine Naßätz-Selektivität einer HF-haltigen Lösung bezüglich des SiO2 eines Halbleiter-Bauelements auf­ weist (Naßätz-Sperre).
36. Verfahren nach Anspruch 22, wobei der Silicium-haltige Feststoff, der auf dem Substrat gebildet wird, ein Gate-Dielektrikum eines Halbleiter-Bauelements um­ faßt.
37. Verfahren nach Anspruch 22, wobei der Silicium-haltige Feststoff, der auf dem Substrat gebildet wird, eine Schicht umfaßt, die zwischen einer Ta2O5-Schicht und einem Speicherknoten (storage node) eines Kondensators eines Halbleiter-Bau­ elements gebildet wird.
38. Verfahren nach Anspruch 22, wobei der Silicium-haltige Feststoff, der auf dem Substrat gebildet wird, eine dielektrische Schicht eines Kondensators eines Halb­ leiter-Bauelements umfaßt.
39. Verfahren nach Anspruch 22, wobei der Silicium-haltige Feststoff, der auf dem Substrat gebildet wird, eine STI-Auskleidung (STI-liner) eines Halbleiterelements umfaßt.
40. Verfahren nach Anspruch 22, wobei der zweite, NH3-haltige Reaktant aktiviertes NH3 einschließt.
41. Verfahren nach Anspruch 22, wobei die Plasmaleistung des Verfahrens des an anderer Stelle erzeugten Plasmas zwischen ca. 100 bis einschließlich 3000 Watt beträgt.
42. Atomschicht-Abscheidungsverfahren nach Anspruch 22, weiterhin umfassend das Wiederholen mindestens eines der Schritte (b)-(f).
43. Atomschicht-Abscheidungsverfahren zum Bilden einer festen, Silicium-haltigen Dünnschicht, umfassend die Schritte:
  • a) Einbringen eines Substrats in eine Kammer;
  • b) Einspritzen eines ersten, Si2Cl6-haltigen Reaktantenstroms in die Kammer;
  • c) Zugabe von SiCl4 zum Strom des ersten Reaktanten, während der erste Reaktant in die Kamme eingespritzt wird;
  • d) Chemisorbieren der ersten Anteile des Si2Cl6 und des SiCl4 auf dem Sub­ strat;
  • e) Entfernen nicht chemisch absorbierter Anteile des ersten Reaktanten aus der Kammer;
  • f) Einspritzen eines zweiten Reaktanten, der NH3 enthält, in die Kammer.
  • g) chemisches Umsetzen eines ersten Anteils des zweiten Reaktanten mit den jeweiligen chemisorbierten Anteilen des Si2Cl6 und des SiCl4, wodurch ein Silicium-haltiger Feststoff auf dem Substrat gebildet wird, und
  • h) Entfernen des nicht umgesetzten zweiten Anteils des zweiten Reaktanten aus der Kammer.
44. Verfahren nach Anspruch 43, weiterhin den Schritt des Wiederholens mindestens eines der Schritte (b)-(h) umfassend.
45. Verfahren nach Anspruch 43, wobei der zweite NH3-haltige Reaktant aktiviertes NH3 enthält.
DE10123858A 2001-05-01 2001-05-16 Atomschicht-Abscheidungsverfahren zur Bildung einer Siliciumnitrid-haltigen Dünnschicht Expired - Lifetime DE10123858B4 (de)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US09/847161 2001-05-01
US09/847,161 US6528430B2 (en) 2001-05-01 2001-05-01 Method of forming silicon containing thin films by atomic layer deposition utilizing Si2C16 and NH3

Publications (2)

Publication Number Publication Date
DE10123858A1 true DE10123858A1 (de) 2002-11-28
DE10123858B4 DE10123858B4 (de) 2006-03-23

Family

ID=25299926

Family Applications (1)

Application Number Title Priority Date Filing Date
DE10123858A Expired - Lifetime DE10123858B4 (de) 2001-05-01 2001-05-16 Atomschicht-Abscheidungsverfahren zur Bildung einer Siliciumnitrid-haltigen Dünnschicht

Country Status (5)

Country Link
US (1) US6528430B2 (de)
JP (1) JP3602072B2 (de)
KR (1) KR100443085B1 (de)
DE (1) DE10123858B4 (de)
TW (1) TW483054B (de)

Families Citing this family (414)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR100458982B1 (ko) * 2000-08-09 2004-12-03 주성엔지니어링(주) 회전형 가스분사기를 가지는 반도체소자 제조장치 및 이를이용한 박막증착방법
KR100418581B1 (ko) * 2001-06-12 2004-02-11 주식회사 하이닉스반도체 메모리 소자의 제조방법
KR100468729B1 (ko) * 2002-04-25 2005-01-29 삼성전자주식회사 Hcd 소스를 이용하여 실리콘 산화막을 원자층 증착하는방법
US7374617B2 (en) * 2002-04-25 2008-05-20 Micron Technology, Inc. Atomic layer deposition methods and chemical vapor deposition methods
KR100505668B1 (ko) * 2002-07-08 2005-08-03 삼성전자주식회사 원자층 증착 방법에 의한 실리콘 산화막 형성 방법
AU2003289764A1 (en) * 2002-12-09 2004-06-30 Asm America Inc. Method for forming a dielectric stack
US7084076B2 (en) * 2003-02-27 2006-08-01 Samsung Electronics, Co., Ltd. Method for forming silicon dioxide film using siloxane
JP4047766B2 (ja) 2003-05-21 2008-02-13 エルピーダメモリ株式会社 半導体装置の製造方法
US7378129B2 (en) * 2003-08-18 2008-05-27 Micron Technology, Inc. Atomic layer deposition methods of forming conductive metal nitride comprising layers
US7468311B2 (en) * 2003-09-30 2008-12-23 Tokyo Electron Limited Deposition of silicon-containing films from hexachlorodisilane
US20050109276A1 (en) * 2003-11-25 2005-05-26 Applied Materials, Inc. Thermal chemical vapor deposition of silicon nitride using BTBAS bis(tertiary-butylamino silane) in a single wafer chamber
KR100560654B1 (ko) * 2004-01-08 2006-03-16 삼성전자주식회사 질화실리콘막을 형성을 위한 질소화합물 및 이를 이용한질화실리콘 막의 형성방법
JP4669679B2 (ja) * 2004-07-29 2011-04-13 東京エレクトロン株式会社 窒化珪素膜の製造方法及び半導体装置の製造方法
US7628863B2 (en) * 2004-08-03 2009-12-08 Applied Materials, Inc. Heated gas box for PECVD applications
US7323400B2 (en) * 2004-08-30 2008-01-29 Micron Technology, Inc. Plasma processing, deposition and ALD methods
US20060084283A1 (en) * 2004-10-20 2006-04-20 Paranjpe Ajit P Low temperature sin deposition methods
US20060211248A1 (en) * 2005-02-25 2006-09-21 Brabant Paul D Purifier for chemical reactor
US8138104B2 (en) * 2005-05-26 2012-03-20 Applied Materials, Inc. Method to increase silicon nitride tensile stress using nitrogen plasma in-situ treatment and ex-situ UV cure
US7732342B2 (en) * 2005-05-26 2010-06-08 Applied Materials, Inc. Method to increase the compressive stress of PECVD silicon nitride films
US7566655B2 (en) * 2005-05-26 2009-07-28 Applied Materials, Inc. Integration process for fabricating stressed transistor structure
US8129290B2 (en) * 2005-05-26 2012-03-06 Applied Materials, Inc. Method to increase tensile stress of silicon nitride films using a post PECVD deposition UV cure
KR100648859B1 (ko) * 2005-06-07 2006-11-24 주식회사 하이닉스반도체 반도체 소자 제조 방법
US20070082507A1 (en) * 2005-10-06 2007-04-12 Applied Materials, Inc. Method and apparatus for the low temperature deposition of doped silicon nitride films
US7501355B2 (en) 2006-06-29 2009-03-10 Applied Materials, Inc. Decreasing the etch rate of silicon nitride by carbon addition
KR20090037473A (ko) * 2006-07-20 2009-04-15 린드 인코포레이티드 개선된 원자층 침착 방법
US7692222B2 (en) * 2006-11-07 2010-04-06 Raytheon Company Atomic layer deposition in the formation of gate structures for III-V semiconductor
US20080145536A1 (en) * 2006-12-13 2008-06-19 Applied Materials, Inc. METHOD AND APPARATUS FOR LOW TEMPERATURE AND LOW K SiBN DEPOSITION
US8298628B2 (en) * 2008-06-02 2012-10-30 Air Products And Chemicals, Inc. Low temperature deposition of silicon-containing films
KR101444707B1 (ko) * 2008-06-03 2014-09-26 에어 프로덕츠 앤드 케미칼스, 인코오포레이티드 실리콘 함유 막의 저온 증착
JP5665289B2 (ja) * 2008-10-29 2015-02-04 株式会社日立国際電気 半導体装置の製造方法、基板処理方法および基板処理装置
US10378106B2 (en) 2008-11-14 2019-08-13 Asm Ip Holding B.V. Method of forming insulation film by modified PEALD
WO2010073979A1 (ja) 2008-12-25 2010-07-01 日本電気株式会社 通信品質監視装置、通信システム、通信品質監視方法及びそのプログラム
US9394608B2 (en) 2009-04-06 2016-07-19 Asm America, Inc. Semiconductor processing reactor and components thereof
US8802201B2 (en) 2009-08-14 2014-08-12 Asm America, Inc. Systems and methods for thin-film deposition of metal oxides using excited nitrogen-oxygen species
JP2011082493A (ja) 2009-09-14 2011-04-21 Hitachi Kokusai Electric Inc 半導体装置の製造方法及び基板処理装置
JP5467007B2 (ja) 2009-09-30 2014-04-09 株式会社日立国際電気 半導体装置の製造方法および基板処理装置
US9997357B2 (en) 2010-04-15 2018-06-12 Lam Research Corporation Capped ALD films for doping fin-shaped channel regions of 3-D IC transistors
US9892917B2 (en) 2010-04-15 2018-02-13 Lam Research Corporation Plasma assisted atomic layer deposition of multi-layer films for patterning applications
US9373500B2 (en) 2014-02-21 2016-06-21 Lam Research Corporation Plasma assisted atomic layer deposition titanium oxide for conformal encapsulation and gapfill applications
US9257274B2 (en) 2010-04-15 2016-02-09 Lam Research Corporation Gapfill of variable aspect ratio features with a composite PEALD and PECVD method
US8956983B2 (en) 2010-04-15 2015-02-17 Novellus Systems, Inc. Conformal doping via plasma activated atomic layer deposition and conformal film deposition
US8728956B2 (en) 2010-04-15 2014-05-20 Novellus Systems, Inc. Plasma activated conformal film deposition
US9611544B2 (en) 2010-04-15 2017-04-04 Novellus Systems, Inc. Plasma activated conformal dielectric film deposition
US9076646B2 (en) 2010-04-15 2015-07-07 Lam Research Corporation Plasma enhanced atomic layer deposition with pulsed plasma exposure
US9390909B2 (en) 2013-11-07 2016-07-12 Novellus Systems, Inc. Soft landing nanolaminates for advanced patterning
US8637411B2 (en) 2010-04-15 2014-01-28 Novellus Systems, Inc. Plasma activated conformal dielectric film deposition
JP5687547B2 (ja) 2010-06-28 2015-03-18 株式会社日立国際電気 半導体装置の製造方法、基板処理方法および基板処理装置
US8524612B2 (en) 2010-09-23 2013-09-03 Novellus Systems, Inc. Plasma-activated deposition of conformal films
US9685320B2 (en) 2010-09-23 2017-06-20 Lam Research Corporation Methods for depositing silicon oxide
US8329599B2 (en) 2011-02-18 2012-12-11 Asm Japan K.K. Method of depositing dielectric film by ALD using precursor containing silicon, hydrocarbon, and halogen
US8563443B2 (en) 2011-02-18 2013-10-22 Asm Japan K.K. Method of depositing dielectric film by ALD using precursor containing silicon, hydrocarbon, and halogen
US8647993B2 (en) 2011-04-11 2014-02-11 Novellus Systems, Inc. Methods for UV-assisted conformal film deposition
US9312155B2 (en) 2011-06-06 2016-04-12 Asm Japan K.K. High-throughput semiconductor-processing apparatus equipped with multiple dual-chamber modules
US9793148B2 (en) 2011-06-22 2017-10-17 Asm Japan K.K. Method for positioning wafers in multiple wafer transport
US10364496B2 (en) 2011-06-27 2019-07-30 Asm Ip Holding B.V. Dual section module having shared and unshared mass flow controllers
US10854498B2 (en) 2011-07-15 2020-12-01 Asm Ip Holding B.V. Wafer-supporting device and method for producing same
US20130023129A1 (en) 2011-07-20 2013-01-24 Asm America, Inc. Pressure transmitter for a semiconductor processing environment
US9017481B1 (en) 2011-10-28 2015-04-28 Asm America, Inc. Process feed management for semiconductor substrate processing
US8592328B2 (en) 2012-01-20 2013-11-26 Novellus Systems, Inc. Method for depositing a chlorine-free conformal sin film
US8728955B2 (en) 2012-02-14 2014-05-20 Novellus Systems, Inc. Method of plasma activated deposition of a conformal film on a substrate surface
US8912101B2 (en) 2012-03-15 2014-12-16 Asm Ip Holding B.V. Method for forming Si-containing film using two precursors by ALD
US8946830B2 (en) 2012-04-04 2015-02-03 Asm Ip Holdings B.V. Metal oxide protective layer for a semiconductor device
US9558931B2 (en) 2012-07-27 2017-01-31 Asm Ip Holding B.V. System and method for gas-phase sulfur passivation of a semiconductor surface
US9659799B2 (en) 2012-08-28 2017-05-23 Asm Ip Holding B.V. Systems and methods for dynamic semiconductor process scheduling
US9021985B2 (en) 2012-09-12 2015-05-05 Asm Ip Holdings B.V. Process gas management for an inductively-coupled plasma deposition reactor
US9324811B2 (en) 2012-09-26 2016-04-26 Asm Ip Holding B.V. Structures and devices including a tensile-stressed silicon arsenic layer and methods of forming same
US10714315B2 (en) 2012-10-12 2020-07-14 Asm Ip Holdings B.V. Semiconductor reaction chamber showerhead
US9355839B2 (en) 2012-10-23 2016-05-31 Lam Research Corporation Sub-saturated atomic layer deposition and conformal film deposition
KR20140059107A (ko) 2012-11-07 2014-05-15 주식회사 유피케미칼 실리콘 질화물 박막 제조 방법
SG2013083654A (en) 2012-11-08 2014-06-27 Novellus Systems Inc Methods for depositing films on sensitive substrates
SG2013083241A (en) 2012-11-08 2014-06-27 Novellus Systems Inc Conformal film deposition for gapfill
US9640416B2 (en) 2012-12-26 2017-05-02 Asm Ip Holding B.V. Single-and dual-chamber module-attachable wafer-handling chamber
US9018108B2 (en) 2013-01-25 2015-04-28 Applied Materials, Inc. Low shrinkage dielectric films
US20160376700A1 (en) 2013-02-01 2016-12-29 Asm Ip Holding B.V. System for treatment of deposition reactor
US9484191B2 (en) 2013-03-08 2016-11-01 Asm Ip Holding B.V. Pulsed remote plasma method and system
US9589770B2 (en) 2013-03-08 2017-03-07 Asm Ip Holding B.V. Method and systems for in-situ formation of intermediate reactive species
JP6118197B2 (ja) * 2013-07-02 2017-04-19 東京エレクトロン株式会社 成膜方法
US8993054B2 (en) 2013-07-12 2015-03-31 Asm Ip Holding B.V. Method and system to reduce outgassing in a reaction chamber
US9018111B2 (en) 2013-07-22 2015-04-28 Asm Ip Holding B.V. Semiconductor reaction chamber with plasma capabilities
US9793115B2 (en) 2013-08-14 2017-10-17 Asm Ip Holding B.V. Structures and devices including germanium-tin films and methods of forming same
US9240412B2 (en) 2013-09-27 2016-01-19 Asm Ip Holding B.V. Semiconductor structure and device and methods of forming same using selective epitaxial process
US9905415B2 (en) 2013-10-03 2018-02-27 Versum Materials Us, Llc Methods for depositing silicon nitride films
US9556516B2 (en) 2013-10-09 2017-01-31 ASM IP Holding B.V Method for forming Ti-containing film by PEALD using TDMAT or TDEAT
US10179947B2 (en) 2013-11-26 2019-01-15 Asm Ip Holding B.V. Method for forming conformal nitrided, oxidized, or carbonized dielectric film by atomic layer deposition
US9214334B2 (en) 2014-02-18 2015-12-15 Lam Research Corporation High growth rate process for conformal aluminum nitride
US10683571B2 (en) 2014-02-25 2020-06-16 Asm Ip Holding B.V. Gas supply manifold and method of supplying gases to chamber using same
US9447498B2 (en) 2014-03-18 2016-09-20 Asm Ip Holding B.V. Method for performing uniform processing in gas system-sharing multiple reaction chambers
US10167557B2 (en) 2014-03-18 2019-01-01 Asm Ip Holding B.V. Gas distribution system, reactor including the system, and methods of using the same
US11015245B2 (en) 2014-03-19 2021-05-25 Asm Ip Holding B.V. Gas-phase reactor and system having exhaust plenum and components thereof
US9404587B2 (en) 2014-04-24 2016-08-02 ASM IP Holding B.V Lockout tagout for semiconductor vacuum valve
US10858737B2 (en) 2014-07-28 2020-12-08 Asm Ip Holding B.V. Showerhead assembly and components thereof
US9543180B2 (en) 2014-08-01 2017-01-10 Asm Ip Holding B.V. Apparatus and method for transporting wafers between wafer carrier and process tool under vacuum
US9478411B2 (en) 2014-08-20 2016-10-25 Lam Research Corporation Method to tune TiOx stoichiometry using atomic layer deposited Ti film to minimize contact resistance for TiOx/Ti based MIS contact scheme for CMOS
US9478438B2 (en) 2014-08-20 2016-10-25 Lam Research Corporation Method and apparatus to deposit pure titanium thin film at low temperature using titanium tetraiodide precursor
US9890456B2 (en) 2014-08-21 2018-02-13 Asm Ip Holding B.V. Method and system for in situ formation of gas-phase compounds
US10941490B2 (en) 2014-10-07 2021-03-09 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
US9657845B2 (en) 2014-10-07 2017-05-23 Asm Ip Holding B.V. Variable conductance gas distribution apparatus and method
KR102300403B1 (ko) 2014-11-19 2021-09-09 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
US9564312B2 (en) 2014-11-24 2017-02-07 Lam Research Corporation Selective inhibition in atomic layer deposition of silicon-containing films
KR102263121B1 (ko) 2014-12-22 2021-06-09 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 및 그 제조 방법
US9478415B2 (en) 2015-02-13 2016-10-25 Asm Ip Holding B.V. Method for forming film having low resistance and shallow junction depth
US10529542B2 (en) 2015-03-11 2020-01-07 Asm Ip Holdings B.V. Cross-flow reactor and method
US10276355B2 (en) 2015-03-12 2019-04-30 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
US10566187B2 (en) 2015-03-20 2020-02-18 Lam Research Corporation Ultrathin atomic layer deposition film accuracy thickness control
US9502238B2 (en) 2015-04-03 2016-11-22 Lam Research Corporation Deposition of conformal films by atomic layer deposition and atomic layer etch
US10458018B2 (en) 2015-06-26 2019-10-29 Asm Ip Holding B.V. Structures including metal carbide material, devices including the structures, and methods of forming same
US10600673B2 (en) 2015-07-07 2020-03-24 Asm Ip Holding B.V. Magnetic susceptor to baseplate seal
US10526701B2 (en) 2015-07-09 2020-01-07 Lam Research Corporation Multi-cycle ALD process for film uniformity and thickness profile modulation
US9899291B2 (en) 2015-07-13 2018-02-20 Asm Ip Holding B.V. Method for protecting layer by forming hydrocarbon-based extremely thin film
US10043661B2 (en) 2015-07-13 2018-08-07 Asm Ip Holding B.V. Method for protecting layer by forming hydrocarbon-based extremely thin film
US10083836B2 (en) 2015-07-24 2018-09-25 Asm Ip Holding B.V. Formation of boron-doped titanium metal films with high work function
EP4108803A1 (de) 2015-07-31 2022-12-28 Versum Materials US, LLC Zusammensetzungen und verfahren zur abscheidung von siliciumnitridfilmen
US10087525B2 (en) 2015-08-04 2018-10-02 Asm Ip Holding B.V. Variable gap hard stop design
US9647114B2 (en) 2015-08-14 2017-05-09 Asm Ip Holding B.V. Methods of forming highly p-type doped germanium tin films and structures and devices including the films
US20170053792A1 (en) * 2015-08-21 2017-02-23 Applied Materials, Inc. High Temperature Thermal ALD Silicon Nitride Films
US9711345B2 (en) 2015-08-25 2017-07-18 Asm Ip Holding B.V. Method for forming aluminum nitride-based film by PEALD
US9960072B2 (en) 2015-09-29 2018-05-01 Asm Ip Holding B.V. Variable adjustment for precise matching of multiple chamber cavity housings
US9909214B2 (en) 2015-10-15 2018-03-06 Asm Ip Holding B.V. Method for depositing dielectric film in trenches by PEALD
US10211308B2 (en) 2015-10-21 2019-02-19 Asm Ip Holding B.V. NbMC layers
US10322384B2 (en) 2015-11-09 2019-06-18 Asm Ip Holding B.V. Counter flow mixer for process chamber
US9455138B1 (en) 2015-11-10 2016-09-27 Asm Ip Holding B.V. Method for forming dielectric film in trenches by PEALD using H-containing gas
US9905420B2 (en) 2015-12-01 2018-02-27 Asm Ip Holding B.V. Methods of forming silicon germanium tin films and structures and devices including the films
US9607837B1 (en) 2015-12-21 2017-03-28 Asm Ip Holding B.V. Method for forming silicon oxide cap layer for solid state diffusion process
US9735024B2 (en) 2015-12-28 2017-08-15 Asm Ip Holding B.V. Method of atomic layer etching using functional group-containing fluorocarbon
US9627221B1 (en) 2015-12-28 2017-04-18 Asm Ip Holding B.V. Continuous process incorporating atomic layer etching
US9633838B2 (en) * 2015-12-28 2017-04-25 L'Air Liquide, Société Anonyme pour l'Etude et l'Exploitation des Procédés Georges Claude Vapor deposition of silicon-containing films using penta-substituted disilanes
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
US10468251B2 (en) 2016-02-19 2019-11-05 Asm Ip Holding B.V. Method for forming spacers using silicon nitride film for spacer-defined multiple patterning
US9754779B1 (en) 2016-02-19 2017-09-05 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US10529554B2 (en) 2016-02-19 2020-01-07 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US10501866B2 (en) 2016-03-09 2019-12-10 Asm Ip Holding B.V. Gas distribution apparatus for improved film uniformity in an epitaxial system
US10343920B2 (en) 2016-03-18 2019-07-09 Asm Ip Holding B.V. Aligned carbon nanotubes
US9892913B2 (en) 2016-03-24 2018-02-13 Asm Ip Holding B.V. Radial and thickness control via biased multi-port injection settings
US10087522B2 (en) 2016-04-21 2018-10-02 Asm Ip Holding B.V. Deposition of metal borides
US10190213B2 (en) 2016-04-21 2019-01-29 Asm Ip Holding B.V. Deposition of metal borides
US10865475B2 (en) 2016-04-21 2020-12-15 Asm Ip Holding B.V. Deposition of metal borides and silicides
KR101968966B1 (ko) * 2016-04-29 2019-08-13 세종대학교산학협력단 실리콘 질화막의 증착 방법 및 상기 실리콘 질화막의 증착 장치
US10032628B2 (en) 2016-05-02 2018-07-24 Asm Ip Holding B.V. Source/drain performance through conformal solid state doping
US10367080B2 (en) 2016-05-02 2019-07-30 Asm Ip Holding B.V. Method of forming a germanium oxynitride film
KR102592471B1 (ko) 2016-05-17 2023-10-20 에이에스엠 아이피 홀딩 비.브이. 금속 배선 형성 방법 및 이를 이용한 반도체 장치의 제조 방법
KR102188816B1 (ko) * 2016-05-17 2020-12-11 디디피 스페셜티 일렉트로닉 머티리얼즈 유에스 9 엘엘씨 아미노클로로하이드리도다이실란
US11453943B2 (en) 2016-05-25 2022-09-27 Asm Ip Holding B.V. Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor
US10388509B2 (en) 2016-06-28 2019-08-20 Asm Ip Holding B.V. Formation of epitaxial layers via dislocation filtering
US9773643B1 (en) 2016-06-30 2017-09-26 Lam Research Corporation Apparatus and method for deposition and etch in gap fill
US10062563B2 (en) 2016-07-01 2018-08-28 Lam Research Corporation Selective atomic layer deposition with post-dose treatment
US10612137B2 (en) 2016-07-08 2020-04-07 Asm Ip Holdings B.V. Organic reactants for atomic layer deposition
US9859151B1 (en) 2016-07-08 2018-01-02 Asm Ip Holding B.V. Selective film deposition method to form air gaps
US9793135B1 (en) 2016-07-14 2017-10-17 ASM IP Holding B.V Method of cyclic dry etching using etchant film
US10714385B2 (en) 2016-07-19 2020-07-14 Asm Ip Holding B.V. Selective deposition of tungsten
KR102354490B1 (ko) 2016-07-27 2022-01-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
US10395919B2 (en) 2016-07-28 2019-08-27 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10177025B2 (en) 2016-07-28 2019-01-08 Asm Ip Holding B.V. Method and apparatus for filling a gap
US9812320B1 (en) 2016-07-28 2017-11-07 Asm Ip Holding B.V. Method and apparatus for filling a gap
US9887082B1 (en) 2016-07-28 2018-02-06 Asm Ip Holding B.V. Method and apparatus for filling a gap
KR102532607B1 (ko) 2016-07-28 2023-05-15 에이에스엠 아이피 홀딩 비.브이. 기판 가공 장치 및 그 동작 방법
US10037884B2 (en) 2016-08-31 2018-07-31 Lam Research Corporation Selective atomic layer deposition for gapfill using sacrificial underlayer
US10090316B2 (en) 2016-09-01 2018-10-02 Asm Ip Holding B.V. 3D stacked multilayer semiconductor memory using doped select transistor channel
US10410943B2 (en) 2016-10-13 2019-09-10 Asm Ip Holding B.V. Method for passivating a surface of a semiconductor and related systems
US10643826B2 (en) 2016-10-26 2020-05-05 Asm Ip Holdings B.V. Methods for thermally calibrating reaction chambers
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
US10643904B2 (en) 2016-11-01 2020-05-05 Asm Ip Holdings B.V. Methods for forming a semiconductor device and related semiconductor device structures
US10229833B2 (en) 2016-11-01 2019-03-12 Asm Ip Holding B.V. Methods for forming a transition metal nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10714350B2 (en) 2016-11-01 2020-07-14 ASM IP Holdings, B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10435790B2 (en) 2016-11-01 2019-10-08 Asm Ip Holding B.V. Method of subatmospheric plasma-enhanced ALD using capacitively coupled electrodes with narrow gap
US10134757B2 (en) 2016-11-07 2018-11-20 Asm Ip Holding B.V. Method of processing a substrate and a device manufactured by using the method
KR102546317B1 (ko) 2016-11-15 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기체 공급 유닛 및 이를 포함하는 기판 처리 장치
US10340135B2 (en) 2016-11-28 2019-07-02 Asm Ip Holding B.V. Method of topologically restricted plasma-enhanced cyclic deposition of silicon or metal nitride
KR20180068582A (ko) 2016-12-14 2018-06-22 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11581186B2 (en) 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
US9916980B1 (en) 2016-12-15 2018-03-13 Asm Ip Holding B.V. Method of forming a structure on a substrate
US11447861B2 (en) 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
KR20180070971A (ko) 2016-12-19 2018-06-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10269558B2 (en) 2016-12-22 2019-04-23 Asm Ip Holding B.V. Method of forming a structure on a substrate
US10867788B2 (en) 2016-12-28 2020-12-15 Asm Ip Holding B.V. Method of forming a structure on a substrate
US11390950B2 (en) 2017-01-10 2022-07-19 Asm Ip Holding B.V. Reactor system and method to reduce residue buildup during a film deposition process
US10655221B2 (en) 2017-02-09 2020-05-19 Asm Ip Holding B.V. Method for depositing oxide film by thermal ALD and PEALD
US10468261B2 (en) 2017-02-15 2019-11-05 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
US10283353B2 (en) 2017-03-29 2019-05-07 Asm Ip Holding B.V. Method of reforming insulating film deposited on substrate with recess pattern
US10529563B2 (en) 2017-03-29 2020-01-07 Asm Ip Holdings B.V. Method for forming doped metal oxide films on a substrate by cyclical deposition and related semiconductor device structures
US10103040B1 (en) 2017-03-31 2018-10-16 Asm Ip Holding B.V. Apparatus and method for manufacturing a semiconductor device
USD830981S1 (en) 2017-04-07 2018-10-16 Asm Ip Holding B.V. Susceptor for semiconductor substrate processing apparatus
KR102457289B1 (ko) 2017-04-25 2022-10-21 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10770286B2 (en) 2017-05-08 2020-09-08 Asm Ip Holdings B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
US10446393B2 (en) 2017-05-08 2019-10-15 Asm Ip Holding B.V. Methods for forming silicon-containing epitaxial layers and related semiconductor device structures
US10892156B2 (en) 2017-05-08 2021-01-12 Asm Ip Holding B.V. Methods for forming a silicon nitride film on a substrate and related semiconductor device structures
US10504742B2 (en) 2017-05-31 2019-12-10 Asm Ip Holding B.V. Method of atomic layer etching using hydrogen plasma
US10886123B2 (en) 2017-06-02 2021-01-05 Asm Ip Holding B.V. Methods for forming low temperature semiconductor layers and related semiconductor device structures
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
US10685834B2 (en) 2017-07-05 2020-06-16 Asm Ip Holdings B.V. Methods for forming a silicon germanium tin layer and related semiconductor device structures
KR20190009245A (ko) 2017-07-18 2019-01-28 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 구조물 형성 방법 및 관련된 반도체 소자 구조물
US11374112B2 (en) 2017-07-19 2022-06-28 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US11018002B2 (en) 2017-07-19 2021-05-25 Asm Ip Holding B.V. Method for selectively depositing a Group IV semiconductor and related semiconductor device structures
US10541333B2 (en) 2017-07-19 2020-01-21 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US10312055B2 (en) 2017-07-26 2019-06-04 Asm Ip Holding B.V. Method of depositing film by PEALD using negative bias
US10605530B2 (en) 2017-07-26 2020-03-31 Asm Ip Holding B.V. Assembly of a liner and a flange for a vertical furnace as well as the liner and the vertical furnace
US10590535B2 (en) 2017-07-26 2020-03-17 Asm Ip Holdings B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US10692741B2 (en) 2017-08-08 2020-06-23 Asm Ip Holdings B.V. Radiation shield
US10770336B2 (en) 2017-08-08 2020-09-08 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US10249524B2 (en) 2017-08-09 2019-04-02 Asm Ip Holding B.V. Cassette holder assembly for a substrate cassette and holding member for use in such assembly
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11139191B2 (en) 2017-08-09 2021-10-05 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US10236177B1 (en) 2017-08-22 2019-03-19 ASM IP Holding B.V.. Methods for depositing a doped germanium tin semiconductor and related semiconductor device structures
USD900036S1 (en) 2017-08-24 2020-10-27 Asm Ip Holding B.V. Heater electrical connector and adapter
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
KR102491945B1 (ko) 2017-08-30 2023-01-26 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11056344B2 (en) 2017-08-30 2021-07-06 Asm Ip Holding B.V. Layer forming method
KR102401446B1 (ko) 2017-08-31 2022-05-24 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10269559B2 (en) 2017-09-13 2019-04-23 Lam Research Corporation Dielectric gapfill of high aspect ratio features utilizing a sacrificial etch cap layer
US10607895B2 (en) 2017-09-18 2020-03-31 Asm Ip Holdings B.V. Method for forming a semiconductor device structure comprising a gate fill metal
KR102630301B1 (ko) 2017-09-21 2024-01-29 에이에스엠 아이피 홀딩 비.브이. 침투성 재료의 순차 침투 합성 방법 처리 및 이를 이용하여 형성된 구조물 및 장치
US10844484B2 (en) 2017-09-22 2020-11-24 Asm Ip Holding B.V. Apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US10658205B2 (en) 2017-09-28 2020-05-19 Asm Ip Holdings B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
US10403504B2 (en) 2017-10-05 2019-09-03 Asm Ip Holding B.V. Method for selectively depositing a metallic film on a substrate
US10319588B2 (en) 2017-10-10 2019-06-11 Asm Ip Holding B.V. Method for depositing a metal chalcogenide on a substrate by cyclical deposition
US10923344B2 (en) 2017-10-30 2021-02-16 Asm Ip Holding B.V. Methods for forming a semiconductor structure and related semiconductor structures
US10910262B2 (en) 2017-11-16 2021-02-02 Asm Ip Holding B.V. Method of selectively depositing a capping layer structure on a semiconductor device structure
KR102443047B1 (ko) 2017-11-16 2022-09-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 방법 및 그에 의해 제조된 장치
US11022879B2 (en) 2017-11-24 2021-06-01 Asm Ip Holding B.V. Method of forming an enhanced unexposed photoresist layer
WO2019103610A1 (en) 2017-11-27 2019-05-31 Asm Ip Holding B.V. Apparatus including a clean mini environment
CN111316417B (zh) 2017-11-27 2023-12-22 阿斯莫Ip控股公司 与批式炉偕同使用的用于储存晶圆匣的储存装置
US10290508B1 (en) 2017-12-05 2019-05-14 Asm Ip Holding B.V. Method for forming vertical spacers for spacer-defined patterning
US10872771B2 (en) 2018-01-16 2020-12-22 Asm Ip Holding B. V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
CN111630203A (zh) 2018-01-19 2020-09-04 Asm Ip私人控股有限公司 通过等离子体辅助沉积来沉积间隙填充层的方法
TWI799494B (zh) 2018-01-19 2023-04-21 荷蘭商Asm 智慧財產控股公司 沈積方法
USD903477S1 (en) 2018-01-24 2020-12-01 Asm Ip Holdings B.V. Metal clamp
US11018047B2 (en) 2018-01-25 2021-05-25 Asm Ip Holding B.V. Hybrid lift pin
USD880437S1 (en) 2018-02-01 2020-04-07 Asm Ip Holding B.V. Gas supply plate for semiconductor manufacturing apparatus
US10535516B2 (en) 2018-02-01 2020-01-14 Asm Ip Holdings B.V. Method for depositing a semiconductor structure on a surface of a substrate and related semiconductor structures
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
CN111699278B (zh) 2018-02-14 2023-05-16 Asm Ip私人控股有限公司 通过循环沉积工艺在衬底上沉积含钌膜的方法
US10896820B2 (en) 2018-02-14 2021-01-19 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
US10731249B2 (en) 2018-02-15 2020-08-04 Asm Ip Holding B.V. Method of forming a transition metal containing film on a substrate by a cyclical deposition process, a method for supplying a transition metal halide compound to a reaction chamber, and related vapor deposition apparatus
US10658181B2 (en) 2018-02-20 2020-05-19 Asm Ip Holding B.V. Method of spacer-defined direct patterning in semiconductor fabrication
KR102636427B1 (ko) 2018-02-20 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 장치
US10975470B2 (en) 2018-02-23 2021-04-13 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
JP6789257B2 (ja) * 2018-02-28 2020-11-25 株式会社Kokusai Electric 半導体装置の製造方法、基板処理装置、およびプログラム
JP7199497B2 (ja) * 2018-02-28 2023-01-05 株式会社Kokusai Electric 基板処理方法、半導体装置の製造方法、基板処理装置、およびプログラム
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
US11629406B2 (en) 2018-03-09 2023-04-18 Asm Ip Holding B.V. Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate
US11114283B2 (en) 2018-03-16 2021-09-07 Asm Ip Holding B.V. Reactor, system including the reactor, and methods of manufacturing and using same
KR102646467B1 (ko) 2018-03-27 2024-03-11 에이에스엠 아이피 홀딩 비.브이. 기판 상에 전극을 형성하는 방법 및 전극을 포함하는 반도체 소자 구조
US11088002B2 (en) 2018-03-29 2021-08-10 Asm Ip Holding B.V. Substrate rack and a substrate processing system and method
US11230766B2 (en) 2018-03-29 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
US10510536B2 (en) 2018-03-29 2019-12-17 Asm Ip Holding B.V. Method of depositing a co-doped polysilicon film on a surface of a substrate within a reaction chamber
KR102501472B1 (ko) 2018-03-30 2023-02-20 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
TWI811348B (zh) 2018-05-08 2023-08-11 荷蘭商Asm 智慧財產控股公司 藉由循環沉積製程於基板上沉積氧化物膜之方法及相關裝置結構
KR20190129718A (ko) 2018-05-11 2019-11-20 에이에스엠 아이피 홀딩 비.브이. 기판 상에 피도핑 금속 탄화물 막을 형성하는 방법 및 관련 반도체 소자 구조
KR102596988B1 (ko) 2018-05-28 2023-10-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 그에 의해 제조된 장치
US10774422B2 (en) 2018-06-01 2020-09-15 Asm Ip Holding B.V. Systems and methods for controlling vapor phase processing
US11270899B2 (en) 2018-06-04 2022-03-08 Asm Ip Holding B.V. Wafer handling chamber with moisture reduction
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
US11286562B2 (en) 2018-06-08 2022-03-29 Asm Ip Holding B.V. Gas-phase chemical reactor and method of using same
US10797133B2 (en) 2018-06-21 2020-10-06 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
KR102568797B1 (ko) 2018-06-21 2023-08-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 시스템
CN112292477A (zh) 2018-06-27 2021-01-29 Asm Ip私人控股有限公司 用于形成含金属的材料的循环沉积方法及包含含金属的材料的膜和结构
CN112292478A (zh) 2018-06-27 2021-01-29 Asm Ip私人控股有限公司 用于形成含金属的材料的循环沉积方法及包含含金属的材料的膜和结构
KR20200002519A (ko) 2018-06-29 2020-01-08 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10612136B2 (en) 2018-06-29 2020-04-07 ASM IP Holding, B.V. Temperature-controlled flange and reactor system including same
US10388513B1 (en) 2018-07-03 2019-08-20 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10755922B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10767789B2 (en) 2018-07-16 2020-09-08 Asm Ip Holding B.V. Diaphragm valves, valve components, and methods for forming valve components
US10483099B1 (en) 2018-07-26 2019-11-19 Asm Ip Holding B.V. Method for forming thermally stable organosilicon polymer film
US11053591B2 (en) 2018-08-06 2021-07-06 Asm Ip Holding B.V. Multi-port gas injection system and reactor system including same
US10883175B2 (en) 2018-08-09 2021-01-05 Asm Ip Holding B.V. Vertical furnace for processing substrates and a liner for use therein
US10829852B2 (en) 2018-08-16 2020-11-10 Asm Ip Holding B.V. Gas distribution device for a wafer processing apparatus
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
KR20200030162A (ko) 2018-09-11 2020-03-20 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
US11024523B2 (en) 2018-09-11 2021-06-01 Asm Ip Holding B.V. Substrate processing apparatus and method
US11049751B2 (en) 2018-09-14 2021-06-29 Asm Ip Holding B.V. Cassette supply system to store and handle cassettes and processing apparatus equipped therewith
CN110970344A (zh) 2018-10-01 2020-04-07 Asm Ip控股有限公司 衬底保持设备、包含所述设备的系统及其使用方法
US11232963B2 (en) 2018-10-03 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102592699B1 (ko) 2018-10-08 2023-10-23 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 박막 증착 장치와 기판 처리 장치
US10847365B2 (en) 2018-10-11 2020-11-24 Asm Ip Holding B.V. Method of forming conformal silicon carbide film by cyclic CVD
US10811256B2 (en) 2018-10-16 2020-10-20 Asm Ip Holding B.V. Method for etching a carbon-containing feature
KR102605121B1 (ko) 2018-10-19 2023-11-23 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
KR102546322B1 (ko) 2018-10-19 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
USD948463S1 (en) 2018-10-24 2022-04-12 Asm Ip Holding B.V. Susceptor for semiconductor substrate supporting apparatus
US10381219B1 (en) 2018-10-25 2019-08-13 Asm Ip Holding B.V. Methods for forming a silicon nitride film
US11087997B2 (en) 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
KR20200051105A (ko) 2018-11-02 2020-05-13 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 기판 처리 장치
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US11031242B2 (en) 2018-11-07 2021-06-08 Asm Ip Holding B.V. Methods for depositing a boron doped silicon germanium film
US10847366B2 (en) 2018-11-16 2020-11-24 Asm Ip Holding B.V. Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process
US10818758B2 (en) 2018-11-16 2020-10-27 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US10559458B1 (en) 2018-11-26 2020-02-11 Asm Ip Holding B.V. Method of forming oxynitride film
US11217444B2 (en) 2018-11-30 2022-01-04 Asm Ip Holding B.V. Method for forming an ultraviolet radiation responsive metal oxide-containing film
KR102636428B1 (ko) 2018-12-04 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치를 세정하는 방법
KR102402779B1 (ko) * 2018-12-11 2022-05-30 한양대학교 산학협력단 실리콘 전구체 가압 도징 단계를 포함하는 절연막 제조방법
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
TW202037745A (zh) 2018-12-14 2020-10-16 荷蘭商Asm Ip私人控股有限公司 形成裝置結構之方法、其所形成之結構及施行其之系統
TW202405220A (zh) 2019-01-17 2024-02-01 荷蘭商Asm Ip 私人控股有限公司 藉由循環沈積製程於基板上形成含過渡金屬膜之方法
KR20200091543A (ko) 2019-01-22 2020-07-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
CN111524788B (zh) 2019-02-01 2023-11-24 Asm Ip私人控股有限公司 氧化硅的拓扑选择性膜形成的方法
US11482533B2 (en) 2019-02-20 2022-10-25 Asm Ip Holding B.V. Apparatus and methods for plug fill deposition in 3-D NAND applications
KR102626263B1 (ko) 2019-02-20 2024-01-16 에이에스엠 아이피 홀딩 비.브이. 처리 단계를 포함하는 주기적 증착 방법 및 이를 위한 장치
TW202044325A (zh) 2019-02-20 2020-12-01 荷蘭商Asm Ip私人控股有限公司 填充一基板之一表面內所形成的一凹槽的方法、根據其所形成之半導體結構、及半導體處理設備
JP2020136677A (ja) 2019-02-20 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材表面内に形成された凹部を充填するための周期的堆積方法および装置
JP2020133004A (ja) 2019-02-22 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材を処理するための基材処理装置および方法
KR20200108243A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOC 층을 포함한 구조체 및 이의 형성 방법
KR20200108242A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. 실리콘 질화물 층을 선택적으로 증착하는 방법, 및 선택적으로 증착된 실리콘 질화물 층을 포함하는 구조체
KR20200108248A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOCN 층을 포함한 구조체 및 이의 형성 방법
KR20200116033A (ko) 2019-03-28 2020-10-08 에이에스엠 아이피 홀딩 비.브이. 도어 개방기 및 이를 구비한 기판 처리 장치
KR20200116855A (ko) 2019-04-01 2020-10-13 에이에스엠 아이피 홀딩 비.브이. 반도체 소자를 제조하는 방법
KR20200123380A (ko) 2019-04-19 2020-10-29 에이에스엠 아이피 홀딩 비.브이. 층 형성 방법 및 장치
KR20200125453A (ko) 2019-04-24 2020-11-04 에이에스엠 아이피 홀딩 비.브이. 기상 반응기 시스템 및 이를 사용하는 방법
KR20200130118A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 비정질 탄소 중합체 막을 개질하는 방법
KR20200130121A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 딥 튜브가 있는 화학물질 공급원 용기
KR20200130652A (ko) 2019-05-10 2020-11-19 에이에스엠 아이피 홀딩 비.브이. 표면 상에 재료를 증착하는 방법 및 본 방법에 따라 형성된 구조
JP2020188255A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
JP2020188254A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
USD935572S1 (en) 2019-05-24 2021-11-09 Asm Ip Holding B.V. Gas channel plate
USD922229S1 (en) 2019-06-05 2021-06-15 Asm Ip Holding B.V. Device for controlling a temperature of a gas supply unit
KR20200141003A (ko) 2019-06-06 2020-12-17 에이에스엠 아이피 홀딩 비.브이. 가스 감지기를 포함하는 기상 반응기 시스템
KR20200143254A (ko) 2019-06-11 2020-12-23 에이에스엠 아이피 홀딩 비.브이. 개질 가스를 사용하여 전자 구조를 형성하는 방법, 상기 방법을 수행하기 위한 시스템, 및 상기 방법을 사용하여 형성되는 구조
USD944946S1 (en) 2019-06-14 2022-03-01 Asm Ip Holding B.V. Shower plate
USD931978S1 (en) 2019-06-27 2021-09-28 Asm Ip Holding B.V. Showerhead vacuum transport
KR20210005515A (ko) 2019-07-03 2021-01-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치용 온도 제어 조립체 및 이를 사용하는 방법
JP7499079B2 (ja) 2019-07-09 2024-06-13 エーエスエム・アイピー・ホールディング・ベー・フェー 同軸導波管を用いたプラズマ装置、基板処理方法
CN112216646A (zh) 2019-07-10 2021-01-12 Asm Ip私人控股有限公司 基板支撑组件及包括其的基板处理装置
KR20210010307A (ko) 2019-07-16 2021-01-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210010816A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 라디칼 보조 점화 플라즈마 시스템 및 방법
KR20210010820A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 실리콘 게르마늄 구조를 형성하는 방법
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
CN112242296A (zh) 2019-07-19 2021-01-19 Asm Ip私人控股有限公司 形成拓扑受控的无定形碳聚合物膜的方法
TW202113936A (zh) 2019-07-29 2021-04-01 荷蘭商Asm Ip私人控股有限公司 用於利用n型摻雜物及/或替代摻雜物選擇性沉積以達成高摻雜物併入之方法
CN112309900A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
CN112309899A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
CN112323048B (zh) 2019-08-05 2024-02-09 Asm Ip私人控股有限公司 用于化学源容器的液位传感器
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
JP2021031769A (ja) 2019-08-21 2021-03-01 エーエスエム アイピー ホールディング ビー.ブイ. 成膜原料混合ガス生成装置及び成膜装置
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
USD930782S1 (en) 2019-08-22 2021-09-14 Asm Ip Holding B.V. Gas distributor
KR20210024423A (ko) 2019-08-22 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 홀을 구비한 구조체를 형성하기 위한 방법
USD940837S1 (en) 2019-08-22 2022-01-11 Asm Ip Holding B.V. Electrode
USD949319S1 (en) 2019-08-22 2022-04-19 Asm Ip Holding B.V. Exhaust duct
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
KR20210024420A (ko) 2019-08-23 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 비스(디에틸아미노)실란을 사용하여 peald에 의해 개선된 품질을 갖는 실리콘 산화물 막을 증착하기 위한 방법
KR20210029090A (ko) 2019-09-04 2021-03-15 에이에스엠 아이피 홀딩 비.브이. 희생 캡핑 층을 이용한 선택적 증착 방법
KR20210029663A (ko) 2019-09-05 2021-03-16 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
CN112593212B (zh) 2019-10-02 2023-12-22 Asm Ip私人控股有限公司 通过循环等离子体增强沉积工艺形成拓扑选择性氧化硅膜的方法
KR20210042810A (ko) 2019-10-08 2021-04-20 에이에스엠 아이피 홀딩 비.브이. 활성 종을 이용하기 위한 가스 분배 어셈블리를 포함한 반응기 시스템 및 이를 사용하는 방법
TW202129060A (zh) 2019-10-08 2021-08-01 荷蘭商Asm Ip控股公司 基板處理裝置、及基板處理方法
TW202115273A (zh) 2019-10-10 2021-04-16 荷蘭商Asm Ip私人控股有限公司 形成光阻底層之方法及包括光阻底層之結構
US12009241B2 (en) 2019-10-14 2024-06-11 Asm Ip Holding B.V. Vertical batch furnace assembly with detector to detect cassette
TWI834919B (zh) 2019-10-16 2024-03-11 荷蘭商Asm Ip私人控股有限公司 氧化矽之拓撲選擇性膜形成之方法
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
KR20210047808A (ko) 2019-10-21 2021-04-30 에이에스엠 아이피 홀딩 비.브이. 막을 선택적으로 에칭하기 위한 장치 및 방법
KR20210050453A (ko) 2019-10-25 2021-05-07 에이에스엠 아이피 홀딩 비.브이. 기판 표면 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
KR20210054983A (ko) 2019-11-05 2021-05-14 에이에스엠 아이피 홀딩 비.브이. 도핑된 반도체 층을 갖는 구조체 및 이를 형성하기 위한 방법 및 시스템
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
KR20210062561A (ko) 2019-11-20 2021-05-31 에이에스엠 아이피 홀딩 비.브이. 기판의 표면 상에 탄소 함유 물질을 증착하는 방법, 상기 방법을 사용하여 형성된 구조물, 및 상기 구조물을 형성하기 위한 시스템
CN112951697A (zh) 2019-11-26 2021-06-11 Asm Ip私人控股有限公司 基板处理设备
KR20210065848A (ko) 2019-11-26 2021-06-04 에이에스엠 아이피 홀딩 비.브이. 제1 유전체 표면과 제2 금속성 표면을 포함한 기판 상에 타겟 막을 선택적으로 형성하기 위한 방법
CN112885692A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
CN112885693A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
JP2021090042A (ja) 2019-12-02 2021-06-10 エーエスエム アイピー ホールディング ビー.ブイ. 基板処理装置、基板処理方法
KR20210070898A (ko) 2019-12-04 2021-06-15 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
JP2021097227A (ja) 2019-12-17 2021-06-24 エーエスエム・アイピー・ホールディング・ベー・フェー 窒化バナジウム層および窒化バナジウム層を含む構造体を形成する方法
US11527403B2 (en) 2019-12-19 2022-12-13 Asm Ip Holding B.V. Methods for filling a gap feature on a substrate surface and related semiconductor structures
TW202140135A (zh) 2020-01-06 2021-11-01 荷蘭商Asm Ip私人控股有限公司 氣體供應總成以及閥板總成
US11993847B2 (en) 2020-01-08 2024-05-28 Asm Ip Holding B.V. Injector
US11551912B2 (en) 2020-01-20 2023-01-10 Asm Ip Holding B.V. Method of forming thin film and method of modifying surface of thin film
TW202130846A (zh) 2020-02-03 2021-08-16 荷蘭商Asm Ip私人控股有限公司 形成包括釩或銦層的結構之方法
TW202146882A (zh) 2020-02-04 2021-12-16 荷蘭商Asm Ip私人控股有限公司 驗證一物品之方法、用於驗證一物品之設備、及用於驗證一反應室之系統
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
US11781243B2 (en) 2020-02-17 2023-10-10 Asm Ip Holding B.V. Method for depositing low temperature phosphorous-doped silicon
TW202203344A (zh) 2020-02-28 2022-01-16 荷蘭商Asm Ip控股公司 專用於零件清潔的系統
KR20210116249A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 록아웃 태그아웃 어셈블리 및 시스템 그리고 이의 사용 방법
KR20210116240A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 조절성 접합부를 갖는 기판 핸들링 장치
KR20210117157A (ko) 2020-03-12 2021-09-28 에이에스엠 아이피 홀딩 비.브이. 타겟 토폴로지 프로파일을 갖는 층 구조를 제조하기 위한 방법
KR20210124042A (ko) 2020-04-02 2021-10-14 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법
TW202146689A (zh) 2020-04-03 2021-12-16 荷蘭商Asm Ip控股公司 阻障層形成方法及半導體裝置的製造方法
TW202145344A (zh) 2020-04-08 2021-12-01 荷蘭商Asm Ip私人控股有限公司 用於選擇性蝕刻氧化矽膜之設備及方法
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
US11996289B2 (en) 2020-04-16 2024-05-28 Asm Ip Holding B.V. Methods of forming structures including silicon germanium and silicon layers, devices formed using the methods, and systems for performing the methods
TW202146831A (zh) 2020-04-24 2021-12-16 荷蘭商Asm Ip私人控股有限公司 垂直批式熔爐總成、及用於冷卻垂直批式熔爐之方法
KR20210132600A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐, 질소 및 추가 원소를 포함한 층을 증착하기 위한 방법 및 시스템
KR20210132576A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐 나이트라이드 함유 층을 형성하는 방법 및 이를 포함하는 구조
KR20210134226A (ko) 2020-04-29 2021-11-09 에이에스엠 아이피 홀딩 비.브이. 고체 소스 전구체 용기
KR20210134869A (ko) 2020-05-01 2021-11-11 에이에스엠 아이피 홀딩 비.브이. Foup 핸들러를 이용한 foup의 빠른 교환
KR20210141379A (ko) 2020-05-13 2021-11-23 에이에스엠 아이피 홀딩 비.브이. 반응기 시스템용 레이저 정렬 고정구
TW202147383A (zh) 2020-05-19 2021-12-16 荷蘭商Asm Ip私人控股有限公司 基材處理設備
KR20210145078A (ko) 2020-05-21 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 다수의 탄소 층을 포함한 구조체 및 이를 형성하고 사용하는 방법
TW202200837A (zh) 2020-05-22 2022-01-01 荷蘭商Asm Ip私人控股有限公司 用於在基材上形成薄膜之反應系統
TW202201602A (zh) 2020-05-29 2022-01-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
JP2021188094A (ja) 2020-05-29 2021-12-13 大陽日酸株式会社 混合ガス供給装置、金属窒化膜の製造装置、及び金属窒化膜の製造方法
TW202218133A (zh) 2020-06-24 2022-05-01 荷蘭商Asm Ip私人控股有限公司 形成含矽層之方法
TW202217953A (zh) 2020-06-30 2022-05-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202219628A (zh) 2020-07-17 2022-05-16 荷蘭商Asm Ip私人控股有限公司 用於光微影之結構與方法
TW202204662A (zh) 2020-07-20 2022-02-01 荷蘭商Asm Ip私人控股有限公司 用於沉積鉬層之方法及系統
KR20220027026A (ko) 2020-08-26 2022-03-07 에이에스엠 아이피 홀딩 비.브이. 금속 실리콘 산화물 및 금속 실리콘 산질화물 층을 형성하기 위한 방법 및 시스템
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
US12009224B2 (en) 2020-09-29 2024-06-11 Asm Ip Holding B.V. Apparatus and method for etching metal nitrides
TW202229613A (zh) 2020-10-14 2022-08-01 荷蘭商Asm Ip私人控股有限公司 於階梯式結構上沉積材料的方法
TW202217037A (zh) 2020-10-22 2022-05-01 荷蘭商Asm Ip私人控股有限公司 沉積釩金屬的方法、結構、裝置及沉積總成
TW202223136A (zh) 2020-10-28 2022-06-16 荷蘭商Asm Ip私人控股有限公司 用於在基板上形成層之方法、及半導體處理系統
TW202235675A (zh) 2020-11-30 2022-09-16 荷蘭商Asm Ip私人控股有限公司 注入器、及基板處理設備
US11946137B2 (en) 2020-12-16 2024-04-02 Asm Ip Holding B.V. Runout and wobble measurement fixtures
TW202231903A (zh) 2020-12-22 2022-08-16 荷蘭商Asm Ip私人控股有限公司 過渡金屬沉積方法、過渡金屬層、用於沉積過渡金屬於基板上的沉積總成
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
USD1023959S1 (en) 2021-05-11 2024-04-23 Asm Ip Holding B.V. Electrode for substrate processing apparatus
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate

Family Cites Families (13)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US3924024A (en) * 1973-04-02 1975-12-02 Ncr Co Process for fabricating MNOS non-volatile memories
US5037514A (en) * 1986-01-06 1991-08-06 Semiconductor Energy Laboratory Co., Ltd. Silicon oxide depositing method
ES2006119A6 (es) * 1988-03-24 1989-04-01 Union Explosivos Rio Tinto Procedimiento de obtencion de nitruro de silicio.
US5300322A (en) * 1992-03-10 1994-04-05 Martin Marietta Energy Systems, Inc. Molybdenum enhanced low-temperature deposition of crystalline silicon nitride
US5981404A (en) * 1996-11-22 1999-11-09 United Microelectronics Corp. Multilayer ONO structure
US6090442A (en) * 1997-04-14 2000-07-18 University Technology Corporation Method of growing films on substrates at room temperatures using catalyzed binary reaction sequence chemistry
JPH1174485A (ja) * 1997-06-30 1999-03-16 Toshiba Corp 半導体装置およびその製造方法
KR100269328B1 (ko) * 1997-12-31 2000-10-16 윤종용 원자층 증착 공정을 이용하는 도전층 형성방법
KR100275738B1 (ko) * 1998-08-07 2000-12-15 윤종용 원자층 증착법을 이용한 박막 제조방법
JP4021593B2 (ja) * 1998-09-25 2007-12-12 株式会社東芝 半導体装置およびその製造方法
JP2001168092A (ja) * 1999-01-08 2001-06-22 Toshiba Corp 半導体装置およびその製造方法
KR20010017820A (ko) * 1999-08-14 2001-03-05 윤종용 반도체 소자 및 그 제조방법
KR20020065245A (ko) * 2001-02-06 2002-08-13 주식회사 하이닉스반도체 피이에이엘디법을 이용한 박막 증착방법

Also Published As

Publication number Publication date
KR100443085B1 (ko) 2004-08-04
JP2002343793A (ja) 2002-11-29
US6528430B2 (en) 2003-03-04
KR20020085487A (ko) 2002-11-16
US20020164890A1 (en) 2002-11-07
JP3602072B2 (ja) 2004-12-15
DE10123858B4 (de) 2006-03-23
TW483054B (en) 2002-04-11

Similar Documents

Publication Publication Date Title
DE10123858B4 (de) Atomschicht-Abscheidungsverfahren zur Bildung einer Siliciumnitrid-haltigen Dünnschicht
DE10137088B4 (de) Verfahren zum Ausbilden von siliziumhaltigen Dünnschichten mittels Atomschichtabscheidung (Atomic Layer Deposition) unter Verwendung von Aminosilanen
DE60315850T2 (de) Verfahren zur herstellung von siliziumnitridfilmen und siliziumoxinitridfilmen durch thermische chemische aufdampfung
DE1614540C3 (de) Halbleiteranordnung sowie Verfahren zu ihrer Herstellung
DE60023573T2 (de) Verfahren zur Herstellung eines Kondensators mit Tantalpentoxid in einem integrierten Schaltkreis
DE60027401T2 (de) Radikal-unterstützte sequentielle gasphasenabscheidung
DE4229568C2 (de) Verfahren zum Niederschlagen dünner Titannitridschichten mit niedrigem und stabilem spezifischen Volumenwiderstand
DE4430120B4 (de) Verfahren zur Erzeugung eines Dielektrikums
DE10065454B4 (de) Verfahren zur Herstellung eines Aluminiumoxidfilms zur Verwendung in einem Halbleitergerät
DE102004020157A1 (de) Kondensator mit legierter dielektrischer Hafnium-Oxid und Aluminium-Oxid-Schicht und Verfahren zur Herstellung desselben
DE19820147A1 (de) Verfahren zur Bildung einer leitfähigen Schicht mittels eines atomaren Schichtdepositionsprozesses
DE19853598A1 (de) Dünnschichtherstellungsverfahren mit atomarer Schichtdeposition
DE4337889B4 (de) Verfahren zum Herstellen eines Kondensators in einer Halbleiterspeichervorrichtung
DE102005062965A1 (de) Kondensator mit dielektrischer Nanokomposit-Schicht und Verfahren zur Herstellung desselben
DE10361829A1 (de) Halbleiterbauelement mit epitaktischer C49-Titan-Silizid(TiSi2)-Schicht und Verfahren zur Herstellung desselben
DE102004048679B4 (de) Verfahren zum Herstellen eines Isolator-Dünnfilms sowie Verfahren zum Herstellen eines Halbleiterbauteils
DE102004016162A1 (de) Verfahren zum Bilden eines Metalloxidfilmes
DE60005875T2 (de) Herstellungsverfahren für einen porösen Siliziumdioxid-Film
DE60035557T2 (de) Verfahren und vorrichtung zur herstellung dünner filme
DE10228798A1 (de) Bilden ferroelektrischer Pb(Zr,Ti)O3-Filme
DE10350354B4 (de) Orientierungs-unabhängige Oxidation von nitriertem Silizium
DE602004000157T2 (de) CVD-Abscheidung von Hf und Zr enthaltenden Oxinitride Filmen
DE2636280A1 (de) Anordnung aus einem substrat und mindestens einer darauf aufgebrachten schicht und herstellungsverfahren hierfuer
DE10031577A1 (de) Kondensator für ein Halbleiterpeicherbauelement und Verfahren zu dessen Herstellung
DE3131875A1 (de) &#34;verfahren zum herstellen einer halbleiterstruktur und halbleiterstruktur&#34;

Legal Events

Date Code Title Description
OP8 Request for examination as to paragraph 44 patent law
8364 No opposition during term of opposition
R071 Expiry of right