KR102188816B1 - 아미노클로로하이드리도다이실란 - Google Patents

아미노클로로하이드리도다이실란 Download PDF

Info

Publication number
KR102188816B1
KR102188816B1 KR1020187034969A KR20187034969A KR102188816B1 KR 102188816 B1 KR102188816 B1 KR 102188816B1 KR 1020187034969 A KR1020187034969 A KR 1020187034969A KR 20187034969 A KR20187034969 A KR 20187034969A KR 102188816 B1 KR102188816 B1 KR 102188816B1
Authority
KR
South Korea
Prior art keywords
silicon
film
substrate
precursor
vapor
Prior art date
Application number
KR1020187034969A
Other languages
English (en)
Other versions
KR20190004323A (ko
Inventor
노엘 장
병 케이. 황
브라이언 데이비드 렉켄
시아오빙 조우
Original Assignee
디디피 스페셜티 일렉트로닉 머티리얼즈 유에스 9 엘엘씨
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 디디피 스페셜티 일렉트로닉 머티리얼즈 유에스 9 엘엘씨 filed Critical 디디피 스페셜티 일렉트로닉 머티리얼즈 유에스 9 엘엘씨
Publication of KR20190004323A publication Critical patent/KR20190004323A/ko
Application granted granted Critical
Publication of KR102188816B1 publication Critical patent/KR102188816B1/ko

Links

Classifications

    • CCHEMISTRY; METALLURGY
    • C07ORGANIC CHEMISTRY
    • C07FACYCLIC, CARBOCYCLIC OR HETEROCYCLIC COMPOUNDS CONTAINING ELEMENTS OTHER THAN CARBON, HYDROGEN, HALOGEN, OXYGEN, NITROGEN, SULFUR, SELENIUM OR TELLURIUM
    • C07F7/00Compounds containing elements of Groups 4 or 14 of the Periodic Table
    • C07F7/02Silicon compounds
    • C07F7/025Silicon compounds without C-silicon linkages
    • CCHEMISTRY; METALLURGY
    • C07ORGANIC CHEMISTRY
    • C07FACYCLIC, CARBOCYCLIC OR HETEROCYCLIC COMPOUNDS CONTAINING ELEMENTS OTHER THAN CARBON, HYDROGEN, HALOGEN, OXYGEN, NITROGEN, SULFUR, SELENIUM OR TELLURIUM
    • C07F7/00Compounds containing elements of Groups 4 or 14 of the Periodic Table
    • C07F7/02Silicon compounds
    • C07F7/08Compounds having one or more C—Si linkages
    • C07F7/10Compounds having one or more C—Si linkages containing nitrogen having a Si-N linkage
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/24Deposition of silicon only
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/32Carbides
    • C23C16/325Silicon carbide
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/34Nitrides
    • C23C16/345Silicon nitride
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/40Oxides
    • C23C16/401Oxides containing silicon
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/40Oxides
    • C23C16/401Oxides containing silicon
    • C23C16/402Silicon dioxide
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45527Atomic layer deposition [ALD] characterized by the ALD cycle, e.g. different flows or temperatures during half-reactions, unusual pulsing sequence, use of precursor mixtures or auxiliary reactants or activations
    • C23C16/45536Use of plasma, radiation or electromagnetic fields
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45527Atomic layer deposition [ALD] characterized by the ALD cycle, e.g. different flows or temperatures during half-reactions, unusual pulsing sequence, use of precursor mixtures or auxiliary reactants or activations
    • C23C16/45536Use of plasma, radiation or electromagnetic fields
    • C23C16/45542Plasma being used non-continuously during the ALD reactions
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45553Atomic layer deposition [ALD] characterized by the use of precursors specially adapted for ALD
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/50Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/02164Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material being a silicon oxide, e.g. SiO2
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/02167Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material being a silicon carbide not containing oxygen, e.g. SiC, SiC:H or silicon carbonitrides
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/0217Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material being a silicon nitride not containing oxygen, e.g. SixNy or SixByNz
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02205Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition
    • H01L21/02208Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02518Deposited layers
    • H01L21/02521Materials
    • H01L21/02524Group 14 semiconducting materials
    • H01L21/02532Silicon, silicon germanium, germanium
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02612Formation types
    • H01L21/02617Deposition types
    • H01L21/0262Reduction or decomposition of gaseous compounds, e.g. CVD
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/02126Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material containing Si, O, and at least one of H, N, C, F, or other non-metal elements, e.g. SiOC, SiOC:H or SiONC
    • H01L21/0214Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material containing Si, O, and at least one of H, N, C, F, or other non-metal elements, e.g. SiOC, SiOC:H or SiONC the material being a silicon oxynitride, e.g. SiON or SiON:H

Landscapes

  • Chemical & Material Sciences (AREA)
  • Engineering & Computer Science (AREA)
  • Organic Chemistry (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • General Chemical & Material Sciences (AREA)
  • Materials Engineering (AREA)
  • Mechanical Engineering (AREA)
  • Metallurgy (AREA)
  • Physics & Mathematics (AREA)
  • Inorganic Chemistry (AREA)
  • Computer Hardware Design (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Plasma & Fusion (AREA)
  • Electromagnetism (AREA)
  • Chemical Vapour Deposition (AREA)
  • Formation Of Insulating Films (AREA)

Abstract

하나 이상의 클로로 기, 하나 이상의 다이알킬아미노 기 및 하나 이상의 하이드리도 기를 포함하며 다이실란인 화합물을 포함하는, 침착용 규소 전구체 화합물; 규소 전구체 화합물과, 불활성 가스, 분자 수소, 탄소 전구체, 질소 전구체, 및 산소 전구체 중 하나 이상을 포함하는, 필름 형성용 조성물; 규소 전구체 화합물을 합성하는 공정; 규소 전구체 화합물을 사용하여 기재 상에 규소-함유 필름을 형성하는 방법; 그 방법에 의해 형성된 규소-함유 필름; 및 규소 전구체 화합물을 형성하는 방법이 개시된다.

Description

아미노클로로하이드리도다이실란
본 발명은 일반적으로 필름 형성용 전구체 화합물 및 조성물, 상기 전구체 화합물을 합성하는 공정, 침착 장치를 통해 상기 전구체 화합물 또는 조성물로 필름을 형성하는 방법, 및 상기 방법에 의해 형성된 필름에 관한 것이다.
원소 규소, 및 다른 규소 재료, 예를 들어 산화규소, 탄화규소, 질화규소, 규소 카르보나이트라이드 및 규소 옥시카르보나이트라이드는 다양한 공지의 용도를 갖는다. 예를 들어, 규소 필름은 전자 또는 광전지 디바이스를 위한 전자 회로의 제조에서 반도체, 절연 층 또는 희생 층으로서 사용될 수 있다.
규소 재료의 알려진 제조 방법은 하나 이상의 규소 전구체를 사용할 수 있다. 이들 규소 전구체의 용도는 전자 또는 광전지 반도체 응용을 위한 규소의 제조에 제한되지 않는다. 예를 들어, 규소 전구체는 규소계 윤활제, 탄성중합체 및 수지를 제조하는 데 사용될 수 있다.
본 발명자는 전자 및 광전지 산업에서 개선된 규소 전구체에 대해 오랫동안 체감된 필요성을 인지하고 있다. 본 발명자는 개선된 전구체가 침착 온도의 저하 및/또는 더 우수한 성능의 전자 및 광전지 디바이스를 위한 더 뛰어난 반도체 특징부의 제조를 가능하게 할 것이라고 생각한다.
본 발명자는 개선된 규소 전구체를 알아냈다. 본 발명은 하기 각각의 실시 형태를 제공한다:
하나 이상의 클로로 기, 하나 이상의 다이알킬아미노 기 및 하나 이상의 하이드리도 기를 포함하며 다이실란인 화합물을 포함하는, 침착용 전구체 화합물 (이하, "규소 전구체 화합물").
규소 전구체 화합물과, 불활성 가스, 분자 수소, 탄소 전구체, 질소 전구체, 및 산소 전구체 중 하나 이상을 포함하는, 필름 형성용 조성물.
2개 이상의 클로로 기 및 하나 이상의 다이알킬아미노 기를 갖는 다이실란을 알루미늄 하이드라이드와 접촉시키는 단계를 포함하는, 규소 전구체 화합물을 합성하는 공정.
규소 전구체 화합물을 포함하는 규소 전구체의 증기를 기재(substrate)의 존재 하에 침착 조건으로 처리하여 기재 상에 규소-함유 필름을 형성하는 단계를 포함하는, 기재 상에 규소-함유 필름을 형성하는 방법.
상기 방법에 따라 형성된 필름.
발명의 내용 및 요약서가 본 명세서에 참고로 포함된다. 상기에 요약된 본 발명의 실시 형태, 용도 및 이점은 하기에 추가로 설명된다.
본 발명의 태양들이 다양한 통상의 규정(convention)을 사용하여 본 명세서에 기재되어 있다. 예를 들어, 달리 지시되지 않는 한, 모든 물질의 상태는 25℃ 및 101.3 ㎪에서 결정된다. 달리 표시되거나 지시되지 않는 한, 모든 %는 중량 기준이다. 달리 표시되지 않는 한, 모든 % 값은 조성물을 합성하거나 제조하는 데 사용되는 모든 성분의 총량을 기준으로 하며, 이는 합계 100%가 된다. 부류(genus) 및 그 안의 하위부류(subgenus)를 포함하는 임의의 마쿠쉬(Markush) 군은 부류 내의 하위부류를 포함하며, 예를 들어 "R은 하이드로카르빌 또는 알케닐이다"에서, R은 알케닐일 수 있고, 대안적으로 R은 하이드로카르빌일 수 있는데, 이는 다른 하위부류 중에서도 알케닐을 포함한다. 미국 관행에 있어서, 본 명세서에서 인용되는 모든 미국 특허 출원 공개 및 특허, 또는 일부분만이 인용되는 경우 그 일부분은, 포함된 주제가 본 명세서와 상충되지 않는 정도까지 본 명세서에 참고로 포함되며, 임의의 이러한 상충이 있으면 상기 명세서가 우선할 것이다.
본 발명의 태양들이 다양한 특허 용어를 사용하여 본 명세서에 기재되어 있다. 예를 들어, "대안적으로"는 상이하고 구별되는 실시 형태를 나타낸다. "비교예"는 본 발명이 아닌 실험을 의미한다. "~을 포함한다" 및 이의 변형 (~을 포함하는, ~로 구성된)은 개방형이다. "~로 이루어진다" 및 이의 변형 (~로 이루어진)은 폐쇄형이다. "접촉"은 물리적으로 접촉시키는 것을 의미한다. "~일 수 있는"은 선택을 부여하며, 필수적인 것은 아니다. "선택적으로"는 부재하거나, 대안적으로는, 존재하는 것을 의미한다.
본 발명의 태양들이 다양한 화학 용어를 사용하여 본 명세서에 기재되어 있다. 본 명세서에서 달리 정의되지 않는 한, 상기 용어의 의미는 IUPAC에 의해 공표된 그들의 정의와 일치한다. 편의상, 소정의 화학 용어가 정의된다.
용어 "침착"은 응축된 물질을 특정 위치 상에 생성하는 공정이다. 응축된 물질은 치수(dimension)가 제한되거나 제한되지 않을 수 있다. 침착의 예는 필름-형성 침착, 봉(rod)-형성 침착 및 입자-형성 침착이다.
용어 "필름"은 하나의 치수가 제한된 재료를 의미한다. 제한된 치수는 "두께"로서, 그리고 모든 다른 것이 동일한 경우 상기 재료를 증착하여 필름을 형성하는 공정의 기간이 증가함에 따라 증가하는 치수로서 특징지어질 수 있다.
달리 정의되지 않는 한, 용어 "할로겐"은 불소, 염소, 브롬 또는 요오드를 의미한다.
용어 "IUPAC"는 국제 순수 및 응용 화학 연맹(International Union of Pure and Applied Chemistry)을 말한다.
용어 "결여"는 '~이 없음' 또는 '~의 완전한 부재'를 의미한다.
"원소 주기율표"는 IUPAC에 의해 2011년에 출판된 버전을 의미한다.
용어 "전구체"는, 나타낸 원소의 원자를 함유하고 침착 방법에 의해 형성된 필름 내에 있는 그 원소의 공급원으로서 유용한 물질 또는 분자를 의미한다.
용어 "분리하다"는 물리적으로 멀어지게 하고, 따라서 그 결과 더 이상 직접 접촉하지 않는 것을 의미한다.
용어 "기재"는 다른 물질이 호스트될(hosted) 수 있는 하나 이상의 표면을 갖는 물리적 지지체를 의미한다.
본 발명은 규소 전구체 화합물 및 필름 형성용 조성물을 제공한다. 규소 전구체 화합물은 규소-함유 필름을 형성하기 위한 침착 공정에 특히 적합하지만, 규소 전구체 화합물은 그러한 응용에 제한되지 않는다. 예를 들어, 규소 전구체 화합물은 다른 응용, 예를 들어 실록산 또는 실라잔 재료의 제조를 위한 반응물로서 사용될 수 있다. 본 발명은 필름 형성 방법 및 상기 방법에 따라 형성된 필름을 추가로 제공한다.
규소 전구체 화합물은 다이실란이며 하나 이상의 클로로 기, 하나 이상의 다이알킬아미노 기 및 하나 이상의 하이드리도 기를 포함한다. 규소 전구체 화합물이 본 조성물 및 방법에 사용된 경우, 규소 전구체 화합물은 99 면적% (GC) 내지 99.9999999 면적% (GC)의 순도를 가질 수 있다. 그러나, 비-전자 응용에 사용되는 경우, 규소 전구체는 순도가 95 내지 98%일 수 있는 것으로 생각된다.
일 실시 형태에서, 규소 전구체 화합물은 화학식 I: (R1R2N)aClbHcSiSiHdCle(R1R2N)f를 가지며, 상기 식에서, 각각의 R1은 독립적으로 H, (C1-C6)알킬, (C3-C6)사이클로알킬, (C2-C6)알케닐, (C2-C6)알키닐, 또는 페닐이고; 각각의 R2는 독립적으로 (C1-C6)알킬, (C3-C6)사이클로알킬, (C2-C6)알케닐, (C2-C6)알키닐, 또는 페닐이거나; 또는 동일하거나 상이한 질소 원자 상의 R1 및 R2가 함께 결합되어 -R1a-R2a- (여기서, -R1a-R2a-는 (C2-C5)알킬렌임)가 되고; a, b, c, d, e 및 f는 독립적으로 0 내지 3의 범위인 정수이되; 단, a 및 f 중 하나 이상은 0이 아니고, b 및 e 중 하나 이상은 0이 아니고, c 및 d 중 하나 이상은 0이 아니다.
규소 전구체 화합물의 일부 태양에서, 화학식 I에서 각각의 R1 및 R2는 독립적으로 (C1-C6)알킬이고; 대안적으로 R1은 (C1-C6)알킬이고 R2는 (C3-C5)알킬이고; 대안적으로 R1은 메틸 또는 에틸이고 R2는 아이소프로필, sec-부틸, 아이소-부틸, 또는 tert-부틸이거나; 또는 각각의 R1 및 R2는 독립적으로 아이소프로필, sec-부틸, 아이소-부틸, 또는 tert-부틸이고; 대안적으로 R1은 메틸이고 R2tert-부틸이고; 대안적으로 각각의 R1 및 R2는 독립적으로 (C3-C4)알킬이고; 대안적으로 각각의 R1 및 R2는 아이소프로필이고; 대안적으로 각각의 R1 및 R2sec-부틸이고; 대안적으로 R1은 (C3-C6)사이클로알킬이고; 대안적으로 R1은 (C2-C6)알케닐 또는 (C2-C6)알키닐이고; 대안적으로 R1은 H이고; 대안적으로 R1은 페닐이고; 대안적으로 R1은 직전의 4개의 태양 중 어느 하나에 정의된 바와 같고 R2는 (C1-C6)알킬이거나 R2는 R1과 동일하고; 대안적으로 R1 및 R2가 함께 결합되어 -R1a-R2a- (여기서, -R1a-R2a-는 (C3-C5)알킬렌임)가 되고; 대안적으로 동일한 질소 원자 상의 R1 및 R2가 함께 결합되어 -R1a-R2a- (여기서, -R1a-R2a-는 (C4 또는 C5)알킬렌임)이 된다.
규소 전구체 화합물의 일부 태양에서, 화학식 I에서 a 및 f 중 오직 하나만 1이고, 다른 것은 0이다.
규소 전구체 화합물의 일부 태양에서, 화학식 I에서 b 및 e는 독립적으로 0, 1, 또는 2, 대안적으로 0, 대안적으로 1, 대안적으로 2, 대안적으로 3, 대안적으로 0 또는 1, 대안적으로 1 또는 2이다.
규소 전구체 화합물의 일부 태양에서, 화학식 I에서 b+e는 1 내지 4, 대안적으로 1, 대안적으로 2, 대안적으로 3, 대안적으로 4, 대안적으로 2 내지 4, 대안적으로 3 또는 4, 대안적으로 1 내지 3, 대안적으로 1 또는 2, 대안적으로 2 또는 3, 대안적으로 3 또는 4이다.
일부 태양에서 규소 전구체 화합물은 [(CH3)2CH]2NSiCl2SiH3, [(CH3)2CH]2NSiH2SiH2Cl, [(CH3CH2)2N]2SiClSiH3, [(CH3CH2)(CH3)N]2SiClSiH3, HSiClN[CH(CH3)2]2SiCl3, HSiCl2SiCl2N[CH(CH3)2]2, or HSiClN[CH(CH3)2]2SiCl2N[CH(CH3)2]2 대안적으로 [(CH3)2CH]2NSiCl2SiH3, [(CH3)2CH]2NSiH2SiH2Cl, [(CH3CH2)2N]2SiClSiH3 또는 [(CH3CH2)(CH3)N]2SiClSiH3이다.
규소 전구체 화합물은 임의의 방법으로 제공될 수 있다. 예를 들어, 규소 전구체 화합물은 상기 방법에서의 용도를 위해 합성되거나, 달리 수득될 수 있다. 일 실시 형태에서, 규소 전구체 화합물은 하기 공정에 의해 합성된다. 제1 단계에서, 2 HSiCl3 + 열 -> HSiCl2SiCl3 + HCl이며, HCl은 예를 들어 증발 또는 스트리핑(stripping)을 통해 그로부터 분리될 수 있다. (형식상) 제2 단계에서, 2n HNR1R2 + HSiCl2SiCl3 -> HSi2(NR1R2)nCl5-n이며, 여기서 n은 1 내지 4이고, R1 및 R2는 상기에 정의된 바와 같다. NR1R2 기(들)의 공급원이 HNR1R2인 경우, 반응 부산물인 H2NR1R2Cl이 형성된다. NR1R2 기(들)의 공급원이 MANR1R2인 경우, 반응 부산물인 MA(Cl)m이 형성된다. H2NR1R2Cl 및 MA(Cl)m 염은 예를 들어 여과 또는 경사분리(decantation)를 통해 그로부터 분리될 수 있다. 이 공정의 제2 단계는, 탄화수소 비히클 중에서, 펜타클로로다이실란 (HSiCl2SiCl3)을 NR1R2 기(들)의 공급원과 접촉시켜 규소 전구체 화합물을 제공하는 단계를 포함할 수 있으며; NR1R2 기(들)의 공급원은 금속 R1R2아미드인 [(R1R2N]mMA이며, 여기서, 하첨자 m은 1 또는 2이고, m이 1인 경우, MA는 원소 주기율표의 I 족의 원소이고, m이 2인 경우, MA는 원소 주기율표의 II 족의 원소이거나, NR1R2 기(들)의 공급원이 HNR1R2이다.
규소 전구체 화합물을 합성하는 공정의 제2 단계는 탄화수소 비히클 또는 에테르 비히클 중에서 수행될 수 있다. 에테르 비히클은 다이실릴 에테르, 다이하이드로카르빌 에테르, 또는 알킬렌 글리콜 다이알킬 에테르, 또는 이들의 임의의 둘 이상의 혼합물을 포함할 수 있다. 다이하이드로카르빌 에테르는 직쇄 에테르, 환형 에테르, 또는 다이아릴 에테르, 또는 이들의 임의의 둘 이상의 혼합물일 수 있다. 에테르 비히클의 예는 다이에틸 에테르, 다이메틸 에테르, 테트라하이드로푸란, 1,2-다이메톡시에탄, 테트라에틸렌 글리콜 다이메틸 에테르이다. 알킬렌 글리콜 다이알킬 에테르는 테트라메틸렌 글리콜 다이(C1-C4)알킬 에테르, 프로필렌 글리콜 다이(C2-C4)알킬 에테르, 에틸렌 글리콜 다이(C3 또는 C4)알킬 에테르 또는 이들의 임의의 둘 이상의 혼합물일 수 있다. 탄화수소 비히클은 5개 이상의 탄소 원자를 갖는 알칸, 5개 이상의 탄소 원자를 갖는 사이클로알칸, 6개 이상의 탄소 원자를 갖는 아렌, 또는 이들의 임의의 둘 이상의 혼합물을 포함할 수 있다. 탄화수소 비히클은 펜탄, 헥산, 사이클로헥산, 헵탄, 벤젠, 톨루엔, 자일렌, 또는 이들의 임의의 둘 이상의 혼합물을 포함할 수 있다.
탄화수소 비히클의 조성은 (예를 들어, 원하는 반응 온도를 달성하기 위한 비점을 갖는 탄화수소 비히클 또는 반응 부산물을 용해시키는 능력이 결여된 탄화수소 비히클을 선택하여) 접촉 단계를 최적화하도록 고안될 수 있다. 추가적으로 또는 대안적으로, 탄화수소 비히클의 조성은 (예를 들어, 규소 전구체 화합물의 증발 없이 탄화수소 비히클의 증발을 가능하게 하는 원하는 비점을 갖는 탄화수소 비히클을 선택하여) 선택적인 분리 단계를 최적화하도록 고안될 수 있다. 탄화수소 비히클은 탄소 원자 및 수소 원자로 이루어질 수 있거나, 탄소 원자, 수소 원자 및 할로겐 원자로 이루어진 할로겐화 탄화수소 비히클일 수 있다. C 원자 및 H 원자로 이루어진 탄화수소 비히클은 알칸, 방향족 탄화수소 및 이들의 임의의 둘 이상의 혼합물일 수 있다. 알칸은 헥산, 사이클로헥산, 헵탄, 아이소파라핀 또는 이들의 임의의 둘 이상의 혼합물일 수 있다. 방향족 탄화수소는 톨루엔, 자일렌 또는 이들의 임의의 둘 이상의 혼합물일 수 있다. 할로겐화 탄화수소 비히클은 다이클로로메탄일 수 있다. 탄화수소 비히클의 상이한 조성을 갖는 방법은 하나 이상의 결과, 특성, 작용 및/또는 용도에서 서로 상이할 수 있다. 상이한 조성의 탄화수소 비히클은 규소 전구체 화합물, NR1R2 기(들)의 공급원, 반응 부산물, 또는 이들의 임의의 둘 이상의 조합에 대해 상이한 용해도를 제공할 수 있다.
또한, 본 발명은 하나 이상의 클로로 기, 하나 이상의 다이알킬아미노 기 및 하나 이상의 하이드리도 기를 포함하며 다이실란인 화합물을 제조하는 방법에 관한 것이다. 상기 방법은 2개 이상의 클로로 기 및 하나 이상의 다이알킬아미노 기를 갖는 다이실란을 알루미늄 하이드라이드와 접촉시키는 단계를 포함한다. 바람직하게는, 다이실란은 오직 클로로 기와 다이알킬아미노 기만 갖는다. 알루미늄 하이드라이드는 하나 이상의 하이드리도 기가 알루미늄 원자에 결합되어 있는 화합물이다. 알루미늄 하이드라이드의 예에는, 예를 들어, 다이아이소부틸 알루미늄 하이드라이드, 다이에틸 알루미늄 하이드라이드, 리튬 트라이-tert-부톡시알루미늄 하이드라이드, 리튬 트리스[(3-에틸-3-펜틸)옥시]알루미늄 하이드라이드, 소듐 비스(2-메톡시에톡시)알루미늄 하이드라이드, 리튬 알루미늄 하이드라이드, 소듐 알루미늄 하이드라이드 및 알루미늄 하이드라이드가 포함된다. 바람직한 알루미늄 하이드라이드는 다이아이소부틸 알루미늄 하이드라이드 및 다이에틸 알루미늄 하이드라이드, 바람직하게는 다이아이소부틸 알루미늄 하이드라이드이다. 바람직하게는, 다이실란 대 알루미늄 하이드라이드의 몰 비는 0.1:1 내지 0:1, 대안적으로 0.2:1 내지 3.5:1, 대안적으로 0.3:1 내지 3:1, 대안적으로 1:1 내지 4:1, 대안적으로 1:1 내지 3.5:1, 대안적으로 2:1 내지 3:1이다. 바람직하게는, 반응 온도는 -30℃ 내지 40℃, 대안적으로 -30℃ 내지 20℃, 대안적으로 -25℃ 내지 15℃이다. 바람직하게는, 반응은 용매 없이 수행된다.
상기에 언급된 바와 같이, 필름 형성용 조성물은 규소 전구체 화합물과, 불활성 가스, 분자 수소, 탄소 전구체, 질소 전구체, 및 산소 전구체 중 하나 이상을 포함한다. 분자 수소는 원소 규소 필름을 형성하기 위한 조성물에서 규소 전구체 화합물과 함께 사용될 수 있다. 증기 또는 가스 상태의 분자 수소, 탄소 전구체, 질소 전구체 또는 산소 전구체는 본 명세서에서 추가적인 반응물 가스로서 일반적으로 지칭될 수 있다.
탄소 전구체는 본 방법의 일 실시 형태에 따른 규소 탄소 필름을 형성하기 위한 조성물에서 규소 전구체 화합물과 함께 사용될 수 있다. 규소 탄소 필름은 Si 원자 및 C 원자를 함유하며, 탄화규소를 포함할 수 있다. 탄소 전구체는 C 원자, H 원자, 및 선택적으로 Si 원자를 포함할 수 있거나, 대안적으로 그로 본질적으로 이루어질 수 있거나, 대안적으로 그로 이루어질 수 있다. C 원자, H 원자, 및 선택적으로 Si 원자를 포함하는 탄소 전구체는, 탄소 전구체가 각각 규소 카르보나이트라이드 필름 또는 규소 옥시카르바이드 필름을 형성하기 위한 방법에서 사용되는 경우 N 원자 또는 O 원자를 추가로 포함할 수 있거나, 또는 탄소 전구체가 규소 옥시카르보나이트라이드 필름을 형성하기 위한 방법에 사용되는 경우 N 원자 및 O 원자를 추가로 포함할 수 있다. C 원자, H 원자, 및 선택적으로 Si 원자로 본질적으로 이루어진 탄소 전구체는 N 원자 및 O 원자가 결여되지만, 선택적으로 하나 이상의 할로겐 원자 (예를 들어, Cl)를 가질 수 있다. C 원자 및 H 원자로 이루어진 탄소 전구체의 예는 알칸과 같은 탄화수소이다. C 원자, H 원자 및 Si 원자로 이루어진 탄소 전구체의 예는 부틸다이실란 또는 테트라메틸실란과 같은 하이드로카르빌실란이다.
질소 전구체는 본 방법의 일 실시 형태에 따른 규소 질소 필름을 형성하기 위한 조성물에서 규소 전구체 화합물과 함께 사용될 수 있다. 질소 전구체는 규소 전구체 화합물과는 상이하다. 규소 질소 필름은 Si 원자 및 N 원자 및 선택적으로 C 원자 및/또는 O 원자를 함유하며, 질화규소, 규소 옥시나이트라이드, 또는 규소 옥시카르보나이트라이드를 포함할 수 있다. 질화규소는 SixNy일 수 있으며, 여기서 하첨자 x는 1, 2 또는 3, 대안적으로 1 내지 4의 정수이고, 하첨자 y는 1 내지 5의 정수이다. 질소 전구체는 N 원자 및 선택적으로 H 원자를 포함할 수 있으며, 대안적으로 질소 전구체는 N 원자 및 선택적으로 H 원자로 본질적으로 이루어질 수 있고, 대안적으로 질소 전구체는 N 원자 및 선택적으로 H 원자로 이루어질 수 있다. N 원자 및 선택적으로 H 원자를 포함하는 질소 전구체는, 질소 전구체가 규소 카르보나이트라이드 필름 또는 규소 옥시나이트라이드 필름을 형성하기 위한 방법에서 사용되는 경우 각각 C 원자 또는 O 원자를 추가로 포함할 수 있거나, 질소 전구체가 규소 옥시카르보나이트라이드 필름을 형성하기 위한 방법에 사용되는 경우 C 원자 및 O 원자를 추가로 포함할 수 있다. N 원자 및 선택적으로 H 원자로 본질적으로 이루어진 질소 전구체는 C 원자 및 O 원자가 결여되지만, 선택적으로 하나 이상의 할로겐 원자 (예를 들어, Cl)를 가질 수 있다. N 원자로 이루어진 질소 전구체의 예로는 분자 질소가 있다. N 원자 및 H 원자로 이루어진 질소 전구체의 예로는 암모니아 및 하이드라진이 있다. O 원자 및 N 원자로 이루어진 질소 전구체의 예로는 산화질소 (N2O) 및 이산화질소 (NO2)가 있다.
산소 전구체는 본 방법의 일 실시 형태에 따른 규소 산소 필름을 형성하기 위한 조성물에서 규소 전구체 화합물과 함께 사용될 수 있다. 규소 산소 필름은 Si 원자 및 O 원자 및 선택적으로 C 원자 및/또는 N 원자를 함유하며, 산화규소, 규소 옥시카르바이드, 규소 옥시나이트라이드, 또는 규소 옥시카르보나이트라이드를 포함할 수 있다. 산화규소는 SiO 또는 SiO2일 수 있다. 산소 전구체는 O 원자 및 선택적으로 H 원자를 포함할 수 있으며, 대안적으로 O 원자 및 선택적으로 H 원자로 본질적으로 이루어질 수 있고, 대안적으로 O 원자 및 선택적으로 H 원자로 이루어질 수 있다. O 원자 및 선택적으로 H 원자를 포함하는 산소 전구체는, 산소 전구체가 규소 옥시카르바이드 또는 규소 옥시나이트라이드 필름을 형성하기 위한 방법에서 사용되는 경우 각각 C 원자 또는 N 원자를 추가로 포함할 수 있거나, 산소 전구체가 규소 옥시카르보나이트라이드 필름을 형성하기 위한 방법에 사용되는 경우, C 원자 및 N 원자를 추가로 포함할 수 있다. O 원자로 이루어진 산소 전구체의 예로는 분자 산소 및 오존이 있다. O 원자 및 H 원자로 이루어진 산소 전구체의 예로는 물 및 과산화수소가 있다. O 원자 및 N 원자로 이루어진 산소 전구체의 예로는 산화질소, 아산화질소 및 이산화질소가 있다.
불활성 가스는 상기 전구체 중 임의의 하나 및 조성물 또는 방법 중 임의의 실시 형태와 조합되어 사용될 수 있다. 불활성 가스의 예는 헬륨, 아르곤, 및 이들의 혼합물이다. 예를 들어, 형성되는 규소 함유 필름이 원소 규소 필름인 방법의 일 실시 형태에서, 헬륨이 규소 전구체 화합물 및 분자 수소와 조합되어 사용될 수 있다. 대안적으로, 형성되는 규소 함유 필름이 규소 탄소 필름, 규소 질소 필름, 또는 규소 산소 필름인 방법의 일 실시 형태에서 각각 헬륨은 규소 전구체 화합물과, 탄소 전구체, 질소 전구체 및 산소 전구체 중 임의의 하나와 함께 사용될 수 있다.
상기 방법에 의해 형성된 필름은 Si를 함유하는 재료이고 하나의 치수가 제한되는데, 이 치수는 재료의 두께로서 지칭될 수 있다. 규소 함유 필름은 원소 규소 필름, 규소 탄소 필름, 규소 질소 필름, 또는 규소 산소 필름일 수 있으며, 예를 들어, 산화규소, 질화규소, 규소 카르보나이트라이드, 규소 옥시나이트라이드, 또는 규소 옥시카르보나이트라이드 필름이다. 상기 방법에 의해 형성된 원소 규소 필름은 C 원자, N 원자 및 O 원자가 결여되고, 비정질 또는 결정질 Si 재료일 수 있다. 상기 방법에 의해 형성된 규소 탄소 필름은 Si 원자 및 C 원자 그리고 선택적으로 N 원자 및/또는 O 원자를 함유한다. 상기 방법에 의해 형성된 규소 질소 필름은 Si 원자 및 N 원자 그리고 선택적으로 C 원자 및/또는 O 원자를 함유한다. 상기 방법에 의해 형성된 규소 산소 필름은 Si 원자 및 O 원자 그리고 선택적으로 C 원자 및/또는 N 원자를 함유한다.
필름은 전자 및 광전지 응용에서 유용할 수 있다. 예를 들어, 질화규소 필름은 축전기 내 폴리실리콘(polysilicon) 층들 사이에서 절연체 층, 패시베이션(passivation) 층, 또는 유전체 층으로서 형성될 수 있다.
필름을 형성하는 방법은 침착 장치를 사용한다. 상기 방법에서 사용된 침착 장치는 원하는 필름 형성 방법에 기초하여 일반적으로 선택되고, 당업자에게 알려진 임의의 침착 장치일 수 있다.
소정 실시 형태에서, 침착 장치는 물리 증착 장치를 포함한다. 이들 실시 형태에서, 침착 장치는 전형적으로 스퍼터링 장치, 및 직류 (DC) 마그네트론 스퍼터링 장치로부터 선택된다. 이들 물리 증착 장치 각각의 최적 작동 파라미터는 상기 방법에 사용된 규소 전구체 화합물, 및 침착 장치를 통해 형성된 필름이 사용되는 원하는 응용에 기초한다. 소정 실시 형태에서, 침착 장치는 스퍼터링 장치를 포함한다. 스퍼터링 장치는 예를 들어, 이온-빔 스퍼터링 장치, 반응성 스퍼터링 장치, 또는 이온-보조 스퍼터링 장치일 수 있다.
그러나, 더욱 전형적으로, 침착 장치는 원자층 침착 장치 또는 화학 증착 장치를 포함한다. 원자층 침착 장치를 사용하는 실시 형태에서, 필름 형성 방법은 원자층 침착 방법으로 지칭될 수 있다. 마찬가지로, 화학 증착 장치를 사용하는 실시 형태에서, 필름 형성 방법은 화학 증착 방법으로 지칭될 수 있다. 원자층 침착 장치 및 방법과 화학 증착 장치 및 방법은 일반적으로 본 기술 분야에 잘 알려져 있다. 본 방법은 원자층 침착 장치의 사용을 참조하여 하기에 예시되지만, 본 방법은 용이하게 화학 증착 장치와 함께 사용하도록 구성될 수 있다.
원자층 침착 장치를 사용하는 방법의 실시 형태에서, 원자층 침착 장치는, 예를 들어, 열 원자층 침착 장치, 플라즈마 강화 원자층 침착 장치, 및 공간분할형(spatial) 원자층 침착 장치로부터 선택될 수 있다. 이들 원자층 침착 장치 각각의 최적 작동 파라미터는 상기 방법에 사용된 규소 전구체 화합물, 및 침착 장치를 통해 형성된 필름이 사용되는 원하는 응용에 기초한다. 당업자는 이용되는 특정 장치의 작동 파라미터를 어떻게 최적화하는지를 알 것이다.
원자층 침착에서, 필름을 형성하기 위한 가스들은 일련의 사이클로 침착 챔버 내에 전형적으로 도입되고 그 내부에서 반응되는데, 여기서, 한 사이클은 반응 챔버를 규소 전구체 화합물로 충전하고 (제1 반쪽 반응), 반응기를 불활성 가스로 퍼징(purging)하고, 반응 챔버를 다른 반응성 가스로 충전하고 (제2 반쪽 반응), 이어서 반응기를 불활성 가스로 퍼징하는 것을 포함한다. 두 반쪽 반응 (제1 및 제2 반쪽 반응)의 일련의 사이클은 기재 표면 상에 적절한 필름 원소 또는 분자를 형성한다. 원자층 침착은, 침착 챔버 및 기재의 가열과 같은, 시스템으로의 에너지의 추가를 일반적으로 필요로 한다.
화학 증착 장치를 사용하는 방법의 실시 형태에서, 화학 증착 장치는, 예를 들어 유동성 화학 증착 장치, 열 화학 증착 장치, 플라즈마 강화 화학 증착 장치, 광화학 증착 장치, 전자 사이클로트론 공명 장치, 유도 결합 플라즈마 장치(inductively coupled plasma apparatus), 자기 밀폐형 플라즈마 장치(magnetically confined plasma apparatus), 저압 화학 증착 장치 및 제트 증착 장치로부터 선택될 수 있다. 이들 화학 증착 장치 각각의 최적 작동 파라미터는 상기 방법에 사용된 규소 전구체 화합물, 및 침착 장치를 통해 형성된 필름이 사용되는 원하는 응용에 기초한다. 소정 실시 형태에서, 침착 장치는 플라즈마 강화 화학 증착 장치를 포함한다. 다른 실시 형태에서, 침착 장치는 저압 화학 증착 장치를 포함한다.
화학 증착에서, 필름을 형성하기 위한 가스들은 침착 챔버 내에서 전형적으로 혼합 및 반응된다. 이 반응은 증기 상태의 적절한 필름 원소 또는 분자를 형성한다. 이어서, 원소 또는 분자는 기재 (또는 웨이퍼) 상에 침착 및 구축되어 필름을 형성한다. 화학 증착은, 침착 챔버 및 기재의 가열과 같은, 시스템으로의 에너지의 추가를 일반적으로 필요로 한다.
가스 화학종의 반응은 본 기술 분야에 일반적으로 잘 알려져 있으며, 임의의 통상적인 화학 증착 (CVD) 기술이 본 방법을 통해 수행될 수 있다. 예를 들어, 단순 열 증착, 플라즈마 강화 화학 증착 (PECVD), 전자 사이클로트론 공명 (ECRCVD), 대기압 화학 증착 (APCVD), 저압 화학 증착 (LPCVD), 초고진공 화학 증착 (UHVCVD), 에어로졸-보조 화학 증착 (AACVD), 직접 액체 주입 화학 증착 (DLICVD), 마이크로웨이브 플라즈마-보조 화학 증착 (MPCVD), 원격 플라즈마-강화 화학 증착 (RPECVD), 원자층 화학 증착 (ALCVD 또는 ALD), 열선 화학 증착 (HWCVD), 혼성 물리-화학 증착 (HPCVD), 급속 열 화학 증착 (RTCVD), 및 증기-상 에피택시(epitaxy) 화학 증착 (VPECVD), 광-보조 화학 증착 (PACVD), 화염 보조 화학 증착 (FACVD)과 같은 방법, 또는 임의의 유사한 기술이 사용될 수 있다.
화학 증착 또는 원자층 침착은 필름의 원하는 최종 용도에 따라 광범위한 두께를 갖는 필름을 형성하는 데 사용될 수 있다. 예를 들어, 필름은 수 나노미터의 두께 또는 수 마이크로미터의 두께, 또는 더 크거나 더 적은 두께 (또는 이들 값 사이에 속하는 두께)를 가질 수 있다. 이들 필름은 코팅, 예컨대 SiO2 코팅, SiO2/개질 세라믹 산화물 층, 규소-함유 코팅, 규소 탄소-함유 코팅, 탄화규소-함유 코팅, 규소 질소-함유 코팅, 질화규소-함유 코팅, 규소 질소 탄소-함유 코팅, 규소 산소 질소 함유 코팅, 및/또는 다이아몬드 유사 탄소 코팅에 의해 선택적으로 피복될 수 있다. 그러한 코팅 및 이의 침착 방법은 본 기술 분야에 일반적으로 알려져 있다.
본 방법에서 사용되는 기재는 제한되지 않는다. 특정 실시 형태에서, 기재는 침착 챔버의 온도 및 환경에서 열 및 화학 안정성에 대한 필요에 의해서만 제한된다. 따라서, 기재는, 예를 들어 유리, 금속, 플라스틱, 세라믹, 규소 (예를 들어, 단결정질 규소, 다결정질 규소, 비정질 규소 등)일 수 있다.
본 발명의 실시 형태는 아산화질소 (N2O)를 포함하는 반응 환경을 포함할 수 있다. 그러한 반응 환경은 일반적으로 당업계에 알려져 있다. 이들 실시 형태에서, 상기 방법은 일반적으로 아산화질소 존재 하에서 규소 전구체 화합물의 분해를 포함한다. 그러한 방법의 예는 미국 특허 제5,310,583호에 기재되어 있다. 아산화질소의 이용은 화학 증착 방법에서 형성된 생성된 필름의 조성을 변경시킬 수 있다.
화학 증착 장치 및 원자층 침착 장치, 그리고 이에 따라 이용된 화학 증착 방법 및 원자층 침착 방법은 일반적으로 규소 전구체 화합물, 원하는 필름 순도, 기재의 기하학적 구성 및 경제적 고려사항을 포함하지만 이로 한정되지 않는 다수의 요인들의 균형을 유지하게 함으로써 선택된다.
화학 증착 및 원자층 침착에서 조작되는 주요 작동 변수에는 온도, 기재 온도, 압력, 규소 전구체 화합물의 가스 상 중의 농도, 임의의 추가적인 반응물 가스 농도 (예를 들어, 임의의 탄소 전구체, 질소 전구체, 및/또는 산소 전구체의 가스 농도), 및 총 가스 유동이 포함되지만 이에 한정되지 않는다. 화학 증착 또는 원자층 침착은, 열분해, 산화, 환원, 가수분해, 및 이들의 조합을 포함하지만 이로 한정되지 않는 화학 반응으로부터 생성된다. 화학 증착 또는 원자층 침착에 대한 최적 온도의 선택은 규소 전구체 화합물 및 선택된 화학 반응의 동력학 및 열역학 둘 모두에 대한 이해를 필요로 한다.
통상적인 화학 증착 방법은 일반적으로 600℃ 초과, 예를 들어 600℃ 내지 1000℃와 같이 현저히 높은 온도를 필요로 한다. 그러나, 규소 전구체 화합물은 훨씬 더 낮은 온도에서 화학 증착 또는 원자층 침착에 이용될 수 있는 것으로 여겨진다. 예를 들어, 이 방법은 25℃ 내지 700℃, 대안적으로 100 내지 700℃, 대안적으로 200C 내지 700℃, 대안적으로 200C 내지 600℃, 대안적으로 200C 내지 500℃, 대안적으로 200C 내지 400℃, 대안적으로 100℃ 내지 300℃의 온도에서 수행될 수 있다. 이 방법이 수행되는 온도는 등온 또는 동적일 수 있다.
화학 증착 또는 원자층 침착 공정은 일반적으로 전구체를 생성하는 것, 전구체를 반응 챔버 내로 이송하는 것, 및 가열된 기재 상으로의 전구체의 흡착 또는 전구체의 화학 반응과 기재 상으로의 후속 흡착 중 어느 하나를 포함한다. 하기는 이용가능한 방대한 옵션들 중 일부를 예시하기 위하여 화학 증착 방법 또는 원자층 침착 방법의 개략적 조사를 제시한다.
열 CVD 또는 ALD에서, 필름은 기화된 형태의 규소 전구체 화합물의 스트림(stream)을 가열된 기재 위로 통과시킴으로써 침착된다. 기화된 형태의 규소 전구체 화합물이 가열된 기재에 접촉할 때, 규소 전구체 화합물은 일반적으로 반응 및/또는 분해되어 필름을 형성한다.
PECVD에서, 기화된 형태의 규소 전구체 화합물은, 이를 플라즈마장(plasma field)을 통해 통과시킴으로써 반응되어 반응성 화학종을 형성한다. 이어서, 반응성 화학종은 기재 상에 집중 및 침착되어 필름을 형성한다. 일반적으로, 열 CVD에 대비한 PECVD의 장점은 더 낮은 기재 온도가 사용될 수 있다는 것이다. PECVD에서 이용되는 플라즈마는 전기 방전, 무선주파수 또는 마이크로파 범위 내의 전자기장, 레이저 또는 입자 빔과 같은 다양한 공급원으로부터 유도된 에너지를 포함한다. 일반적으로, PECVD는 무선주파수 (10 킬로헤르츠 (㎑) 내지 102 메가헤르츠 (㎒)) 또는 마이크로파 에너지 (0.1 내지 10 기가헤르츠 (㎓))를 중간 출력 밀도 (0.1 내지 5 와트/제곱센티미터 (W/㎠))로 이용하지만, 이들 변수 중 임의의 변수는 변경될 수 있다. 그러나, 특정 주파수, 전력, 및 압력은 일반적으로 침착 장치에 대해 맞춤조절된다.
AACVD에서, 규소 전구체 화합물은 화학 매질 내에 용해되어 혼합물을 형성한다. 규소 전구체 화합물 및 화학 매질을 포함하는 혼합물은 전통적인 에어로졸 내에 포장된다. 에어로졸은 규소 전구체 화합물을 가열된 챔버 내로 분무 및 도입시키며, 규소 전구체 화합물은 분해 및/또는 화학 반응을 겪는다. AACVD의 한 가지 이점은 진공을 필요로 하지 않으면서 필름을 형성하는 능력이다.
선택된 침착 공정 및 작동 파라미터는 필름의 구조 및 특성에 영향을 미칠 것이다. 일반적으로, 필름 구조의 배향, 필름이 합체(coalesce)되는 방식, 필름의 균일성, 및 필름의 결정질/비결정질 구조를 제어하는 것이 가능하다.
원하는 침착을 용이하게 하는 환경이 또한 침착 챔버 내에서 사용될 수 있음에 유의하여야 한다. 예를 들어, 공기, 산소, 산소 플라즈마, 암모니아, 아민, 하이드라진 등과 같은 반응성 환경 또는 불활성 환경 모두가 본 발명에서 사용될 수 있다.
추가로, 본 발명은 상기 방법에 따라 형성된 필름을 제공한다. 필름의 조성 및 구조는 침착 장치 및 그의 파라미터뿐만 아니라, 사용된 규소 전구체 화합물 및 상기 방법 동안 임의의 반응성 환경의 존재 또는 부재의 함수이다. 규소 전구체 화합물은 임의의 기타 알려진 전구체 화합물과 조합되어 사용될 수 있거나, 임의의 기타 전구체 화합물이 없는 방법에서 사용될 수 있다.
규소 전구체 화합물이 하나 이상의 Si-N 결합을 함유하기 때문에, 질소 전구체를 사용하지 않고도 규소 전구체 화합물을 이용하여 질화규소 필름을 형성할 수 있지만, 원한다면 질소 전구체가 또한 사용될 수 있다. 즉, 질화규소 필름을 형성하는 데에 질소 전구체 (예를 들어, 제2 증기)의 첨가가 필요하지 않을 수 있다. 침착 조건을 최적화하여 본 방법이 원소 Si 필름 또는 SiN 필름을 형성할지 여부를 제어할 수 있을 것이다. 원한다면, 질소 전구체를 제2 증기에서 사용하여 SiN 필름의 질소 함량을 풍부하게 할 수 있다.
대안적으로, 규소 전구체 화합물은 결정질 규소 또는 질화규소를 포함하는 규소 필름을 형성하는 데 전통적으로 사용된 다른 규소계 전구체 화합물과 함께 사용될 수 있다. 그러한 실시 형태에서, 필름은 예를 들어 결정질 또는 에피택셜(epitaxial)일 수 있다. 이 방법 동안 반응성 환경의 존재에 따라, 필름은 규소 및 질소에 추가하여 산소 및/또는 탄소를 추가로 포함할 수 있다.
규소 전구체 화합물의 순도는 29Si-NMR, 역상 액체 크로마토그래피, 또는 더욱 가능성 있게는, 후술되는 바와 같은 기체 크로마토그래피 (GC)에 의해 결정될 수 있다. 예를 들어, GC에 의해 결정된 순도는 60 면적% 내지 ≤ 100 면적% (GC), 대안적으로 70 면적% 내지 ≤ 100 면적% (GC), 대안적으로 80 면적% 내지 ≤ 100 면적% (GC), 대안적으로 90 면적% 내지 ≤ 100 면적% (GC), 대안적으로 93 면적% 내지 ≤ 100 면적% (GC), 대안적으로 95 면적% 내지 ≤ 100 면적% (GC), 대안적으로 97 면적% 내지 ≤ 100 면적% (GC) 또는 대안적으로 99.0 면적% 내지 ≤ 100 면적% (GC)일 수 있다. 각각의 ≤ 100 면적% (GC)는 독립적으로 이전에 정의된 바와 같을 수 있다.
본 발명을 하기 이들의 비제한적 실시예로 추가로 예시하며, 본 발명의 실시 형태는 하기 비제한적 실시예의 특징 및 제한의 임의의 조합을 포함할 수 있다. 달리 지시되지 않는 한, 주위 온도는 약 23℃이다.
기체 크로마토그래피-불꽃 이온화 검출기 (GC-FID) 조건: 길이가 30 미터이고, 내경이 0.32 mm인 모세관 컬럼으로, 0.25 μm 두께의 고정상을 모세관 컬럼의 내부 표면 상에 코팅의 형태로 함유하며, 고정상은 페닐 메틸 실록산으로 구성되었다. 캐리어 가스는 105 mL/min의 유량으로 사용된 헬륨 가스이다. GC 기기는 애질런트(Agilent) 모델 7890A 기체 크로마토그래프이다. 입구 온도는 200℃이다. GC 실험 온도 프로파일은 50℃에서 2분 동안 침지(soaking)(유지), 15℃/분의 속도로 250℃까지 온도 상승(ramping), 그리고 이어서 250℃에서 10분 동안 침지(유지)로 이루어진다.
GC-MS 기기 및 조건: 샘플을 전자 충격 이온화 및 화학 이온화 기체 크로마토그래피-질량분석법 (EI GC-MS 및 CI GC-MS)에 의해 분석한다. 애질런트 6890 GC 조건은 30 미터 (m) × 0.25 밀리미터 (mm) × 0.50 마이크로미터 (μm) 필름 구성을 갖는 DB-1 컬럼, 200℃의 입구 온도, 50℃에서 2분 동안 침지, 15℃/분으로 250℃까지 상승, 및 250℃에서 10분 동안 침지의 오븐 프로그램을 포함한다. 1 mL/분의 일정한 유동으로 유동하는 헬륨 캐리어 가스 및 50:1 분할 주입. 애질런트 5973 MSD 조건은 15 내지 800 달톤 범위의 MS 스캔, EI 이온화 및 5% NH3와 95% CH4의 커스텀(custom) CI 가스 믹스를 사용하는 CI 이온화를 포함한다.
29Si-NMR 기기 및 용매: 배리안(Varian) 400 ㎒ 수은 분광계를 사용한다. C6D6을 용매로서 사용한다.
1H-NMR 기기 및 용매: 배리안 400 ㎒ 수은 분광계를 사용한다. C6D6을 용매로서 사용한다.
실시예 1. 1-다이아이소프로필아미노-2-클로로다이실란 (DPDCH4)의 합성
15 mL 신틸레이션 바이알에서, 0.20 g (0.7 mmol)의 1,2-비스(다이아이소프로필아민)다이실란 (BisDPDS)을 2 mL의 펜탄으로 희석하고 자석 교반 막대를 사용하여 교반하였다. 0.21 g (0.7 mmol)의 헥사클로로다이실란을 첨가하고 30분 동안 교반하였다. GC-MS에 의한 분석은 BisDPDS의 거의 전부가 소모되어 생성물 DPDCH4를 유일한 주 생성물로서 제공하였음(90% 초과의 전환율)을 나타내었다.
실시예 2. 원 위치에서(in situ)의 다이아이소프로필아미노테트라클로로다이실란 (DPDCH), 다이아이소프로필아미노트라이클로로다이실란 (DPDCH2), 및 1-다이아이소프로필아미노-1,1-다이클로로다이실란 (DPDCH3)의 합성.
다이아이소프로필아미노펜타클로로다이실란 (DPDC, 0.52 g, 1.6 mmol)을 자석 교반 막대가 구비된 30-mL 신틸레이션 바이알에 첨가하였다. 열전쌍 와이어를 바이알의 하부와 세라믹 교반 플레이트의 상부 사이에 개재하여 반응 온도를 모니터링하였다. 다이아이소부틸알루미늄 하이드라이드 (DiBAH, 0.23 g, 1.6 mmol)를 교반 중인 DPDC에 적가하였고, 이때 발열이 관찰되었다. GC-FID 및 GC-MS를 사용하여 반응 혼합물을 분석하여 하기 조성을 알아내었다: 2.00%의 (i-Pr2-N)SiCl2H, 1.45%의 (i-Pr2-N)SiCl3, 22.50%의 (i-Pr2-N)Si2Cl2H3 (DPDCH3), 미량의 (i-Pr2-N)Si2Cl3H2 (DPDCH2), 3.15%의 (i-Pr2-N)Si2Cl4H (DPDCH), 68.83%의 (i-Pr2-N)Si2Cl5 (DPDC), 및 2.07%의 기타 클로로실란.
실시예 3. 1-다이아이소프로필아미노-1,1-다이클로로다이실란 (DPDCH3)의 합성
아르곤 충전된 글로브박스에서, 자석 교반기가 구비된 1-L 재킷식 둥근 바닥 플라스크를 66.8% 순도의 다이아이소프로필아미노펜타클로로다이실란 (DPDC, 268.6 g, 약 0.54 mol)로 채우고 -15℃로 냉각시켰다. 3시간에 걸쳐 엄밀하게 교반하면서, 반응 온도를 10℃ 아래로 유지하기 위해 대형 플라스틱 피펫을 사용하여 30 g의 분액으로 다이아이소부틸알루미늄 하이드라이드 (DiBAH, 229.1 g, 1.61 mol)를 DPDC에 첨가하였다. 첨가 종료 시에, 냉각기 설정을 10℃ 증분으로 상승시켜 반응 혼합물을 실온으로 복귀시켰다 (두 번째 발열이 관찰될 수 있다). 일단 반응 혼합물이 온도에 도달하면, 플라스크의 내용물을, 열전쌍, 자석 교반 막대, 및 증류 컬럼이 구비된 (비-재킷식) 1-L 3구 둥근 바닥 플라스크로 옮겼다. 74 내지 82℃ 포트 온도(pot temperature)에서 완전 활성 진공에서 스트립 증류에 의해 고비점 부산물로부터 80% 조질(crude) 1-다이아이소프로필아미노-1,1-다이클로로다이실란 (DPDCH3)을 단리하였다. 수율: 129.0 g (83.0%).
실시예 4. 다이아이소프로필아미노테트라클로로다이실란 HSi2(NPri 2)Cl4 및 비스(다이아이소프로필아미노)트라이클로로다이실란 HSi2(NPri 2)2Cl3의 합성
500 ml 둥근 바닥 플라스크에 11.1 g (47.4 mmol)의 펜타클로로다이실란 (PCDS) 및 110 ml의 무수 헥산을 첨가하였다. 플라스크를 드라이아이스-아이소프로판올 조(bath)에서 -10 oC로 냉각시켰다. 교반 하에, 9.60 g (94.9 mmol)의 다이아이소프로필아민 및 20 ml의 무수 헥산을 함유하는 용액을 -10 oC에서 15분 만에 첨가하였다. 황백색 슬러리가 형성되었다. 첨가 후에, 반응 혼합물을 실온으로 가온하고 실온에서 2시간 동안 계속 교반하였다. 이어서, 슬러리를 0.5 인치 두께 건조 셀라이트(Celite)로 덮인 타입 D 유리 프릿(frit)을 통해 여과하였다. 염 케이크(salt cake)를 20 ml의 무수 헥산으로 2회 세척하였다. 모든 저비점 물질이 제거될 때까지 130 ml의 투명한 여과액을 진공 (1 토르까지 낮춤) 하에 실온 이하에서 스트리핑하였다. 포트 잔류물 (6.70 g)을 투명한 무색 액체 생성물로서 단리하였다. 이 생성물을 GC-TCD, GC-MS 및 1H NMR로 분석하였다. 이 생성물은 44.3% 1-다이아이소프로필아미노-1,2,2,2-테트라클로로다이실란 iPr2N-SiClH-SiCl3, 17.1% 1-다이아이소프로필아미노-1,1,2,2-테트라클로로다이실란 HCl2Si-SiCl2-NPri 2 및 14.8% 비스(다이아이소프로필아미노)트라이클로로다이실란 이성체 HSi2(NPri 2)2Cl3을 포함하는 76.2%의 아미노클로로하이드리도다이실란을 함유하였다.
실시예 5. 1,1-비스(에틸메틸아미노)-1-클로로다이실란의 합성
5 ml의 헥산 중 1.80 g (10.9 mmol)의 1,1,1-트라이클로로다이실란 (3CDS)의 용액을 -5 oC에서 15분 만에 250 ml 둥근 바닥 플라스크 내의 90 ml의 헥산 중 2.12 g (35.9 mmol)의 에틸메틸아민 및 3.63 g (35.9 mmol)의 트라이에틸아민의 용액에 첨가하였다. 첨가 후에, 반응 혼합물 (슬러리)을 실온 내지 40 oC에서 30분 동안 교반하였다. 이어서, 반응 혼합물을 여과하여 투명한 액체를 얻었다. 액체 내의 휘발성 내용물을 1 토르로 낮춘 진공 하에서 제거하였다. 투명한 액체 (0.96 g)를 단리하였다. GC-FID로 추정하면, 액체는 약 30 중량%의 1,1-비스(에틸메틸아미노)-1-클로로다이실란을 함유하였다. 1,1-비스(에틸메틸아미노)-1-클로로다이실란의 구조를 GC-MS 및 1H NMR로 특성화하였다.
실시예 6. 1,1-비스(다이에틸아미노)-1-클로로다이실란의 합성
10 ml의 헥산 중 1.84 g (11.1 mmol)의 1,1,1-트라이클로로다이실란 (3CDS)의 용액을 -5 oC에서 15분 만에 250 ml 둥근 바닥 플라스크 내의 100 ml의 헥산 중 5.35 g (73.2 mmol)의 다이에틸아민의 용액에 첨가하였다. 첨가 후에, 반응 혼합물 (슬러리)을 실온에서 1.5시간 동안 교반하였다. 이어서, 반응 혼합물을 여과하여 투명한 액체를 얻었다. 액체 내의 휘발성 내용물을 1 토르로 낮춘 진공 하에서 제거하였다. 투명한 액체 (1.35 g)를 단리하였다. GC-FID로 추정하면, 액체는 약 59 중량%의 1,1-비스(다이에틸아미노)-1-클로로다이실란을 함유하였다. 1,1-비스(다이에틸아미노)-1-클로로다이실란의 구조를 GC-MS 및 1H NMR로 특성화하였다.
실시예 7: 1-다이아이소프로필아미노-1,1-다이클로로다이실란 (DPDCH3)과 질소 또는 암모니아/질소, 및 PEALD를 사용한 질화규소 필름의 형성.
PEALD 반응기, 및 PEALD 반응기와 유체 연통하며 DPDCH3이 담긴 소형 실린더를 사용하여, DPDCH3이 담긴 실린더를 77℃로 가열하였다. PEALD 반응기를 질소 (N2)로 퍼징하였고, 이때 PEALD 반응기는 350℃ (설정점)에서 가열된 복수의 수평 배향되고 이격된 규소 웨이퍼를 수용하였다. 이어서, PEALD SiN 필름을 다음 순서로 DPDCH3과 함께 성장시켰다: DPDCH3 투입, 1 내지 10초 / N2 퍼지, 30초 / N2 또는 NH3 + N2를 갖는 플라즈마, 15초 / N2 퍼지, 30초. 원하는 두께를 갖는 컨포멀(conformal) 질화규소 필름이 웨이퍼 상에 형성될 때까지 전술한 순서의 단계들을 반복하였다.
분광 타원편광분석법(spectroscopic ellipsometry) (M-2000DI, 제이.에이. 울램(J.A. Woollam))을 사용하여 질화구소 필름의 (632 nm의 파장에서의) 두께 및 굴절률을 특성화하였다. 375 nm 내지 1690 nm의 파장 범위로부터 타원편광분석법 데이터를 수집하였고 제이.에이. 울램에 의해 제공되는 소프트웨어로 타우크-로렌츠(Tauc-Lorentz) 오실레이터 모델을 사용하여 분석하였다. 실온에서 탈이온수 중에 희석된 500:1 HF 용액을 사용하여, PEALD 공정에 의해 성장된 박막의 습식 에칭 속도(wet etch rate) 시험을 수행하였다. 희석된 HF 용액에서 에칭하기 전 및 에칭한 후의 두께 차이로부터 습식 에칭 속도를 계산하였다. 결과가 하기 표에 있다.
Figure 112018120594813-pct00001
실시예 8 (가공 실시예): 규소 전구체 화합물 및 암모니아 (NH3)와 LPCVD를 사용하여 질화규소 필름을 형성: LPCVD 반응기 및 규소 전구체 화합물을 수용하고 LPCVD 반응기와 유체 연통하는 버블러(bubbler)를 사용하여, 규소 전구체 화합물을 수용하는 버블러를 70℃로 가열하여 이의 증기압을 증가시킨다. 이어서, 버블러를 통해 He 캐리어 가스를 유동시켜, LPCVD 반응기 내로 규소 전구체 화합물의 증기를 운반하는데, 이때 LPCVD 반응기는 증기상 암모니아 및 500℃로 가열된 복수의 수직으로 배향되고 이격된 규소 웨이퍼를 수용하며, 따라서 컨포멀 질화규소 필름이 웨이퍼 상에 형성된다.
실시예 9 (가공 실시예): 규소 전구체 화합물과 암모니아, 및 PECVD를 사용하여 질화규소 필름을 형성: PECVD 반응기 및 PECVD 반응기와 유체 연통하는 버블러를 사용하여, 규소 전구체 화합물을 수용하는 버블러를 70℃로 가열하여 이의 증기압을 증가시킨다. 이어서, 버블러를 통해 He 캐리어 가스를 유동시켜, PECVD 반응기 내로 규소 전구체 화합물의 증기를 운반하는데, 이때 PECVD 반응기는 암모니아-유도된 플라즈마를 가지며, 500℃로 가열된 복수의 수평으로 배향되고 이격된 규소 웨이퍼를 수용하여, 컨포멀 질화규소 필름이 웨이퍼 상에 형성된다.
실시예 10 (가공 실시예): 규소 전구체 화합물과 LPCVD를 사용하여 산화규소 필름을 형성: LPCVD 반응기 및 LPCVD 반응기와 유체 연통하는 버블러를 사용하여, 규소 전구체 화합물을 수용하는 버블러를 70℃로 가열하여 이의 증기압을 증가시킨다. 이어서, 버블러를 통해 He 캐리어 가스를 유동시켜 LPCVD 반응기 내로 규소 전구체 화합물의 증기를 운반하는데, 이때 LPCVD 반응기는 산소 분위기를 가지며, 500℃로 가열된 복수의 수직으로 배향되고 이격된 규소 웨이퍼를 수용하여, 컨포멀 산화규소 필름이 웨이퍼 상에 형성된다.
실시예 11 (가공 실시예): 규소 전구체 화합물과 메탄, 및 PECVD를 사용하여 탄화규소 필름을 형성: PECVD 반응기 및 PECVD 반응기와 유체 연통하는 버블러를 사용하여, 규소 전구체 화합물을 수용하는 버블러를 70℃로 가열하여 이의 증기압을 증가시킨다. 이어서, 버블러를 통해 He 캐리어 가스를 유동시켜 PECVD 반응기 내로 규소 전구체 화합물의 증기를 운반하는데, PECVD 반응기는 메탄-유도된 플라즈마를 가지며, 500℃로 가열된 복수의 수평으로 배향되고 이격된 규소 웨이퍼를 수용하여, 컨포멀 탄화규소 필름이 웨이퍼 상에 형성된다.
하기 청구범위는 본 명세서에 참고로 포함되며, 용어 "청구항"과 "청구항들"은 각각 용어 "태양" 또는 "태양들"로 교체된다. 본 발명의 실시 형태는 또한 이러한 결과로서 수득된 번호 매겨진 태양들을 포함한다.

Claims (15)

  1. [(CH3)2CH]2NSiCl2SiH3, [(CH3CH2)2N]2SiClSiH3, [(CH3CH2)(CH3)N]2SiClSiH3, HSiClN[CH(CH3)2]2SiCl3, HSiCl2SiCl2N[CH(CH3)2]2, 또는 HSi2Cl3[N(CH(CH3)2)2]2인, 다이실란 화합물.
  2. 기재(substrate) 상에 규소-함유 필름을 형성하는 방법으로서, 상기 방법은 하나 이상의 클로로 기, 하나 이상의 다이알킬아미노 기 및 하나 이상의 하이드리도 기를 포함하는 다이실란인 화합물을 포함하는 규소 전구체의 증기를 상기 기재의 존재 하에 침착 조건으로 처리하여 상기 기재 상에 규소-함유 필름을 형성하는 단계를 포함하고,
    상기 방법은 제한 e)를 포함하고, 제한 f), 제한 g), 제한 h) 및 제한 i) 중 하나 이상을 포함하는, 방법:
    e) 상기 규소-함유 필름은 원소 규소 필름, 규소 탄소 필름, 규소 질소 필름, 또는 규소 산소 필름인 제한;
    f) 상기 화합물을 포함하는 규소 전구체의 제1 증기 및 헬륨 또는 수소를 포함하는 제2 증기를 상기 기재의 존재 하에 침착 조건으로 처리하여, 상기 기재 상에 규소-함유 필름을 형성하는 단계로서, 상기 규소-함유 필름은 원소 규소 필름인, 상기 단계를 포함하는 제한;
    g) 상기 화합물을 포함하는 규소 전구체의 제1 증기 및 탄화수소, 하이드로카르빌실란 또는 이들의 임의의 둘의 조합을 포함하는 탄소 전구체의 제2 증기를 상기 기재의 존재 하에 침착 조건으로 처리하여, 상기 기재 상에 규소-함유 필름을 형성하는 단계로서, 상기 규소-함유 필름은 규소 탄소 필름인, 상기 단계를 포함하는 제한;
    h) 상기 화합물을 포함하는 규소 전구체의 제1 증기 및 분자 질소, 암모니아, 하이드라진, 아민, 이들의 임의의 둘 또는 셋의 조합을 포함하는 질소 전구체의 제2 증기를 상기 기재의 존재 하에 침착 조건으로 처리하여, 상기 기재 상에 규소-함유 필름을 형성하는 단계로서, 상기 규소-함유 필름은 규소 질소 필름인, 상기 단계를 포함하는 제한;
    i) 상기 화합물을 포함하는 규소 전구체의 제1 증기 및 분자 산소, 오존, 산화질소, 이산화질소, 아산화질소, 물, 과산화수소, 또는 이들의 임의의 둘 또는 셋의 조합을 포함하는 산소 전구체의 제2 증기를 상기 기재의 존재 하에 침착 조건으로 처리하여, 상기 기재 상에 규소-함유 필름을 형성하는 단계로서, 상기 규소-함유 필름은 규소 산소 필름인, 상기 단계를 포함하는 제한.
  3. 제2항에 있어서, 상기 기재는 가열되고, 원자층 침착을 위해 구성된 침착 반응기 내에 배치되며, 상기 방법은 원자층 침착을 사용하여 반복적으로 하나 이상의 클로로 기, 하나 이상의 다이알킬아미노 기 및 하나 이상의 하이드리도 기를 포함하는 다이실란인 화합물을 포함하는 규소 전구체의 상기 제1 증기를 공급하고, 불활성 가스로 퍼징(purging)하고, 상기 침착 반응기 내로 상기 제2 증기를 공급하고, 불활성 가스로 퍼징하여 상기 가열된 기재 상에 상기 규소-함유 필름을 형성하는 단계를 포함하는, 방법.
  4. 제2항에 있어서, 상기 기재는 가열되고, 화학 증착을 위해 구성된 침착 반응기 내에 배치되며, 상기 방법은 화학 증착을 사용하여 하나 이상의 클로로 기, 하나 이상의 다이알킬아미노 기 및 하나 이상의 하이드리도 기를 포함하는 다이실란인 화합물을 포함하는 규소 전구체의 상기 제1 증기를 공급하고 상기 침착 반응기 내로 상기 제2 증기를 공급하여 상기 가열된 기재 상에 상기 규소-함유 필름을 형성하는 단계를 포함하는, 방법.
  5. 제3항 또는 제4항에 있어서, 증착 조건은 탄소 및 산소가 결여되고, 상기 규소 질소 필름은 질화규소 필름을 포함하는, 방법.
  6. 제2항 내지 제4항 중 어느 한 항에 있어서, 상기 기재는 반도체 재료인, 방법.
  7. 제1항의 화합물을 포함하는 규소 전구체 및 질소 전구체를 포함하는, 규소 질소 필름 형성용 조성물.
  8. 제1항의 화합물을 포함하는 규소 전구체를 포함하는 조성물을 사용하여 형성된 규소 질소 필름.
  9. 삭제
  10. 삭제
  11. 삭제
  12. 삭제
  13. 삭제
  14. 삭제
  15. 삭제
KR1020187034969A 2016-05-17 2017-05-15 아미노클로로하이드리도다이실란 KR102188816B1 (ko)

Applications Claiming Priority (5)

Application Number Priority Date Filing Date Title
US201662337371P 2016-05-17 2016-05-17
US62/337,371 2016-05-17
US201662439236P 2016-12-27 2016-12-27
US62/439,236 2016-12-27
PCT/US2017/032619 WO2017200908A1 (en) 2016-05-17 2017-05-15 Aminochlorohydridodisilanes

Publications (2)

Publication Number Publication Date
KR20190004323A KR20190004323A (ko) 2019-01-11
KR102188816B1 true KR102188816B1 (ko) 2020-12-11

Family

ID=58772657

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1020187034969A KR102188816B1 (ko) 2016-05-17 2017-05-15 아미노클로로하이드리도다이실란

Country Status (6)

Country Link
US (1) US20190169212A1 (ko)
JP (1) JP6668504B2 (ko)
KR (1) KR102188816B1 (ko)
CN (1) CN109071572A (ko)
TW (1) TWI746554B (ko)
WO (1) WO2017200908A1 (ko)

Families Citing this family (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP7065805B2 (ja) * 2019-05-13 2022-05-12 大陽日酸株式会社 ハロゲン化アミノシラン化合物、薄膜形成用組成物およびシリコン含有薄膜

Citations (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2015045082A (ja) * 2013-07-31 2015-03-12 東京エレクトロン株式会社 シリコン膜の成膜方法、薄膜の成膜方法および断面形状制御方法

Family Cites Families (13)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5310583A (en) 1992-11-02 1994-05-10 Dow Corning Corporation Vapor phase deposition of hydrogen silsesquioxane resin in the presence of nitrous oxide
US6528430B2 (en) * 2001-05-01 2003-03-04 Samsung Electronics Co., Ltd. Method of forming silicon containing thin films by atomic layer deposition utilizing Si2C16 and NH3
US6391803B1 (en) * 2001-06-20 2002-05-21 Samsung Electronics Co., Ltd. Method of forming silicon containing thin films by atomic layer deposition utilizing trisdimethylaminosilane
JP4021653B2 (ja) * 2001-11-30 2007-12-12 レール・リキード−ソシエテ・アノニム・プール・レテュード・エ・レクスプロワタシオン・デ・プロセデ・ジョルジュ・クロード Cvd法によるシリコン窒化物膜またはシリコンオキシ窒化物膜の製造方法
WO2007056060A2 (en) * 2005-11-03 2007-05-18 Barry Stuecker Security system
US20080194109A1 (en) * 2007-02-14 2008-08-14 Renesas Technology Corp. Method of fabricating a semiconductor device
US8101242B2 (en) * 2008-03-07 2012-01-24 Sri International Method of imparting corrosion resistance to a substrate surface, and coated substrates prepared thereby
US8198671B2 (en) * 2009-04-22 2012-06-12 Applied Materials, Inc. Modification of charge trap silicon nitride with oxygen plasma
US20120107614A1 (en) * 2010-10-29 2012-05-03 Yigal Dov Blum Method of coating a substrate surface, and coated substrates prepared thereby
US9978585B2 (en) * 2012-06-01 2018-05-22 Versum Materials Us, Llc Organoaminodisilane precursors and methods for depositing films comprising same
KR20140059107A (ko) * 2012-11-07 2014-05-15 주식회사 유피케미칼 실리콘 질화물 박막 제조 방법
JP2017520532A (ja) * 2014-05-30 2017-07-27 ダウ コーニング コーポレーションDow Corning Corporation ジイソプロピルアミノ−ジシランの合成方法
US11046793B2 (en) * 2018-02-22 2021-06-29 Exxonmobil Chemical Patents Inc. Silica quenching agents for use in polymerization process

Patent Citations (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2015045082A (ja) * 2013-07-31 2015-03-12 東京エレクトロン株式会社 シリコン膜の成膜方法、薄膜の成膜方法および断面形状制御方法

Non-Patent Citations (1)

* Cited by examiner, † Cited by third party
Title
J. Organometallic Chem., 2002, vol. 649, pp. 25-42, 1부.*

Also Published As

Publication number Publication date
JP2019514933A (ja) 2019-06-06
WO2017200908A1 (en) 2017-11-23
US20190169212A1 (en) 2019-06-06
JP6668504B2 (ja) 2020-03-18
TWI746554B (zh) 2021-11-21
KR20190004323A (ko) 2019-01-11
TW201806960A (zh) 2018-03-01
CN109071572A (zh) 2018-12-21

Similar Documents

Publication Publication Date Title
CN108431012B (zh) 三(乙硅烷基)胺
US11142462B2 (en) Trichlorodisilane
US10157735B2 (en) Pentachlorodisilane
WO2016191199A1 (en) Diisopropylaminopentachlorodisilane
KR102244755B1 (ko) 클로로다이실라잔
KR102188816B1 (ko) 아미노클로로하이드리도다이실란
KR102228807B1 (ko) SiH-무함유 비닐다이실란
KR20240050393A (ko) 규소 전구체

Legal Events

Date Code Title Description
A201 Request for examination
E902 Notification of reason for refusal
E701 Decision to grant or registration of patent right
GRNT Written decision to grant